commit d212040c30bfaaafb0c07f71f30d9b7cf1042a20 Author: github-classroom[bot] <66690702+github-classroom[bot]@users.noreply.github.com> Date: Fri Feb 23 13:01:05 2024 +0000 Initial commit diff --git a/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs b/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs new file mode 100644 index 0000000..6a67047 --- /dev/null +++ b/01-WaveformGenerator/Prefs/dp_user/v2_72/dp_user_prefs @@ -0,0 +1,64 @@ +[LexParser.LexVHDL2008] +[LexParser] +[Editor] +recentFile0=/usr/opt/HDS/hdl_libs/ieee/hdl/std_logic_1164.vhdl +lastFilter=.vhdl +mark.lineImage=blueball +[Printer] +ENSCRIPT_LIBRARY=/usr/opt/HDS/resources/enscript/share/enscript +[ToolbarFrames] +geom0Group1=top H +geom0Group2=top H +geom0Group3=top H +state0Search=1 +Num=0 +state0VersionManagement=1 +state0Tasks=1 +state0View=1 +state0Standard=1 +state0Edit=1 +Group1=Standard Search +Group2=Edit Bookmarks View Macros DocumentTools Windows +Group3=VersionManagement Tasks +state0Macros=1 +state0Bookmarks=1 +state0Windows=1 +state0DocumentTools=1 +[LexParser.LexPSL] +[DND] +TrackerBg=#c3c3c3 +signalAcceptDropBg=white +signalRefuseDropBg=red +[General] +[Browser] +normalTextBg=white +normalTextFg=black +[Replace] +historyMax=4 +atomicReplaceAll=No +[Console] +[Templates] +Visibility=No +[SearchInFiles] +SearchAsRegExp=0 +MatchCase=0 +LookInSubfolders=0 +historyMax=4 +[VDiff] +[R72] +indentType=spaces +indentString=\#\#\# +[TCOM] +logTCOMActivity=No +afterIdleHandlerTimeSlice=300 +[Menus] +DocAndVis= +[Help] +default=te_guide +[Plugins] +userLanguages= +[Search] +historyMax=4 +[Geometry] +TopWindow0=1286x981+317+1103 +FrameSupp0,0=165 diff --git a/01-WaveformGenerator/Prefs/hds.hdp b/01-WaveformGenerator/Prefs/hds.hdp new file mode 100644 index 0000000..b986ef0 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds.hdp @@ -0,0 +1,19 @@ +[Concat] +[ModelSim] +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hdl +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/01-WaveformGenerator/Prefs/hds_team/shared.hdp b/01-WaveformGenerator/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs b/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl b/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs b/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl b/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs b/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl b/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..87d40fc --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6097 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/01-WaveformGenerator/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/01-WaveformGenerator/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Xilinx Project Navigator" +"USER:Prepare for Synthesis" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "850x916--10+40" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "ieee" +showingHierarchy 0 +openLibs [ +"ieee" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 155 +yPos 1148 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7348b0e --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/waveformGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs b/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..4cd7572 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6841 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +"WaveformGenerator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +"WaveformGenerator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7348b0e --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/waveformGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/01-WaveformGenerator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/01-WaveformGenerator/Scripts/cleanGenerated.bash b/01-WaveformGenerator/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/01-WaveformGenerator/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/01-WaveformGenerator/Scripts/cleanGenerated.bat b/01-WaveformGenerator/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/01-WaveformGenerator/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/01-WaveformGenerator/Scripts/cleanScratch.bat b/01-WaveformGenerator/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/01-WaveformGenerator/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/01-WaveformGenerator/Scripts/generateSSHKey.bash b/01-WaveformGenerator/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/01-WaveformGenerator/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/01-WaveformGenerator/Scripts/hdlDesigner.bash b/01-WaveformGenerator/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/01-WaveformGenerator/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/01-WaveformGenerator/Scripts/hdlDesigner.bat b/01-WaveformGenerator/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/01-WaveformGenerator/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/01-WaveformGenerator/Scripts/searchPaths.bat b/01-WaveformGenerator/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/01-WaveformGenerator/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/01-WaveformGenerator/Scripts/trimLibs.pl b/01-WaveformGenerator/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/01-WaveformGenerator/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/01-WaveformGenerator/Scripts/update_ise.pl b/01-WaveformGenerator/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/01-WaveformGenerator/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ '0'); +END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd new file mode 100644 index 0000000..892bd7d --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothGen_studentVersion.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE studentVersion OF sawtoothGen IS +BEGIN + sawtooth <= (others => '0'); +END ARCHITECTURE studentVersion; + diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd new file mode 100644 index 0000000..f8b09bd --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToSquare_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF sawtoothToSquare IS +BEGIN + square <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd new file mode 100644 index 0000000..9fa87cc --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/sawtoothToTriangle_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF sawtoothToTriangle IS +BEGIN + triangle <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd new file mode 100644 index 0000000..0cb1a55 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hdl/triangleToPolygon_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF triangleToPolygon IS +BEGIN + polygon <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_lowpass_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothGen_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToSquare_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothToTriangle_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothgen_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtosquare_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_sawtoothtotriangle_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangleToPolygon_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_triangletopolygon_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/.hdlsidedata/_waveformgen_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf b/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf new file mode 100644 index 0000000..cdf774a --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_lowpass._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom lowpass_studentVersion.vhd diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf new file mode 100644 index 0000000..31bcc08 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothgen._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom sawtoothGen_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf new file mode 100644 index 0000000..13aac32 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtosquare._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom sawtoothToSquare_studentVersion.vhd diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf new file mode 100644 index 0000000..195e3b8 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_sawtoothtotriangle._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom sawtoothToTriangle_studentVersion.vhd diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf b/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf new file mode 100644 index 0000000..29d7453 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_triangletopolygon._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom triangleToPolygon_studentVersion.vhd diff --git a/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf b/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf new file mode 100644 index 0000000..c91c6be --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/_waveformgen._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom waveform@gen/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb new file mode 100644 index 0000000..8ea05da --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/lowpass/symbol.sb @@ -0,0 +1,1607 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 151,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 153,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 154,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 90,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 93,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 95,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 117,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 118,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 99,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 103,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 105,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 107,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 109,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 111,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 113,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 145,0 +) +*48 (LogGeneric +generic (GiElement +name "shiftBitNb" +type "positive" +value "12" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 121,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 124,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 147,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 130,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 138,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 142,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 156,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lowpass" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "lowpass" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/lowpass/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "lowpass" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "39700,13400,47000,14600" +st "lowpassOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,30000,11900" +st "lowpassOut : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,36300,20600" +st "reset" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38800,14600" +st "lowpassIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29000,14600" +st "lowpassIn : IN unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,41600,22700" +st "WaveformGenerator" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,36100,23600" +st "lowpass" +blo "32600,23400" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,45000,29200" +st "Generic Declarations + +signalBitNb positive 16 +shiftBitNb positive 12 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "shiftBitNb" +type "positive" +value "12" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,35,1387,985" +viewArea "-1070,-1070,74579,51352" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 181,0 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb new file mode 100644 index 0000000..ce19a0a --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@gen/symbol.sb @@ -0,0 +1,1648 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 157,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 158,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 159,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 95,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 100,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 121,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 122,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 124,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 104,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 108,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 112,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 114,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 116,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 118,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 132,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 142,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 144,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 146,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 148,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothGen" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothGen" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@gen/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothGen/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothGen" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "41800,11400,47000,12600" +st "sawtooth" +ju 2 +blo "47000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "sawtooth : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,36300,20600" +st "reset" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,18000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,11400,35900,12600" +st "step" +blo "33000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,26000,14600" +st "step : IN unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "33000,15400,34900,16600" +st "en" +blo "33000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,17000,15500" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,8000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,41600,22700" +st "WaveformGenerator" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,38600,23600" +st "sawtoothGen" +blo "32600,23400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,42500,28300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,48,1381,978" +viewArea "-1100,-1100,74300,50120" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 209,0 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb new file mode 100644 index 0000000..d04fff0 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@square/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToSquare" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothToSquare" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothToSquare" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@square/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToSquare/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothToSquare" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42900,13400,47000,14600" +st "square" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "square : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38200,14600" +st "sawtooth" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "sawtooth : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41100,19600" +st "sawtoothToSquare" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,36,1391,987" +viewArea "-1064,-1064,74593,51160" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb new file mode 100644 index 0000000..cee75ad --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToTriangle" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sawtoothToTriangle" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sawtoothToTriangle" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtooth@to@triangle/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/sawtoothToTriangle/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "sawtoothToTriangle" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42500,13400,47000,14600" +st "triangle" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "triangle : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38200,14600" +st "sawtooth" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "sawtooth : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,42100,19600" +st "sawtoothToTriangle" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,34,1392,978" +viewArea "-1100,-1100,75080,51030" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 198,0 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb new file mode 100644 index 0000000..55be706 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/triangle@to@polygon/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangleToPolygon" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "triangleToPolygon" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:02:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "triangleToPolygon" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangle@to@polygon/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/WaveformGenerator/Prefs/../WaveformGenerator/hds/triangleToPolygon/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:02:49" +) +(vvPair +variable "unit" +value "triangleToPolygon" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "42400,13400,47000,14600" +st "polygon" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26000,11900" +st "polygon : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,37500,14600" +st "triangle" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25000,12800" +st "triangle : IN unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,41600,18700" +st "WaveformGenerator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41600,19600" +st "triangleToPolygon" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,42500,24300" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,35,1394,985" +viewArea "-1061,-1061,74571,50904" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd new file mode 100644 index 0000000..35d843f --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/struct.bd @@ -0,0 +1,4462 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_square" +duLibraryName "WaveformGenerator" +duName "sawtoothToSquare" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 916,0 +) +(Instance +name "I_tri" +duLibraryName "WaveformGenerator" +duName "sawtoothToTriangle" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 977,0 +) +(Instance +name "I_poly" +duLibraryName "WaveformGenerator" +duName "triangleToPolygon" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 1011,0 +) +(Instance +name "I_lp" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "10" +) +] +mwi 0 +uid 1036,0 +) +(Instance +name "I_saw" +duLibraryName "WaveformGenerator" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 1227,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:40:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveform@gen\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator\\hds\\waveformGen\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:40:08" +) +(vvPair +variable "unit" +value "waveformGen" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,32625,-500,33375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,33000,0,33000" +pts [ +"-500,33000" +"0,33000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-6500,32300,-3000,33600" +st "clock" +ju 2 +blo "-3000,33300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,6600,10900,7800" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,34625,-500,35375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,35000,0,35000" +pts [ +"-500,35000" +"0,35000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-6500,34300,-3000,35600" +st "reset" +ju 2 +blo "-3000,35300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,8400,10800,9600" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 317,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 318,0 +va (VaSet +) +xt "-1000,9300,24800,10500" +st "step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*17 (Net +uid 412,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 413,0 +va (VaSet +) +xt "-1000,11100,25900,12300" +st "sawtooth : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*18 (Net +uid 422,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 5,0 +) +declText (MLText +uid 423,0 +va (VaSet +) +xt "-1000,13800,25200,15000" +st "triangle : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 478,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +declText (MLText +uid 479,0 +va (VaSet +) +xt "-1000,12900,25400,14100" +st "square : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 511,0 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 7,0 +) +declText (MLText +uid 512,0 +va (VaSet +) +xt "-1000,10200,25600,11400" +st "polygon : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 568,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +declText (MLText +uid 569,0 +va (VaSet +) +xt "-1000,12000,24700,13200" +st "sine : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*22 (PortIoIn +uid 595,0 +shape (CompositeShape +uid 596,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 597,0 +sl 0 +ro 270 +xt "-2000,26625,-500,27375" +) +(Line +uid 598,0 +sl 0 +ro 270 +xt "-500,27000,0,27000" +pts [ +"-500,27000" +"0,27000" +] +) +] +) +tg (WTG +uid 599,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 600,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-24700,26300,-3000,27600" +st "step : (phaseBitNb-1 DOWNTO 0)" +ju 2 +blo "-3000,27300" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 609,0 +shape (CompositeShape +uid 610,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 611,0 +sl 0 +ro 270 +xt "32500,18625,34000,19375" +) +(Line +uid 612,0 +sl 0 +ro 270 +xt "32000,19000,32500,19000" +pts [ +"32000,19000" +"32500,19000" +] +) +] +) +tg (WTG +uid 613,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 614,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "35000,18300,59500,19600" +st "sawtooth : (phaseBitNb-1 DOWNTO 0)" +blo "35000,19300" +tm "WireNameMgr" +) +) +) +*24 (PortIoOut +uid 615,0 +shape (CompositeShape +uid 616,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 617,0 +sl 0 +ro 270 +xt "52500,26625,54000,27375" +) +(Line +uid 618,0 +sl 0 +ro 270 +xt "52000,27000,52500,27000" +pts [ +"52000,27000" +"52500,27000" +] +) +] +) +tg (WTG +uid 619,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 620,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,26300,78800,27600" +st "square : (signalBitNb-1 DOWNTO 0)" +blo "55000,27300" +tm "WireNameMgr" +) +) +) +*25 (PortIoOut +uid 629,0 +shape (CompositeShape +uid 630,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 631,0 +sl 0 +ro 270 +xt "56500,34625,58000,35375" +) +(Line +uid 632,0 +sl 0 +ro 270 +xt "56000,35000,56500,35000" +pts [ +"56000,35000" +"56500,35000" +] +) +] +) +tg (WTG +uid 633,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 634,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "59000,34300,84200,35600" +st "triangle : (signalBitNb-1 DOWNTO 0)" +blo "59000,35300" +tm "WireNameMgr" +) +) +) +*26 (PortIoOut +uid 643,0 +shape (CompositeShape +uid 644,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 645,0 +sl 0 +ro 270 +xt "80500,34625,82000,35375" +) +(Line +uid 646,0 +sl 0 +ro 270 +xt "80000,35000,80500,35000" +pts [ +"80000,35000" +"80500,35000" +] +) +] +) +tg (WTG +uid 647,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 648,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "83000,34300,107500,35600" +st "polygon : (signalBitNb-1 DOWNTO 0)" +blo "83000,35300" +tm "WireNameMgr" +) +) +) +*27 (PortIoOut +uid 649,0 +shape (CompositeShape +uid 650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 651,0 +sl 0 +ro 270 +xt "104500,42625,106000,43375" +) +(Line +uid 652,0 +sl 0 +ro 270 +xt "104000,43000,104500,43000" +pts [ +"104000,43000" +"104500,43000" +] +) +] +) +tg (WTG +uid 653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 654,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "107000,42300,129400,43600" +st "sine : (signalBitNb-1 DOWNTO 0)" +blo "107000,43300" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 717,0 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +declText (MLText +uid 718,0 +va (VaSet +) +xt "-1000,7500,10300,8700" +st "en : std_ulogic" +) +) +*29 (PortIoIn +uid 725,0 +shape (CompositeShape +uid 726,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 727,0 +sl 0 +ro 270 +xt "-2000,30625,-500,31375" +) +(Line +uid 728,0 +sl 0 +ro 270 +xt "-500,31000,0,31000" +pts [ +"-500,31000" +"0,31000" +] +) +] +) +tg (WTG +uid 729,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 730,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-4400,30300,-3000,31600" +st "en" +ju 2 +blo "-3000,31300" +tm "WireNameMgr" +) +) +) +*30 (SaComponent +uid 916,0 +optionalChildren [ +*31 (CptPort +uid 908,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 909,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,26625,48750,27375" +) +tg (CPTG +uid 910,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 911,0 +va (VaSet +font "Arial,9,0" +) +xt "44000,26400,47000,27300" +st "square" +ju 2 +blo "47000,27100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*32 (CptPort +uid 912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 913,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,26625,32000,27375" +) +tg (CPTG +uid 914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 915,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,26400,37000,27300" +st "sawtooth" +blo "33000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 917,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,23000,48000,31000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 918,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 919,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,30800,41600,31700" +st "WaveformGenerator" +blo "32600,31500" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 920,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,32000,41100,32900" +st "sawtoothToSquare" +blo "32600,32700" +tm "CptNameMgr" +) +*35 (Text +uid 921,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,33200,36600,34100" +st "I_square" +blo "32600,33900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 922,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 923,0 +text (MLText +uid 924,0 +va (VaSet +) +xt "32000,34600,51100,35800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 977,0 +optionalChildren [ +*37 (CptPort +uid 969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 970,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,42625,48750,43375" +) +tg (CPTG +uid 971,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 972,0 +va (VaSet +font "Arial,9,0" +) +xt "43000,42400,47000,43300" +st "triangle" +ju 2 +blo "47000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*38 (CptPort +uid 973,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,42625,32000,43375" +) +tg (CPTG +uid 975,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 976,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,42400,37000,43300" +st "sawtooth" +blo "33000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 978,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,39000,48000,47000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 979,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 980,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,46800,41600,47700" +st "WaveformGenerator" +blo "32600,47500" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 981,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,48000,42100,48900" +st "sawtoothToTriangle" +blo "32600,48700" +tm "CptNameMgr" +) +*41 (Text +uid 982,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,49200,35100,50100" +st "I_tri" +blo "32600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 983,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 984,0 +text (MLText +uid 985,0 +va (VaSet +) +xt "32000,50600,51100,51800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 1011,0 +optionalChildren [ +*43 (CptPort +uid 1003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1004,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72000,42625,72750,43375" +) +tg (CPTG +uid 1005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1006,0 +va (VaSet +font "Arial,9,0" +) +xt "67500,42400,71000,43300" +st "polygon" +ju 2 +blo "71000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*44 (CptPort +uid 1007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1008,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55250,42625,56000,43375" +) +tg (CPTG +uid 1009,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1010,0 +va (VaSet +font "Arial,9,0" +) +xt "57000,42400,61000,43300" +st "triangle" +blo "57000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 1012,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56000,39000,72000,47000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 1013,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1014,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,46800,65600,47700" +st "WaveformGenerator" +blo "56600,47500" +tm "BdLibraryNameMgr" +) +*46 (Text +uid 1015,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,48000,65600,48900" +st "triangleToPolygon" +blo "56600,48700" +tm "CptNameMgr" +) +*47 (Text +uid 1016,0 +va (VaSet +font "Arial,9,1" +) +xt "56600,49200,59600,50100" +st "I_poly" +blo "56600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1017,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1018,0 +text (MLText +uid 1019,0 +va (VaSet +) +xt "56000,50600,75100,51800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*48 (SaComponent +uid 1036,0 +optionalChildren [ +*49 (CptPort +uid 1020,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1021,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,46625,80000,47375" +) +tg (CPTG +uid 1022,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1023,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,46400,83500,47300" +st "clock" +blo "81000,47100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*50 (CptPort +uid 1024,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1025,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96000,42625,96750,43375" +) +tg (CPTG +uid 1026,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1027,0 +va (VaSet +font "Arial,9,0" +) +xt "89500,42400,95000,43300" +st "lowpassOut" +ju 2 +blo "95000,43100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*51 (CptPort +uid 1028,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1029,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,48625,80000,49375" +) +tg (CPTG +uid 1030,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1031,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,48400,83500,49300" +st "reset" +blo "81000,49100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*52 (CptPort +uid 1032,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1033,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,42625,80000,43375" +) +tg (CPTG +uid 1034,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1035,0 +va (VaSet +font "Arial,9,0" +) +xt "81000,42400,85500,43300" +st "lowpassIn" +blo "81000,43100" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1037,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "80000,39000,96000,51000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1038,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 1039,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,50800,89600,51700" +st "WaveformGenerator" +blo "80600,51500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 1040,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,52000,84100,52900" +st "lowpass" +blo "80600,52700" +tm "CptNameMgr" +) +*55 (Text +uid 1041,0 +va (VaSet +font "Arial,9,1" +) +xt "80600,53200,82600,54100" +st "I_lp" +blo "80600,53900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1042,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1043,0 +text (MLText +uid 1044,0 +va (VaSet +) +xt "80000,54600,102900,57000" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = 10 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "10" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*56 (SaComponent +uid 1227,0 +optionalChildren [ +*57 (CptPort +uid 1207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,32625,8000,33375" +) +tg (CPTG +uid 1209,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1210,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,32400,11500,33300" +st "clock" +blo "9000,33100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*58 (CptPort +uid 1211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,26625,24750,27375" +) +tg (CPTG +uid 1213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1214,0 +va (VaSet +font "Arial,9,0" +) +xt "19000,26400,23000,27300" +st "sawtooth" +ju 2 +blo "23000,27100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*59 (CptPort +uid 1215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,34625,8000,35375" +) +tg (CPTG +uid 1217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1218,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,34400,11500,35300" +st "reset" +blo "9000,35100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*60 (CptPort +uid 1219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,26625,8000,27375" +) +tg (CPTG +uid 1221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1222,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,26400,11000,27300" +st "step" +blo "9000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*61 (CptPort +uid 1223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,30625,8000,31375" +) +tg (CPTG +uid 1225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1226,0 +va (VaSet +font "Arial,9,0" +) +xt "9000,30400,10000,31300" +st "en" +blo "9000,31100" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1228,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,23000,24000,37000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 1229,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 1230,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,36800,17600,37700" +st "WaveformGenerator" +blo "8600,37500" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 1231,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,37700,14600,38600" +st "sawtoothGen" +blo "8600,38400" +tm "CptNameMgr" +) +*64 (Text +uid 1232,0 +va (VaSet +font "Arial,9,1" +) +xt "8600,38600,11100,39500" +st "I_saw" +blo "8600,39300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1233,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1234,0 +text (MLText +uid 1235,0 +va (VaSet +) +xt "8000,40600,27100,41800" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,33000,7250,33000" +pts [ +"0,33000" +"7250,33000" +] +) +start &1 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "0,31600,3500,32900" +st "clock" +blo "0,32600" +tm "WireNameMgr" +) +) +on &2 +) +*66 (Wire +uid 184,0 +shape (OrthoPolyLine +uid 185,0 +va (VaSet +vasetType 3 +) +xt "76000,47000,79250,47000" +pts [ +"76000,47000" +"79250,47000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 190,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 191,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,45600,79500,46900" +st "clock" +blo "76000,46600" +tm "WireNameMgr" +) +) +on &2 +) +*67 (Wire +uid 192,0 +shape (OrthoPolyLine +uid 193,0 +va (VaSet +vasetType 3 +) +xt "76000,49000,79250,49000" +pts [ +"76000,49000" +"79250,49000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 198,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 199,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,47600,79500,48900" +st "reset" +blo "76000,48600" +tm "WireNameMgr" +) +) +on &4 +) +*68 (Wire +uid 237,0 +shape (OrthoPolyLine +uid 238,0 +va (VaSet +vasetType 3 +) +xt "0,35000,7250,35000" +pts [ +"0,35000" +"7250,35000" +] +) +start &3 +end &59 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +font "Arial,12,0" +) +xt "0,33600,3500,34900" +st "reset" +blo "0,34600" +tm "WireNameMgr" +) +) +on &4 +) +*69 (Wire +uid 319,0 +shape (OrthoPolyLine +uid 320,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,27000,7250,27000" +pts [ +"7250,27000" +"0,27000" +] +) +start &60 +end &22 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 323,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 324,0 +va (VaSet +font "Arial,12,0" +) +xt "1000,25600,3800,26900" +st "step" +blo "1000,26600" +tm "WireNameMgr" +) +) +on &16 +) +*70 (Wire +uid 414,0 +optionalChildren [ +*71 (BdJunction +uid 476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 477,0 +va (VaSet +vasetType 1 +) +xt "27600,26600,28400,27400" +radius 400 +) +) +*72 (BdJunction +uid 607,0 +ps "OnConnectorStrategy" +shape (Circle +uid 608,0 +va (VaSet +vasetType 1 +) +xt "27600,26600,28400,27400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,27000,31250,27000" +pts [ +"24750,27000" +"31250,27000" +] +) +start &58 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "25000,25600,30600,26900" +st "sawtooth" +blo "25000,26600" +tm "WireNameMgr" +) +) +on &17 +) +*73 (Wire +uid 424,0 +optionalChildren [ +*74 (BdJunction +uid 627,0 +ps "OnConnectorStrategy" +shape (Circle +uid 628,0 +va (VaSet +vasetType 1 +) +xt "51600,42600,52400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48750,43000,55250,43000" +pts [ +"48750,43000" +"55250,43000" +] +) +start &37 +end &44 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "50000,41600,55600,42900" +st "triangle" +blo "50000,42600" +tm "WireNameMgr" +) +) +on &18 +) +*75 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,27000,31250,43000" +pts [ +"28000,27000" +"28000,43000" +"31250,43000" +] +) +start &71 +end &38 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 475,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24250,41600,29850,42900" +st "sawtooth" +blo "24250,42600" +tm "WireNameMgr" +) +) +on &17 +) +*76 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48750,27000,52000,27000" +pts [ +"48750,27000" +"52000,27000" +] +) +start &31 +end &24 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 485,0 +va (VaSet +font "Arial,12,0" +) +xt "50750,25600,54950,26900" +st "square" +blo "50750,26600" +tm "WireNameMgr" +) +) +on &19 +) +*77 (Wire +uid 513,0 +optionalChildren [ +*78 (BdJunction +uid 641,0 +ps "OnConnectorStrategy" +shape (Circle +uid 642,0 +va (VaSet +vasetType 1 +) +xt "75600,42600,76400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 514,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "72750,43000,79250,43000" +pts [ +"72750,43000" +"79250,43000" +] +) +start &43 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 517,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 518,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "74000,41600,78900,42900" +st "polygon" +blo "74000,42600" +tm "WireNameMgr" +) +) +on &20 +) +*79 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "96750,43000,104000,43000" +pts [ +"96750,43000" +"104000,43000" +] +) +start &50 +end &27 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 567,0 +va (VaSet +font "Arial,12,0" +) +xt "100000,41600,102800,42900" +st "sine" +blo "100000,42600" +tm "WireNameMgr" +) +) +on &21 +) +*80 (Wire +uid 601,0 +shape (OrthoPolyLine +uid 602,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,19000,32000,27000" +pts [ +"28000,27000" +"28000,19000" +"32000,19000" +] +) +start &72 +end &23 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 606,0 +va (VaSet +font "Arial,12,0" +) +xt "26000,17600,31600,18900" +st "sawtooth" +blo "26000,18600" +tm "WireNameMgr" +) +) +on &17 +) +*81 (Wire +uid 621,0 +shape (OrthoPolyLine +uid 622,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,35000,56000,43000" +pts [ +"52000,43000" +"52000,35000" +"56000,35000" +] +) +start &74 +end &25 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 626,0 +va (VaSet +font "Arial,12,0" +) +xt "52000,33600,57600,34900" +st "triangle" +blo "52000,34600" +tm "WireNameMgr" +) +) +on &18 +) +*82 (Wire +uid 635,0 +shape (OrthoPolyLine +uid 636,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,35000,80000,43000" +pts [ +"76000,43000" +"76000,35000" +"80000,35000" +] +) +start &78 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 639,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 640,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,33600,80900,34900" +st "polygon" +blo "76000,34600" +tm "WireNameMgr" +) +) +on &20 +) +*83 (Wire +uid 719,0 +shape (OrthoPolyLine +uid 720,0 +va (VaSet +vasetType 3 +) +xt "0,31000,7250,31000" +pts [ +"7250,31000" +"0,31000" +] +) +start &61 +end &29 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 724,0 +va (VaSet +font "Arial,12,0" +) +xt "1000,29600,2400,30900" +st "en" +blo "1000,30600" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*86 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*88 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*89 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*90 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*91 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*92 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*93 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4571,-1604,138105,75916" +cachedDiagramExtent "-24700,0,129400,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1289,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*95 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*96 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*98 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*99 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*101 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*102 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*104 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*105 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*107 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*108 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*110 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*112 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*114 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,4800,3500,5700" +st "Declarations" +blo "-3000,5500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,5700,0,6600" +st "Ports:" +blo "-3000,6400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,4800,1500,5700" +st "Pre User:" +blo "-3000,5500" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +) +xt "-3000,4800,-3000,4800" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,14700,5500,15600" +st "Diagram Signals:" +blo "-3000,15400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,4800,2500,5700" +st "Post User:" +blo "-3000,5500" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,4800,-3000,4800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *115 (LEmptyRow +) +uid 787,0 +optionalChildren [ +*116 (RefLabelRowHdr +) +*117 (TitleRowHdr +) +*118 (FilterRowHdr +) +*119 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*120 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*121 (GroupColHdr +tm "GroupColHdrMgr" +) +*122 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*123 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*124 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*125 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*126 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*127 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 768,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 770,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 772,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 774,0 +) +*132 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +uid 776,0 +) +*133 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +uid 778,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 7,0 +) +) +uid 780,0 +) +*135 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +uid 782,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 784,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 800,0 +optionalChildren [ +*137 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *138 (MRCItem +litem &115 +pos 9 +dimension 20 +) +uid 802,0 +optionalChildren [ +*139 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 803,0 +) +*140 (MRCItem +litem &117 +pos 1 +dimension 23 +uid 804,0 +) +*141 (MRCItem +litem &118 +pos 2 +hidden 1 +dimension 20 +uid 805,0 +) +*142 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 769,0 +) +*143 (MRCItem +litem &129 +pos 1 +dimension 20 +uid 771,0 +) +*144 (MRCItem +litem &130 +pos 2 +dimension 20 +uid 773,0 +) +*145 (MRCItem +litem &131 +pos 3 +dimension 20 +uid 775,0 +) +*146 (MRCItem +litem &132 +pos 4 +dimension 20 +uid 777,0 +) +*147 (MRCItem +litem &133 +pos 5 +dimension 20 +uid 779,0 +) +*148 (MRCItem +litem &134 +pos 6 +dimension 20 +uid 781,0 +) +*149 (MRCItem +litem &135 +pos 7 +dimension 20 +uid 783,0 +) +*150 (MRCItem +litem &136 +pos 8 +dimension 20 +uid 785,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 806,0 +optionalChildren [ +*151 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 807,0 +) +*152 (MRCItem +litem &121 +pos 1 +dimension 50 +uid 808,0 +) +*153 (MRCItem +litem &122 +pos 2 +dimension 100 +uid 809,0 +) +*154 (MRCItem +litem &123 +pos 3 +dimension 50 +uid 810,0 +) +*155 (MRCItem +litem &124 +pos 4 +dimension 100 +uid 811,0 +) +*156 (MRCItem +litem &125 +pos 5 +dimension 100 +uid 812,0 +) +*157 (MRCItem +litem &126 +pos 6 +dimension 50 +uid 813,0 +) +*158 (MRCItem +litem &127 +pos 7 +dimension 80 +uid 814,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 801,0 +vaOverrides [ +] +) +] +) +uid 786,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *159 (LEmptyRow +) +uid 816,0 +optionalChildren [ +*160 (RefLabelRowHdr +) +*161 (TitleRowHdr +) +*162 (FilterRowHdr +) +*163 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*164 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*165 (GroupColHdr +tm "GroupColHdrMgr" +) +*166 (NameColHdr +tm "GenericNameColHdrMgr" +) +*167 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*168 (InitColHdr +tm "GenericValueColHdrMgr" +) +*169 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*170 (EolColHdr +tm "GenericEolColHdrMgr" +) +*171 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 905,0 +) +*172 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 907,0 +) +] +) +pdm (PhysicalDM +uid 828,0 +optionalChildren [ +*173 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *174 (MRCItem +litem &159 +pos 2 +dimension 20 +) +uid 830,0 +optionalChildren [ +*175 (MRCItem +litem &160 +pos 0 +dimension 20 +uid 831,0 +) +*176 (MRCItem +litem &161 +pos 1 +dimension 23 +uid 832,0 +) +*177 (MRCItem +litem &162 +pos 2 +hidden 1 +dimension 20 +uid 833,0 +) +*178 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 904,0 +) +*179 (MRCItem +litem &172 +pos 1 +dimension 20 +uid 906,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 834,0 +optionalChildren [ +*180 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 835,0 +) +*181 (MRCItem +litem &165 +pos 1 +dimension 50 +uid 836,0 +) +*182 (MRCItem +litem &166 +pos 2 +dimension 100 +uid 837,0 +) +*183 (MRCItem +litem &167 +pos 3 +dimension 100 +uid 838,0 +) +*184 (MRCItem +litem &168 +pos 4 +dimension 50 +uid 839,0 +) +*185 (MRCItem +litem &169 +pos 5 +dimension 50 +uid 840,0 +) +*186 (MRCItem +litem &170 +pos 6 +dimension 80 +uid 841,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 829,0 +vaOverrides [ +] +) +] +) +uid 815,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb new file mode 100644 index 0000000..a15304e --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator/hds/waveform@gen/symbol.sb @@ -0,0 +1,1936 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 192,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 193,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +uid 194,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +uid 195,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +uid 196,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 197,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +uid 198,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 199,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 200,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 201,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 202,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 134,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*28 (MRCItem +litem &2 +pos 8 +dimension 20 +uid 155,0 +) +*29 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 156,0 +) +*30 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 157,0 +) +*31 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 158,0 +) +*32 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 159,0 +) +*33 (MRCItem +litem &7 +pos 6 +dimension 20 +uid 160,0 +) +*34 (MRCItem +litem &8 +pos 3 +dimension 20 +uid 161,0 +) +*35 (MRCItem +litem &9 +pos 1 +dimension 20 +uid 162,0 +) +*36 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 138,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 142,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 144,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 146,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 148,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 150,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 152,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 191,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 189,0 +) +*58 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 275,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *60 (MRCItem +litem &45 +pos 2 +dimension 20 +) +uid 165,0 +optionalChildren [ +*61 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 168,0 +) +*62 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 170,0 +) +*63 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 172,0 +) +*64 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 190,0 +) +*65 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 276,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 166,0 +optionalChildren [ +*66 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 174,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 178,0 +) +*68 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 180,0 +) +*69 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 182,0 +) +*70 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 184,0 +) +*71 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 186,0 +) +*72 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 188,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen" +) +(vvPair +variable "d_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveformGen" +) +(vvPair +variable "date" +value "06.03.2019" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "06" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "06.03.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WEA20303" +) +(vvPair +variable "graphical_source_time" +value "17:19:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WEA20303" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "waveformGen" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveform@gen\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\Lissajous\\Prefs\\..\\..\\WaveformGenerator\\WaveformGenerator\\hds\\waveformGen\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:19:13" +) +(vvPair +variable "unit" +value "waveformGen" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,25400,36400,26600" +st "clock" +blo "33000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9800,19000,10600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,27400,36300,28600" +st "reset" +blo "33000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,19000,12200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*76 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "Verdana,9,0" +) +xt "42400,23400,47000,24600" +st "polygon" +ju 2 +blo "47000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,30500,13800" +st "polygon : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*77 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "Verdana,9,0" +) +xt "41800,17400,47000,18600" +st "sawtooth" +ju 2 +blo "47000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,30000,14600" +st "sawtooth : OUT unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +) +*78 (CptPort +uid 103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 106,0 +va (VaSet +font "Verdana,9,0" +) +xt "44200,25400,47000,26600" +st "sine" +ju 2 +blo "47000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,30500,15400" +st "sine : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*79 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +font "Verdana,9,0" +) +xt "42900,19400,47000,20600" +st "square" +ju 2 +blo "47000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,30500,16200" +st "square : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +) +*80 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,17400,35900,18600" +st "step" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,30000,13000" +st "step : IN unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*81 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "Verdana,9,0" +) +xt "42500,21400,47000,22600" +st "triangle" +ju 2 +blo "47000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,29500,17000" +st "triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +) +*82 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "Verdana,9,0" +) +xt "33000,23400,34900,24600" +st "en" +blo "33000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,10600,19000,11400" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,48000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,29800,44100,31000" +st "WaveformGenerator" +blo "32600,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,31000,40800,32200" +st "waveformGen" +blo "32600,32000" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "32000,33600,46000,36800" +st "Generic Declarations + +phaseBitNb positive 16 +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,47800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,36,1389,979" +viewArea "-1100,-1100,74885,50965" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,17000,2400,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 437,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd new file mode 100644 index 0000000..52d3ddd --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hdl/waveformGen_tester_test.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE test OF waveformGen_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +begin + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- enable + en <= '0', '1' after 100 us; + + ------------------------------------------------------------------------------ + -- frequency control + step <= to_unsigned(2**(step'length-13), step'length); + +END ARCHITECTURE test; + diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformGen_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/.hdlsidedata/_waveformgen_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf b/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf new file mode 100644 index 0000000..25a3e3e --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom waveform@gen_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf b/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf new file mode 100644 index 0000000..f982b8b --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/_waveformgen_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom waveformGen_tester_test.vhd diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd new file mode 100644 index 0000000..1363e34 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/struct.bd @@ -0,0 +1,2865 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "WaveformGenerator_test" +duName "waveformGen_tester" +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "WaveformGenerator" +duName "waveformGen" +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +mwi 0 +uid 954,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:39:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR/$DESIGN_NAME/$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:39:46" +) +(vvPair +variable "unit" +value "waveformGen_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +) +xt "2000,14600,17400,15800" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +) +xt "2000,12200,17500,13400" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,38000,71000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,46200,31200,47100" +st "WaveformGenerator_test" +blo "19700,46900" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,47400,29200,48300" +st "waveformGen_tester" +blo "19700,48100" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +font "Arial,9,0" +) +xt "19700,48600,21700,49500" +st "I_tb" +blo "19700,49300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "19000,50000,45200,52400" +st "bitNb = bitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "bitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*18 (Net +uid 594,0 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 595,0 +va (VaSet +) +xt "2000,15800,28300,17000" +st "SIGNAL step : unsigned(bitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 700,0 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +declText (MLText +uid 701,0 +va (VaSet +) +xt "2000,13400,16900,14600" +st "SIGNAL en : std_ulogic" +) +) +*20 (SaComponent +uid 954,0 +optionalChildren [ +*21 (CptPort +uid 918,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 919,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,25625,39000,26375" +) +tg (CPTG +uid 920,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 921,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,25400,42500,26300" +st "clock" +blo "40000,26100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 923,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,27625,39000,28375" +) +tg (CPTG +uid 924,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 925,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,27400,42500,28300" +st "reset" +blo "40000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*23 (CptPort +uid 926,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 927,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,23625,55750,24375" +) +tg (CPTG +uid 928,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 929,0 +va (VaSet +font "Arial,9,0" +) +xt "50500,23400,54000,24300" +st "polygon" +ju 2 +blo "54000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "polygon" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*24 (CptPort +uid 930,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 931,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,17625,55750,18375" +) +tg (CPTG +uid 932,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 933,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,17400,54000,18300" +st "sawtooth" +ju 2 +blo "54000,18100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +) +*25 (CptPort +uid 934,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 935,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,25625,55750,26375" +) +tg (CPTG +uid 936,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 937,0 +va (VaSet +font "Arial,9,0" +) +xt "52000,25400,54000,26300" +st "sine" +ju 2 +blo "54000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*26 (CptPort +uid 938,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 939,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,19625,55750,20375" +) +tg (CPTG +uid 940,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 941,0 +va (VaSet +font "Arial,9,0" +) +xt "51000,19400,54000,20300" +st "square" +ju 2 +blo "54000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 6,0 +) +) +) +*27 (CptPort +uid 942,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 943,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,17625,39000,18375" +) +tg (CPTG +uid 944,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 945,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,17400,42000,18300" +st "step" +blo "40000,18100" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*28 (CptPort +uid 946,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 947,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,21625,55750,22375" +) +tg (CPTG +uid 948,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 949,0 +va (VaSet +font "Arial,9,0" +) +xt "50000,21400,54000,22300" +st "triangle" +ju 2 +blo "54000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +) +*29 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,23625,39000,24375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "Arial,9,0" +) +xt "40000,23400,41000,24300" +st "en" +blo "40000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 955,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,14000,55000,30000" +) +oxt "32000,14000,48000,30000" +ttg (MlTextGroup +uid 956,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 957,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,30800,48600,31700" +st "WaveformGenerator" +blo "39600,31500" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 958,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,32000,45600,32900" +st "waveformGen" +blo "39600,32700" +tm "CptNameMgr" +) +*32 (Text +uid 959,0 +va (VaSet +font "Arial,9,1" +) +xt "39600,33200,42100,34100" +st "I_DUT" +blo "39600,33900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 960,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 961,0 +text (MLText +uid 962,0 +va (VaSet +) +xt "39000,34600,58400,37000" +st "phaseBitNb = bitNb ( positive ) +signalBitNb = bitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "bitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "bitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*33 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "35000,28000,38250,38000" +pts [ +"35000,38000" +"35000,28000" +"38250,28000" +] +) +start &14 +end &22 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,26600,38500,27900" +st "reset" +blo "35000,27600" +tm "WireNameMgr" +) +) +on &1 +) +*34 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "33000,26000,38250,38000" +pts [ +"33000,38000" +"33000,26000" +"38250,26000" +] +) +start &14 +end &21 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,24600,38500,25900" +st "clock" +blo "35000,25600" +tm "WireNameMgr" +) +) +on &2 +) +*35 (Wire +uid 596,0 +shape (OrthoPolyLine +uid 597,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,18000,38250,38000" +pts [ +"38250,18000" +"27000,18000" +"27000,38000" +] +) +start &27 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 601,0 +va (VaSet +font "Arial,12,0" +) +xt "34250,16600,37050,17900" +st "step" +blo "34250,17600" +tm "WireNameMgr" +) +) +on &18 +) +*36 (Wire +uid 702,0 +shape (OrthoPolyLine +uid 703,0 +va (VaSet +vasetType 3 +) +xt "31000,24000,38250,38000" +pts [ +"38250,24000" +"31000,24000" +"31000,38000" +] +) +start &29 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 706,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 707,0 +va (VaSet +font "Arial,12,0" +) +xt "35250,22600,36650,23900" +st "en" +blo "35250,23600" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *37 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 143,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*39 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*41 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*42 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*44 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*45 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*46 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1194,-1194,105025,56517" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1148,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*48 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*49 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*51 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*52 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*54 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*55 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*57 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*58 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*60 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*61 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*63 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*65 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*67 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5000,6500,5900" +st "Declarations" +blo "0,5700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,5900,3000,6800" +st "Ports:" +blo "0,6600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6800,4500,7700" +st "Pre User:" +blo "0,7500" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,7700,26900,11300" +st "constant bitNb: positive := 16; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,11300,8500,12200" +st "Diagram Signals:" +blo "0,12000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,5000,5500,5900" +st "Post User:" +blo "0,5700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,5000,0,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *68 (LEmptyRow +) +uid 717,0 +optionalChildren [ +*69 (RefLabelRowHdr +) +*70 (TitleRowHdr +) +*71 (FilterRowHdr +) +*72 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*73 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*74 (GroupColHdr +tm "GroupColHdrMgr" +) +*75 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*76 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*77 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*78 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*79 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*80 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 708,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 710,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 712,0 +) +*84 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 714,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 730,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *86 (MRCItem +litem &68 +pos 4 +dimension 20 +) +uid 732,0 +optionalChildren [ +*87 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 733,0 +) +*88 (MRCItem +litem &70 +pos 1 +dimension 23 +uid 734,0 +) +*89 (MRCItem +litem &71 +pos 2 +hidden 1 +dimension 20 +uid 735,0 +) +*90 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 709,0 +) +*91 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 711,0 +) +*92 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 713,0 +) +*93 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 715,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 736,0 +optionalChildren [ +*94 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 737,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 50 +uid 738,0 +) +*96 (MRCItem +litem &75 +pos 2 +dimension 100 +uid 739,0 +) +*97 (MRCItem +litem &76 +pos 3 +dimension 50 +uid 740,0 +) +*98 (MRCItem +litem &77 +pos 4 +dimension 100 +uid 741,0 +) +*99 (MRCItem +litem &78 +pos 5 +dimension 100 +uid 742,0 +) +*100 (MRCItem +litem &79 +pos 6 +dimension 50 +uid 743,0 +) +*101 (MRCItem +litem &80 +pos 7 +dimension 80 +uid 744,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 731,0 +vaOverrides [ +] +) +] +) +uid 716,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 746,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 758,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 760,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 761,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 762,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 763,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 764,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 765,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 766,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 767,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 768,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 769,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 770,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 771,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 759,0 +vaOverrides [ +] +) +] +) +uid 745,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb new file mode 100644 index 0000000..cdbf741 --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tb/symbol.sb @@ -0,0 +1,1268 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb" +) +(vvPair +variable "d_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb" +) +(vvPair +variable "date" +value "25.02.2019" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "25" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "25.02.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WEA30906" +) +(vvPair +variable "graphical_source_time" +value "14:48:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WEA30906" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "waveformGen_tb" +) +(vvPair +variable "month" +value "févr." +) +(vvPair +variable "month_long" +value "février" +) +(vvPair +variable "p" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Bachelor\\SI\\Cours\\225_SEm\\COURS_COF\\Cours\\Labs\\VHDL\\WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "waveformGenerator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:48:16" +) +(vvPair +variable "unit" +value "waveformGen_tb" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,37400,16000" +st "WaveformGenerator_test" +blo "22600,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,32500,17200" +st "waveformGen_tb" +blo "22600,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,47800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,2000,44400,3000" +st "User:" +blo "42000,2800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3000,44000,3000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface new file mode 100644 index 0000000..e643aff --- /dev/null +++ b/01-WaveformGenerator/WaveformGenerator_test/hds/waveform@gen_tester/interface @@ -0,0 +1,1288 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 41,0 +) +) +uid 656,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 42,0 +) +) +uid 658,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 43,0 +) +) +uid 660,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 44,0 +) +) +uid 662,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 657,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 659,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 661,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 663,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 229,0 +) +*48 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 611,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 230,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "waveformGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:39:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "WaveformGenerator_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/WaveformGenerator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "waveformGen_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\01-WaveformGenerator\\Prefs\\..\\WaveformGenerator_test\\hds\\waveformGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:39:31" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 639,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 640,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 41,0 +) +) +) +*65 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 644,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,9400" +st "en" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 645,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,59500,3600" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 42,0 +) +) +) +*66 (CptPort +uid 646,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 647,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 648,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 649,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 650,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,59500,4400" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 43,0 +) +) +) +*67 (CptPort +uid 651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 652,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 654,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10600" +st "step" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 655,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,67000,5200" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,9000,46350,10000" +st "WaveformGenerator_test" +blo "35650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "35650,10000,44050,11000" +st "waveformGen_tester" +blo "35650,10800" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,34500,9200" +st "Generic Declarations + +bitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *69 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,67000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "WaveformGenerator_test" +entityName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,5200,44400,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 663,0 +activeModelName "Symbol:GEN" +) diff --git a/01-WaveformGenerator/waveformGenerator.bash b/01-WaveformGenerator/waveformGenerator.bash new file mode 100755 index 0000000..933ab09 --- /dev/null +++ b/01-WaveformGenerator/waveformGenerator.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/01-WaveformGenerator/waveformGenerator.bat b/01-WaveformGenerator/waveformGenerator.bat new file mode 100644 index 0000000..efe9b97 --- /dev/null +++ b/01-WaveformGenerator/waveformGenerator.bat @@ -0,0 +1,79 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/02-SplineInterpolator/Prefs/hds.hdp b/02-SplineInterpolator/Prefs/hds.hdp new file mode 100644 index 0000000..3a75d9b --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds.hdp @@ -0,0 +1,25 @@ +[Concat] +[ModelSim] +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +SplineInterpolator = $HDS_PROJECT_DIR/../SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/02-SplineInterpolator/Prefs/hds_team/shared.hdp b/02-SplineInterpolator/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs b/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl b/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs b/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl b/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs b/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl b/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..0a7d5eb --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6092 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/02-SplineInterpolator/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918--1+57" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator" +showingHierarchy 0 +openLibs [ +"SplineInterpolator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator_test" +showingHierarchy 0 +openLibs [ +"SplineInterpolator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 1739 +yPos 76 +width 951 +height 937 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..3d39e11 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/sineGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs b/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs b/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..b9d6429 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6842 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200+2349+55" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator" +showingHierarchy 0 +openLibs [ +"SplineInterpolator" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SplineInterpolator_test" +showingHierarchy 0 +openLibs [ +"SplineInterpolator_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 14 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..3d39e11 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/sineGen.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/02-SplineInterpolator/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/02-SplineInterpolator/Scripts/cleanGenerated.bash b/02-SplineInterpolator/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/02-SplineInterpolator/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/02-SplineInterpolator/Scripts/cleanGenerated.bat b/02-SplineInterpolator/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/02-SplineInterpolator/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/02-SplineInterpolator/Scripts/cleanScratch.bat b/02-SplineInterpolator/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/02-SplineInterpolator/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/02-SplineInterpolator/Scripts/generateSSHKey.bash b/02-SplineInterpolator/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/02-SplineInterpolator/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/02-SplineInterpolator/Scripts/hdlDesigner.bash b/02-SplineInterpolator/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/02-SplineInterpolator/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/02-SplineInterpolator/Scripts/hdlDesigner.bat b/02-SplineInterpolator/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/02-SplineInterpolator/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/02-SplineInterpolator/Scripts/searchPaths.bat b/02-SplineInterpolator/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/02-SplineInterpolator/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/02-SplineInterpolator/Scripts/trimLibs.pl b/02-SplineInterpolator/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/02-SplineInterpolator/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/02-SplineInterpolator/Scripts/update_ise.pl b/02-SplineInterpolator/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/02-SplineInterpolator/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ '0'); +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd new file mode 100644 index 0000000..f213fce --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorCoefficients_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF interpolatorCoefficients IS +BEGIN + a <= (others => '0'); + b <= (others => '0'); + c <= (others => '0'); + d <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd new file mode 100644 index 0000000..428b0ab --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorShiftRegister_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF interpolatorShiftRegister IS +BEGIN + sample1 <= (others => '0'); + sample2 <= (others => '0'); + sample3 <= (others => '0'); + sample4 <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd new file mode 100644 index 0000000..9dd7350 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/interpolatorTrigger_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF interpolatorTrigger IS +BEGIN + triggerOut <= '0'; +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd new file mode 100644 index 0000000..360c161 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/offsetToUnsigned_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF offsetToUnsigned IS +BEGIN + unsignedOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd new file mode 100644 index 0000000..3d675a6 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/resizer_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF resizer IS +BEGIN + resizeOut <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd b/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd new file mode 100644 index 0000000..3d6518d --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hdl/sineTable_studentVersion.vhd @@ -0,0 +1,27 @@ +ARCHITECTURE studentVersion OF sineTable IS + + signal phaseTableAddress : unsigned(tableAddressBitNb-1 downto 0); + signal quarterSine : signed(sine'range); + +BEGIN + + phaseTableAddress <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + quarterTable: process(phaseTableAddress) + begin + case to_integer(phaseTableAddress) is + when 0 => quarterSine <= to_signed(16#0000#, quarterSine'length); + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + sine <= (others => '0'); + +END ARCHITECTURE studentVersion; diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCalculatePolynom_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCalculatePolynom_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCalculatePolynom_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCoefficients_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCoefficients_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorCoefficients_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorShiftRegister_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorShiftRegister_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorShiftRegister_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorTrigger_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorTrigger_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorTrigger_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcalculatepolynom_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorcoefficients_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatorshiftregister_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_interpolatortrigger_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsetToUnsigned_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsetToUnsigned_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsetToUnsigned_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_offsettounsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_resizer_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sineTable_studentVersion.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sineTable_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sineTable_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinegen_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhd._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/.hdlsidedata/_sinetable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcalculatepolynom._epf b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcalculatepolynom._epf new file mode 100644 index 0000000..56741fd --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcalculatepolynom._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom interpolatorCalculatePolynom_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcoefficients._epf b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcoefficients._epf new file mode 100644 index 0000000..0361627 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorcoefficients._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom interpolatorCoefficients_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorshiftregister._epf b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorshiftregister._epf new file mode 100644 index 0000000..5ff59f7 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatorshiftregister._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom interpolatorShiftRegister_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_interpolatortrigger._epf b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatortrigger._epf new file mode 100644 index 0000000..c5b0ad1 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_interpolatortrigger._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom interpolatorTrigger_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_offsettounsigned._epf b/02-SplineInterpolator/SplineInterpolator/hds/_offsettounsigned._epf new file mode 100644 index 0000000..62b5327 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_offsettounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom offsetToUnsigned_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_resizer._epf b/02-SplineInterpolator/SplineInterpolator/hds/_resizer._epf new file mode 100644 index 0000000..1693d2c --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_resizer._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom resizer_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_sinegen._epf b/02-SplineInterpolator/SplineInterpolator/hds/_sinegen._epf new file mode 100644 index 0000000..6851f1a --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_sinegen._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sine@gen/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/02-SplineInterpolator/SplineInterpolator/hds/_sinetable._epf b/02-SplineInterpolator/SplineInterpolator/hds/_sinetable._epf new file mode 100644 index 0000000..4501d06 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/_sinetable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom sineTable_studentVersion.vhd diff --git a/02-SplineInterpolator/SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb new file mode 100644 index 0000000..1b614fd --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb @@ -0,0 +1,1938 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 214,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 215,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 216,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 217,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 218,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 219,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 220,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 221,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 222,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 223,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 224,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 147,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 150,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 152,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 173,0 +) +*29 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 174,0 +) +*30 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 175,0 +) +*31 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 176,0 +) +*32 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 177,0 +) +*33 (MRCItem +litem &7 +pos 8 +dimension 20 +uid 178,0 +) +*34 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 179,0 +) +*35 (MRCItem +litem &9 +pos 5 +dimension 20 +uid 180,0 +) +*36 (MRCItem +litem &10 +pos 6 +dimension 20 +uid 181,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 148,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 156,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 160,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 162,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 164,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 166,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 168,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 170,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 172,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 146,0 +vaOverrides [ +] +) +] +) +uid 213,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 226,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 207,0 +) +*58 (LogGeneric +generic (GiElement +name "coeffBitNb" +type "positive" +value "16" +) +uid 208,0 +) +*59 (LogGeneric +generic (GiElement +name "oversamplingBitNb" +type "positive" +value "8" +) +uid 209,0 +) +] +) +pdm (PhysicalDM +uid 227,0 +optionalChildren [ +*60 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *61 (MRCItem +litem &45 +pos 3 +dimension 20 +) +uid 183,0 +optionalChildren [ +*62 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 186,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 188,0 +) +*64 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 190,0 +) +*65 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 210,0 +) +*66 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 211,0 +) +*67 (MRCItem +litem &59 +pos 2 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*68 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 192,0 +) +*69 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 196,0 +) +*70 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 198,0 +) +*71 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 200,0 +) +*72 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 202,0 +) +*73 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 204,0 +) +*74 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 206,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 182,0 +vaOverrides [ +] +) +] +) +uid 225,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@calculate@polynom" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCalculatePolynom" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorCalculatePolynom" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorCalculatePolynom" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@calculate@polynom/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCalculatePolynom/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:14" +) +(vvPair +variable "unit" +value "interpolatorCalculatePolynom" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,24625,37000,25375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "38000,24400,41400,25600" +st "clock" +blo "38000,25400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,21000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*77 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,26625,37000,27375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "38000,26400,41300,27600" +st "reset" +blo "38000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,21000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,18625,37000,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "38000,18400,47100,19600" +st "restartPolynom" +blo "38000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,21000,13700" +st "restartPolynom : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*79 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,16625,37000,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "38000,16400,39300,17600" +st "d" +blo "38000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,30500,14600" +st "d : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*80 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,10625,53750,11375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "45800,10400,52000,11600" +st "sampleOut" +ju 2 +blo "52000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,31000,15500" +st "sampleOut : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*81 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "38000,14400,39300,15600" +st "c" +blo "38000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,30500,16400" +st "c : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*82 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "38000,12400,39300,13600" +st "b" +blo "38000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,30500,17300" +st "b : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*83 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "38000,10400,39300,11600" +st "a" +blo "38000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,30500,18200" +st "a : IN signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*84 (CptPort +uid 141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,22625,37000,23375" +) +tg (CPTG +uid 143,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,9,0" +) +xt "38000,22400,39900,23600" +st "en" +blo "38000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,20000,19100" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,7000,53000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "37600,29800,47100,30700" +st "SplineInterpolator" +blo "37600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "37600,30700,52100,31600" +st "interpolatorCalculatePolynom" +blo "37600,31400" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "37000,34000,53000,38500" +st "Generic Declarations + +signalBitNb positive 16 +coeffBitNb positive 16 +oversamplingBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "coeffBitNb" +type "positive" +value "16" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 16,0 +optionalChildren [ +*87 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*92 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *97 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*99 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,37,1394,976" +viewArea "-1062,-1062,74600,50259" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *100 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *101 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19100,2500,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 250,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb new file mode 100644 index 0000000..b687437 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@coefficients/symbol.sb @@ -0,0 +1,1933 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 220,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +uid 221,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 222,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 223,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +uid 224,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 225,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 226,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 227,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 228,0 +) +*10 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 229,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 230,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 155,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 158,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 160,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*28 (MRCItem +litem &2 +pos 6 +dimension 20 +uid 181,0 +) +*29 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 182,0 +) +*30 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 183,0 +) +*31 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 184,0 +) +*32 (MRCItem +litem &6 +pos 8 +dimension 20 +uid 185,0 +) +*33 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 186,0 +) +*34 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 187,0 +) +*35 (MRCItem +litem &9 +pos 5 +dimension 20 +uid 188,0 +) +*36 (MRCItem +litem &10 +pos 4 +dimension 20 +uid 189,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 164,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 168,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 170,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 172,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 174,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 176,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 178,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 180,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 154,0 +vaOverrides [ +] +) +] +) +uid 219,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 232,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 215,0 +) +*58 (LogGeneric +generic (GiElement +name "coeffBitNb" +type "positive" +value "16" +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 233,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *60 (MRCItem +litem &45 +pos 2 +dimension 20 +) +uid 191,0 +optionalChildren [ +*61 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 194,0 +) +*62 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 196,0 +) +*63 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 198,0 +) +*64 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 217,0 +) +*65 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 218,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 192,0 +optionalChildren [ +*66 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 200,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 206,0 +) +*69 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 208,0 +) +*70 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 210,0 +) +*71 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 212,0 +) +*72 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 214,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 190,0 +vaOverrides [ +] +) +] +) +uid 231,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCoefficients" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorCoefficients" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorCoefficients" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@coefficients/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorCoefficients/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:20" +) +(vvPair +variable "unit" +value "interpolatorCoefficients" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,14625,33000,15375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "34000,14400,39000,15600" +st "sample1" +blo "34000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,29500,11900" +st "sample1 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 146,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "34000,16400,39000,17600" +st "sample2" +blo "34000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,29500,12800" +st "sample2 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "34000,18400,39000,19600" +st "sample3" +blo "34000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,29500,13700" +st "sample3 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "34000,20400,39000,21600" +st "sample4" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29500,14600" +st "sample4 : IN signed (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,14625,49750,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46700,14400,48000,15600" +st "a" +ju 2 +blo "48000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,32000,15500" +st "a : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,16625,49750,17375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "46700,16400,48000,17600" +st "b" +ju 2 +blo "48000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,32000,16400" +st "b : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*80 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,20625,49750,21375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "46700,20400,48000,21600" +st "d" +ju 2 +blo "48000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,32000,18200" +st "d : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*81 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,18625,49750,19375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "courier,9,0" +) +xt "46700,18400,48000,19600" +st "c" +ju 2 +blo "48000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,32000,17300" +st "c : OUT signed (coeffBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*82 (CptPort +uid 149,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 150,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,22625,33000,23375" +) +tg (CPTG +uid 151,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 152,0 +va (VaSet +font "courier,9,0" +) +xt "34000,22400,43900,23600" +st "interpolateLinear" +blo "34000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 153,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,21500,19100" +st "interpolateLinear : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,11000,49000,27000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "33600,26800,43100,27700" +st "SplineInterpolator" +blo "33600,27500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "33600,27700,46100,28600" +st "interpolatorCoefficients" +blo "33600,28400" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,30800,45500,34400" +st "Generic Declarations + +bitNb positive 16 +coeffBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +(GiElement +name "coeffBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,38,1394,1005" +viewArea "-1062,-1062,74600,52062" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19100,2500,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 256,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb new file mode 100644 index 0000000..afcf1da --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@shift@register/symbol.sb @@ -0,0 +1,1846 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 188,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 189,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 191,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 192,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 193,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 194,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 195,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 196,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 197,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 126,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 129,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 131,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 152,0 +) +*28 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &4 +pos 7 +dimension 20 +uid 154,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 156,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 157,0 +) +*33 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 158,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 135,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 139,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 141,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 143,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 145,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 147,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 149,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 151,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 187,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 185,0 +) +] +) +pdm (PhysicalDM +uid 200,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 161,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 164,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 166,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 170,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 174,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 176,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 178,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 180,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 182,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 198,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorShiftRegister" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorShiftRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:24" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorShiftRegister" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@shift@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorShiftRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:24" +) +(vvPair +variable "unit" +value "interpolatorShiftRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "36000,18400,39400,19600" +st "clock" +blo "36000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,20000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "36000,20400,39300,21600" +st "reset" +blo "36000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,20000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,14625,35000,15375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "36000,14400,43900,15600" +st "shiftSamples" +blo "36000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,20000,13700" +st "shiftSamples : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,12625,35000,13375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,9,0" +) +xt "36000,12400,41400,13600" +st "sampleIn" +blo "36000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,30000,14600" +st "sampleIn : IN signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,12625,51750,13375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "45000,12400,50000,13600" +st "sample1" +ju 2 +blo "50000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,30000,15500" +st "sample1 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,14625,51750,15375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "45000,14400,50000,15600" +st "sample2" +ju 2 +blo "50000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,30000,16400" +st "sample2 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,16625,51750,17375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "45000,16400,50000,17600" +st "sample3" +ju 2 +blo "50000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,30000,17300" +st "sample3 : OUT signed (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,18625,51750,19375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "45000,18400,50000,19600" +st "sample4" +ju 2 +blo "50000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,29000,18200" +st "sample4 : OUT signed (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,9000,51000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "35600,22800,45100,23700" +st "SplineInterpolator" +blo "35600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "35600,23700,48600,24600" +st "interpolatorShiftRegister" +blo "35600,24400" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,26600,48000,29300" +st "Generic Declarations + +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,28,1396,982" +viewArea "-1060,-1060,74589,51129" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,18200,2500,19100" +st "User:" +blo "0,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,2000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 223,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb new file mode 100644 index 0000000..5a5f514 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/interpolator@trigger/symbol.sb @@ -0,0 +1,1583 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 162,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 127,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 128,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "4" +) +uid 155,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorTrigger" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "interpolatorTrigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "interpolatorTrigger" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolator@trigger/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/interpolatorTrigger/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:28" +) +(vvPair +variable "unit" +value "interpolatorTrigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,9625,48750,10375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "40400,9400,47000,10600" +st "triggerOut" +ju 2 +blo "47000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,36400,14600" +st "clock" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "33000,15400,36300,16600" +st "reset" +blo "33000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,9625,32000,10375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "33000,9400,34900,10600" +st "en" +blo "33000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18000,14600" +st "en : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,6000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,42600,19600" +st "interpolatorTrigger" +blo "32600,19400" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21600,45000,24300" +st "Generic Declarations + +counterBitNb positive 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "4" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,43,1395,998" +viewArea "-1060,-1060,74589,51194" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 189,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb new file mode 100644 index 0000000..af025f3 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/offset@to@unsigned/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offsetToUnsigned" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "offsetToUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:32" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "offsetToUnsigned" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offset@to@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/offsetToUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:32" +) +(vvPair +variable "unit" +value "offsetToUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "39200,13400,47000,14600" +st "unsignedOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,27500,11900" +st "unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,38100,14600" +st "signedIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25500,12800" +st "signedIn : IN signed (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,41100,19600" +st "offsetToUnsigned" +blo "32600,19400" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21800,42500,24500" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 16,0 +optionalChildren [ +*62 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,33,1390,980" +viewArea "-1067,-1067,74651,51029" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 175,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb new file mode 100644 index 0000000..18ecf86 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/resizer/symbol.sb @@ -0,0 +1,1486 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 150,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 90,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 93,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 103,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 105,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 107,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 109,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 111,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 113,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "16" +) +uid 143,0 +) +*44 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "16" +) +uid 144,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 119,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 122,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 124,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 145,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 128,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 132,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 134,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 136,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 138,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "resizer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "resizer" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/resizer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:36" +) +(vvPair +variable "unit" +value "resizer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "41400,13400,47000,14600" +st "resizeOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,29500,11900" +st "resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,37800,14600" +st "resizeIn" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,28000,12800" +st "resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,36100,19600" +st "resizer" +blo "32600,19400" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21800,45000,25400" +st "Generic Declarations + +inputBitNb positive 16 +outputBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "16" +) +(GiElement +name "outputBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*63 (Grouping +uid 16,0 +optionalChildren [ +*64 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*69 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,37,1393,981" +viewArea "-1064,-1064,74593,50708" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 200,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd new file mode 100644 index 0000000..9351e5b --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/struct.bd @@ -0,0 +1,7266 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_square" +duLibraryName "WaveformGenerator" +duName "sawtoothToSquare" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2908,0 +) +(Instance +name "I_tri" +duLibraryName "WaveformGenerator" +duName "sawtoothToTriangle" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2925,0 +) +(Instance +name "I_size" +duLibraryName "SplineInterpolator" +duName "resizer" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3584,0 +) +(Instance +name "I_sin" +duLibraryName "SplineInterpolator" +duName "sineTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 3601,0 +) +(Instance +name "I_saw" +duLibraryName "WaveformGenerator" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 3673,0 +) +(Instance +name "I_trig" +duLibraryName "SplineInterpolator" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 3698,0 +) +(Instance +name "I_shReg" +duLibraryName "SplineInterpolator" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3739,0 +) +(Instance +name "I_coeffs" +duLibraryName "SplineInterpolator" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 3784,0 +) +(Instance +name "I_spline" +duLibraryName "SplineInterpolator" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 3829,0 +) +(Instance +name "I_unsigned" +duLibraryName "SplineInterpolator" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 3846,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sineGen" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:42:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sine@gen\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator\\hds\\sineGen\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:42:04" +) +(vvPair +variable "unit" +value "sineGen" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "1000,51625,2500,52375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "2500,52000,3000,52000" +pts [ +"2500,52000" +"3000,52000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-3800,51300,0,52700" +st "clock" +ju 2 +blo "0,52500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7400,9300,8400" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "1000,53625,2500,54375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "2500,54000,3000,54000" +pts [ +"2500,54000" +"3000,54000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-4100,53300,0,54700" +st "reset" +ju 2 +blo "0,54500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8300,9300,9300" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,98000,123000,99000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,98500,106200,98500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "123000,94000,127000,95000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "123200,94500,123200,94500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,96000,123000,97000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,96500,106200,96500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,96000,106000,97000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,96500,102200,96500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "123000,95000,143000,99000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "123200,95200,137300,96400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,94000,143000,95000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,94500,127200,94500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,94000,123000,96000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "107350,94400,117650,95600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,97000,106000,98000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,97500,102200,97500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "102000,98000,106000,99000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "102200,98500,102200,98500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,97000,123000,98000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,97500,106200,97500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "102000,94000,143000,99000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 412,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 413,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10100,21100,11100" +st "sawtooth : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*17 (Net +uid 422,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 4,0 +) +declText (MLText +uid 423,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,12800,20400,13800" +st "triangle : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*18 (Net +uid 478,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 5,0 +) +declText (MLText +uid 479,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11900,20700,12900" +st "square : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 568,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +declText (MLText +uid 569,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11000,20200,12000" +st "sine : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 609,0 +shape (CompositeShape +uid 610,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 611,0 +sl 0 +ro 270 +xt "91500,17625,93000,18375" +) +(Line +uid 612,0 +sl 0 +ro 270 +xt "91000,18000,91500,18000" +pts [ +"91000,18000" +"91500,18000" +] +) +] +) +tg (WTG +uid 613,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 614,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,17300,120400,18700" +st "sawtooth : (signalBitNb-1 DOWNTO 0)" +blo "94000,18500" +tm "WireNameMgr" +) +) +) +*21 (PortIoOut +uid 615,0 +shape (CompositeShape +uid 616,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 617,0 +sl 0 +ro 270 +xt "91500,29625,93000,30375" +) +(Line +uid 618,0 +sl 0 +ro 270 +xt "91000,30000,91500,30000" +pts [ +"91000,30000" +"91500,30000" +] +) +] +) +tg (WTG +uid 619,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 620,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,29300,118800,30700" +st "square : (signalBitNb-1 DOWNTO 0)" +blo "94000,30500" +tm "WireNameMgr" +) +) +) +*22 (PortIoOut +uid 629,0 +shape (CompositeShape +uid 630,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 631,0 +sl 0 +ro 270 +xt "91500,45625,93000,46375" +) +(Line +uid 632,0 +sl 0 +ro 270 +xt "91000,46000,91500,46000" +pts [ +"91000,46000" +"91500,46000" +] +) +] +) +tg (WTG +uid 633,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 634,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,45300,119200,46700" +st "triangle : (signalBitNb-1 DOWNTO 0)" +blo "94000,46500" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 649,0 +shape (CompositeShape +uid 650,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 651,0 +sl 0 +ro 270 +xt "139500,37625,141000,38375" +) +(Line +uid 652,0 +sl 0 +ro 270 +xt "139000,38000,139500,38000" +pts [ +"139000,38000" +"139500,38000" +] +) +] +) +tg (WTG +uid 653,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 654,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "142000,37300,165000,38700" +st "sine : (signalBitNb-1 DOWNTO 0)" +blo "142000,38500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 726,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 15 +suid 7,0 +) +declText (MLText +uid 727,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,24500,24000,25500" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 779,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +declText (MLText +uid 780,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9200,20400,10200" +st "step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 1102,0 +decl (Decl +n "sineSamples" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 9,0 +) +declText (MLText +uid 1103,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,29000,23700,30000" +st "SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 1277,0 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 16 +suid 10,0 +) +declText (MLText +uid 1278,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,25400,23300,26400" +st "SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 1285,0 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 17 +suid 11,0 +) +declText (MLText +uid 1286,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,26300,23300,27300" +st "SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 1293,0 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 12,0 +) +declText (MLText +uid 1294,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,27200,23300,28200" +st "SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*30 (Net +uid 1301,0 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 13,0 +) +declText (MLText +uid 1302,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,28100,23300,29100" +st "SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*31 (Net +uid 1658,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 14 +suid 14,0 +) +declText (MLText +uid 1659,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,23600,14200,24600" +st "SIGNAL newPolynom : std_ulogic" +) +) +*32 (Net +uid 1701,0 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 15,0 +) +declText (MLText +uid 1702,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,18200,21900,19200" +st "SIGNAL a : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 1709,0 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 9 +suid 16,0 +) +declText (MLText +uid 1710,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,19100,21900,20100" +st "SIGNAL b : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 1717,0 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 10 +suid 17,0 +) +declText (MLText +uid 1718,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,20000,21800,21000" +st "SIGNAL c : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*35 (Net +uid 1725,0 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +declText (MLText +uid 1726,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,20900,21900,21900" +st "SIGNAL d : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*36 (Net +uid 2227,0 +decl (Decl +n "sineSigned" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 21 +suid 19,0 +) +declText (MLText +uid 2228,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,29900,23300,30900" +st "SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0)" +) +) +*37 (HdlText +uid 2375,0 +optionalChildren [ +*38 (EmbeddedText +uid 2380,0 +commentText (CommentText +uid 2381,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2382,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "12000,79000,26000,81000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2383,0 +va (VaSet +) +xt "12200,79200,20400,80400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 2376,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "11000,78000,27000,82000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2377,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 2378,0 +va (VaSet +) +xt "11400,82000,14000,83200" +st "eb2" +blo "11400,83000" +tm "HdlTextNameMgr" +) +*40 (Text +uid 2379,0 +va (VaSet +) +xt "11400,83000,12800,84200" +st "2" +blo "11400,84000" +tm "HdlTextNumberMgr" +) +] +) +) +*41 (Net +uid 2447,0 +decl (Decl +n "logic1" +t "std_ulogic" +o 13 +suid 20,0 +) +declText (MLText +uid 2448,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22700,12500,23700" +st "SIGNAL logic1 : std_ulogic" +) +) +*42 (HdlText +uid 2562,0 +optionalChildren [ +*43 (EmbeddedText +uid 2567,0 +commentText (CommentText +uid 2568,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2569,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,85000,82000,87000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2570,0 +va (VaSet +) +xt "68200,85200,76400,86400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 2563,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,84000,83000,88000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2564,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 2565,0 +va (VaSet +) +xt "67400,88000,70000,89200" +st "eb3" +blo "67400,89000" +tm "HdlTextNameMgr" +) +*45 (Text +uid 2566,0 +va (VaSet +) +xt "67400,89000,68800,90200" +st "3" +blo "67400,90000" +tm "HdlTextNumberMgr" +) +] +) +) +*46 (Net +uid 2579,0 +decl (Decl +n "logic0" +t "std_ulogic" +o 12 +suid 21,0 +) +declText (MLText +uid 2580,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,21800,12500,22800" +st "SIGNAL logic0 : std_ulogic" +) +) +*47 (PortIoIn +uid 2666,0 +shape (CompositeShape +uid 2667,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2668,0 +sl 0 +ro 270 +xt "1000,45625,2500,46375" +) +(Line +uid 2669,0 +sl 0 +ro 270 +xt "2500,46000,3000,46000" +pts [ +"2500,46000" +"3000,46000" +] +) +] +) +tg (WTG +uid 2670,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2671,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-23400,45300,0,46700" +st "step : (phaseBitNb-1 DOWNTO 0)" +ju 2 +blo "0,46500" +tm "WireNameMgr" +) +) +) +*48 (SaComponent +uid 2908,0 +optionalChildren [ +*49 (CptPort +uid 2900,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2901,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,29625,83750,30375" +) +tg (CPTG +uid 2902,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2903,0 +va (VaSet +) +xt "77900,29400,82000,30600" +st "square" +ju 2 +blo "82000,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*50 (CptPort +uid 2904,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2905,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,29625,67000,30375" +) +tg (CPTG +uid 2906,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2907,0 +va (VaSet +) +xt "68000,29400,73200,30600" +st "sawtooth" +blo "68000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 2909,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,26000,83000,34000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 2910,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 2911,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,33800,79100,35000" +st "WaveformGenerator" +blo "67600,34800" +tm "BdLibraryNameMgr" +) +*52 (Text +uid 2912,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,35000,78200,36200" +st "sawtoothToSquare" +blo "67600,36000" +tm "CptNameMgr" +) +*53 (Text +uid 2913,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,36200,72600,37400" +st "I_square" +blo "67600,37200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2914,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2915,0 +text (MLText +uid 2916,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,37600,82600,38600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*54 (SaComponent +uid 2925,0 +optionalChildren [ +*55 (CptPort +uid 2917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,45625,83750,46375" +) +tg (CPTG +uid 2919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2920,0 +va (VaSet +) +xt "77500,45400,82000,46600" +st "triangle" +ju 2 +blo "82000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*56 (CptPort +uid 2921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,45625,67000,46375" +) +tg (CPTG +uid 2923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2924,0 +va (VaSet +) +xt "68000,45400,73200,46600" +st "sawtooth" +blo "68000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 2926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,42000,83000,50000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 2927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 2928,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,49800,79100,51000" +st "WaveformGenerator" +blo "67600,50800" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 2929,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,51000,78800,52200" +st "sawtoothToTriangle" +blo "67600,52000" +tm "CptNameMgr" +) +*59 (Text +uid 2930,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,52200,70500,53400" +st "I_tri" +blo "67600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2932,0 +text (MLText +uid 2933,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,53600,82600,54600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*60 (SaComponent +uid 3584,0 +optionalChildren [ +*61 (CptPort +uid 3576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,45625,51750,46375" +) +tg (CPTG +uid 3578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3579,0 +va (VaSet +) +xt "44400,45400,50000,46600" +st "resizeOut" +ju 2 +blo "50000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "resizeOut" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 3580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,45625,35000,46375" +) +tg (CPTG +uid 3582,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3583,0 +va (VaSet +) +xt "36000,45400,40800,46600" +st "resizeIn" +blo "36000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "resizeIn" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3585,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,42000,51000,50000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3586,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 3587,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,49800,46000,51000" +st "SplineInterpolator" +blo "35600,50800" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 3588,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,50700,39500,51900" +st "resizer" +blo "35600,51700" +tm "CptNameMgr" +) +*65 (Text +uid 3589,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,51600,39200,52800" +st "I_size" +blo "35600,52600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3590,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3591,0 +text (MLText +uid 3592,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,53800,53800,55800" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*66 (SaComponent +uid 3601,0 +optionalChildren [ +*67 (CptPort +uid 3593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3594,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,61625,51750,62375" +) +tg (CPTG +uid 3595,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3596,0 +va (VaSet +) +xt "47200,61400,50000,62600" +st "sine" +ju 2 +blo "50000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*68 (CptPort +uid 3597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,61625,35000,62375" +) +tg (CPTG +uid 3599,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3600,0 +va (VaSet +) +xt "36000,61400,39700,62600" +st "phase" +blo "36000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,58000,51000,66000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3603,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 3604,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,65800,46000,67000" +st "SplineInterpolator" +blo "35600,66800" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 3605,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,66700,40900,67900" +st "sineTable" +blo "35600,67700" +tm "CptNameMgr" +) +*71 (Text +uid 3606,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,67600,38700,68800" +st "I_sin" +blo "35600,68600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3607,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3608,0 +text (MLText +uid 3609,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,70000,59000,73000" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*72 (SaComponent +uid 3673,0 +optionalChildren [ +*73 (CptPort +uid 3653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3654,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,51625,11000,52375" +) +tg (CPTG +uid 3655,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3656,0 +va (VaSet +) +xt "12000,51400,15400,52600" +st "clock" +blo "12000,52400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*74 (CptPort +uid 3657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3658,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27000,45625,27750,46375" +) +tg (CPTG +uid 3659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3660,0 +va (VaSet +) +xt "20800,45400,26000,46600" +st "sawtooth" +ju 2 +blo "26000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*75 (CptPort +uid 3661,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,53625,11000,54375" +) +tg (CPTG +uid 3663,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3664,0 +va (VaSet +) +xt "12000,53400,15300,54600" +st "reset" +blo "12000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*76 (CptPort +uid 3665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,45625,11000,46375" +) +tg (CPTG +uid 3667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3668,0 +va (VaSet +) +xt "12000,45400,14900,46600" +st "step" +blo "12000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*77 (CptPort +uid 3669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,49625,11000,50375" +) +tg (CPTG +uid 3671,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3672,0 +va (VaSet +) +xt "12000,49400,13900,50600" +st "en" +blo "12000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 3674,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,42000,27000,56000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 3675,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 3676,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,55800,23100,57000" +st "WaveformGenerator" +blo "11600,56800" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 3677,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,56700,19500,57900" +st "sawtoothGen" +blo "11600,57700" +tm "CptNameMgr" +) +*80 (Text +uid 3678,0 +va (VaSet +font "Verdana,9,1" +) +xt "11600,57600,15300,58800" +st "I_saw" +blo "11600,58600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3679,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3680,0 +text (MLText +uid 3681,0 +va (VaSet +font "Verdana,8,0" +) +xt "11000,59600,26700,60600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 3698,0 +optionalChildren [ +*82 (CptPort +uid 3682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,79625,51750,80375" +) +tg (CPTG +uid 3684,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3685,0 +va (VaSet +) +xt "43400,79400,50000,80600" +st "triggerOut" +ju 2 +blo "50000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 3686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,83625,35000,84375" +) +tg (CPTG +uid 3688,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3689,0 +va (VaSet +) +xt "36000,83400,39400,84600" +st "clock" +blo "36000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*84 (CptPort +uid 3690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,85625,35000,86375" +) +tg (CPTG +uid 3692,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3693,0 +va (VaSet +) +xt "36000,85400,39300,86600" +st "reset" +blo "36000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*85 (CptPort +uid 3694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,79625,35000,80375" +) +tg (CPTG +uid 3696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3697,0 +va (VaSet +) +xt "36000,79400,37900,80600" +st "en" +blo "36000,80400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 3699,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,76000,51000,88000" +) +oxt "32000,6000,48000,18000" +ttg (MlTextGroup +uid 3700,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 3701,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,87800,46000,89000" +st "SplineInterpolator" +blo "35600,88800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 3702,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,88700,46300,89900" +st "interpolatorTrigger" +blo "35600,89700" +tm "CptNameMgr" +) +*88 (Text +uid 3703,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,89600,39100,90800" +st "I_trig" +blo "35600,90600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3704,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3705,0 +text (MLText +uid 3706,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,91600,57100,92600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*89 (SaComponent +uid 3739,0 +optionalChildren [ +*90 (CptPort +uid 3707,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3708,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,67625,67000,68375" +) +tg (CPTG +uid 3709,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3710,0 +va (VaSet +) +xt "68000,67400,71400,68600" +st "clock" +blo "68000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*91 (CptPort +uid 3711,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3712,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,69625,67000,70375" +) +tg (CPTG +uid 3713,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3714,0 +va (VaSet +) +xt "68000,69400,71300,70600" +st "reset" +blo "68000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*92 (CptPort +uid 3715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3716,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,63625,67000,64375" +) +tg (CPTG +uid 3717,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3718,0 +va (VaSet +) +xt "68000,63400,75900,64600" +st "shiftSamples" +blo "68000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*93 (CptPort +uid 3719,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3720,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,61625,67000,62375" +) +tg (CPTG +uid 3721,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3722,0 +va (VaSet +) +xt "68000,61400,73400,62600" +st "sampleIn" +blo "68000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*94 (CptPort +uid 3723,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3724,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,61625,83750,62375" +) +tg (CPTG +uid 3725,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3726,0 +va (VaSet +) +xt "77000,61400,82000,62600" +st "sample1" +ju 2 +blo "82000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*95 (CptPort +uid 3727,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3728,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,63625,83750,64375" +) +tg (CPTG +uid 3729,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3730,0 +va (VaSet +) +xt "77000,63400,82000,64600" +st "sample2" +ju 2 +blo "82000,64400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*96 (CptPort +uid 3731,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3732,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,65625,83750,66375" +) +tg (CPTG +uid 3733,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3734,0 +va (VaSet +) +xt "77000,65400,82000,66600" +st "sample3" +ju 2 +blo "82000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*97 (CptPort +uid 3735,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3736,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,67625,83750,68375" +) +tg (CPTG +uid 3737,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3738,0 +va (VaSet +) +xt "77000,67400,82000,68600" +st "sample4" +ju 2 +blo "82000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 3740,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,58000,83000,72000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 3741,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 3742,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,71800,78000,73000" +st "SplineInterpolator" +blo "67600,72800" +tm "BdLibraryNameMgr" +) +*99 (Text +uid 3743,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,72700,82100,73900" +st "interpolatorShiftRegister" +blo "67600,73700" +tm "CptNameMgr" +) +*100 (Text +uid 3744,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,73600,72300,74800" +st "I_shReg" +blo "67600,74600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3745,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3746,0 +text (MLText +uid 3747,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,75600,85400,76600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*101 (SaComponent +uid 3784,0 +optionalChildren [ +*102 (CptPort +uid 3748,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3749,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,61625,91000,62375" +) +tg (CPTG +uid 3750,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3751,0 +va (VaSet +) +xt "92000,61400,97000,62600" +st "sample1" +blo "92000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*103 (CptPort +uid 3752,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,63625,91000,64375" +) +tg (CPTG +uid 3754,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3755,0 +va (VaSet +) +xt "92000,63400,97000,64600" +st "sample2" +blo "92000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*104 (CptPort +uid 3756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,65625,91000,66375" +) +tg (CPTG +uid 3758,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3759,0 +va (VaSet +) +xt "92000,65400,97000,66600" +st "sample3" +blo "92000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*105 (CptPort +uid 3760,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3761,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,67625,91000,68375" +) +tg (CPTG +uid 3762,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3763,0 +va (VaSet +) +xt "92000,67400,97000,68600" +st "sample4" +blo "92000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*106 (CptPort +uid 3764,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3765,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,61625,107750,62375" +) +tg (CPTG +uid 3766,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3767,0 +va (VaSet +) +xt "104700,61400,106000,62600" +st "a" +ju 2 +blo "106000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*107 (CptPort +uid 3768,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3769,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,63625,107750,64375" +) +tg (CPTG +uid 3770,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3771,0 +va (VaSet +) +xt "104700,63400,106000,64600" +st "b" +ju 2 +blo "106000,64400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*108 (CptPort +uid 3772,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3773,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,67625,107750,68375" +) +tg (CPTG +uid 3774,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3775,0 +va (VaSet +) +xt "104700,67400,106000,68600" +st "d" +ju 2 +blo "106000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*109 (CptPort +uid 3776,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3777,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,65625,107750,66375" +) +tg (CPTG +uid 3778,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3779,0 +va (VaSet +) +xt "104700,65400,106000,66600" +st "c" +ju 2 +blo "106000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*110 (CptPort +uid 3780,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3781,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "90250,69625,91000,70375" +) +tg (CPTG +uid 3782,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3783,0 +va (VaSet +) +xt "92000,69400,101900,70600" +st "interpolateLinear" +blo "92000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 3785,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "91000,58000,107000,74000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 3786,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 3787,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,73800,102000,75000" +st "SplineInterpolator" +blo "91600,74800" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 3788,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,74700,105500,75900" +st "interpolatorCoefficients" +blo "91600,75700" +tm "CptNameMgr" +) +*113 (Text +uid 3789,0 +va (VaSet +font "Verdana,9,1" +) +xt "91600,75600,96500,76800" +st "I_coeffs" +blo "91600,76600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3790,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3791,0 +text (MLText +uid 3792,0 +va (VaSet +font "Verdana,8,0" +) +xt "91000,77800,109100,79800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 3829,0 +optionalChildren [ +*115 (CptPort +uid 3793,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3794,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,75625,115000,76375" +) +tg (CPTG +uid 3795,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3796,0 +va (VaSet +) +xt "116000,75400,119400,76600" +st "clock" +blo "116000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*116 (CptPort +uid 3797,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3798,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,77625,115000,78375" +) +tg (CPTG +uid 3799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3800,0 +va (VaSet +) +xt "116000,77400,119300,78600" +st "reset" +blo "116000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*117 (CptPort +uid 3801,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3802,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,69625,115000,70375" +) +tg (CPTG +uid 3803,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3804,0 +va (VaSet +) +xt "116000,69400,125100,70600" +st "restartPolynom" +blo "116000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*118 (CptPort +uid 3805,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3806,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,67625,115000,68375" +) +tg (CPTG +uid 3807,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3808,0 +va (VaSet +) +xt "116000,67400,117300,68600" +st "d" +blo "116000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*119 (CptPort +uid 3809,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3810,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "131000,61625,131750,62375" +) +tg (CPTG +uid 3811,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3812,0 +va (VaSet +) +xt "123800,61400,130000,62600" +st "sampleOut" +ju 2 +blo "130000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*120 (CptPort +uid 3813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3814,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,65625,115000,66375" +) +tg (CPTG +uid 3815,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3816,0 +va (VaSet +) +xt "116000,65400,117300,66600" +st "c" +blo "116000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*121 (CptPort +uid 3817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,63625,115000,64375" +) +tg (CPTG +uid 3819,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3820,0 +va (VaSet +) +xt "116000,63400,117300,64600" +st "b" +blo "116000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*122 (CptPort +uid 3821,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3822,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,61625,115000,62375" +) +tg (CPTG +uid 3823,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3824,0 +va (VaSet +) +xt "116000,61400,117300,62600" +st "a" +blo "116000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*123 (CptPort +uid 3825,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3826,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,73625,115000,74375" +) +tg (CPTG +uid 3827,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3828,0 +va (VaSet +) +xt "116000,73400,117900,74600" +st "en" +blo "116000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 3830,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "115000,58000,131000,81000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 3831,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 3832,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,80800,126000,82000" +st "SplineInterpolator" +blo "115600,81800" +tm "BdLibraryNameMgr" +) +*125 (Text +uid 3833,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,81700,132300,82900" +st "interpolatorCalculatePolynom" +blo "115600,82700" +tm "CptNameMgr" +) +*126 (Text +uid 3834,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,82600,120200,83800" +st "I_spline" +blo "115600,83600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3835,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3836,0 +text (MLText +uid 3837,0 +va (VaSet +font "Verdana,8,0" +) +xt "115000,85000,139500,88000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*127 (SaComponent +uid 3846,0 +optionalChildren [ +*128 (CptPort +uid 3838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "131000,37625,131750,38375" +) +tg (CPTG +uid 3840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3841,0 +va (VaSet +) +xt "122200,37400,130000,38600" +st "unsignedOut" +ju 2 +blo "130000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*129 (CptPort +uid 3842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114250,37625,115000,38375" +) +tg (CPTG +uid 3844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3845,0 +va (VaSet +) +xt "116000,37400,121100,38600" +st "signedIn" +blo "116000,38400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 3847,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "115000,34000,131000,42000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 3848,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +uid 3849,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,41800,126000,43000" +st "SplineInterpolator" +blo "115600,42800" +tm "BdLibraryNameMgr" +) +*131 (Text +uid 3850,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,42700,125700,43900" +st "offsetToUnsigned" +blo "115600,43700" +tm "CptNameMgr" +) +*132 (Text +uid 3851,0 +va (VaSet +font "Verdana,9,1" +) +xt "115600,43600,122300,44800" +st "I_unsigned" +blo "115600,44600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3852,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3853,0 +text (MLText +uid 3854,0 +va (VaSet +font "Verdana,8,0" +) +xt "115000,45800,130600,46800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*133 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "3000,52000,10250,52000" +pts [ +"3000,52000" +"10250,52000" +] +) +start &1 +end &73 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,50600,6800,52000" +st "clock" +blo "3000,51800" +tm "WireNameMgr" +) +) +on &2 +) +*134 (Wire +uid 237,0 +shape (OrthoPolyLine +uid 238,0 +va (VaSet +vasetType 3 +) +xt "3000,54000,10250,54000" +pts [ +"3000,54000" +"10250,54000" +] +) +start &3 +end &75 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,52600,7100,54000" +st "reset" +blo "3000,53800" +tm "WireNameMgr" +) +) +on &4 +) +*135 (Wire +uid 414,0 +optionalChildren [ +*136 (BdJunction +uid 476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 477,0 +va (VaSet +vasetType 1 +) +xt "30600,45600,31400,46400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27750,46000,34250,46000" +pts [ +"27750,46000" +"34250,46000" +] +) +start &74 +end &62 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,44600,32700,46000" +st "phase" +blo "28000,45800" +tm "WireNameMgr" +) +) +on &24 +) +*137 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,46000,91000,46000" +pts [ +"83750,46000" +"91000,46000" +] +) +start &55 +end &22 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,44600,92600,46000" +st "triangle" +blo "87000,45800" +tm "WireNameMgr" +) +) +on &17 +) +*138 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,46000,34250,62000" +pts [ +"31000,46000" +"31000,62000" +"34250,62000" +] +) +start &136 +end &68 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 475,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "29000,43600,33700,45000" +st "phase" +blo "29000,44800" +tm "WireNameMgr" +) +) +on &24 +) +*139 (Wire +uid 480,0 +shape (OrthoPolyLine +uid 481,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,30000,91000,30000" +pts [ +"83750,30000" +"91000,30000" +] +) +start &49 +end &21 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 485,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,28600,92200,30000" +st "square" +blo "87000,29800" +tm "WireNameMgr" +) +) +on &18 +) +*140 (Wire +uid 562,0 +shape (OrthoPolyLine +uid 563,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "131750,38000,139000,38000" +pts [ +"131750,38000" +"139000,38000" +] +) +start &128 +end &23 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 567,0 +va (VaSet +font "Verdana,12,0" +) +xt "135000,36600,138400,38000" +st "sine" +blo "135000,37800" +tm "WireNameMgr" +) +) +on &19 +) +*141 (Wire +uid 601,0 +optionalChildren [ +*142 (BdJunction +uid 862,0 +ps "OnConnectorStrategy" +shape (Circle +uid 863,0 +va (VaSet +vasetType 1 +) +xt "58600,45600,59400,46400" +radius 400 +) +) +*143 (BdJunction +uid 891,0 +ps "OnConnectorStrategy" +shape (Circle +uid 892,0 +va (VaSet +vasetType 1 +) +xt "58600,29600,59400,30400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 602,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,18000,91000,46000" +pts [ +"51750,46000" +"59000,46000" +"59000,18000" +"91000,18000" +] +) +start &61 +end &20 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 606,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,16600,91800,18000" +st "sawtooth" +blo "85000,17800" +tm "WireNameMgr" +) +) +on &16 +) +*144 (Wire +uid 781,0 +shape (OrthoPolyLine +uid 782,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,46000,10250,46000" +pts [ +"10250,46000" +"3000,46000" +] +) +start &76 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 785,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 786,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,43600,10600,45000" +st "step" +blo "7000,44800" +tm "WireNameMgr" +) +) +on &25 +) +*145 (Wire +uid 858,0 +shape (OrthoPolyLine +uid 859,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,46000,66250,46000" +pts [ +"59000,46000" +"66250,46000" +] +) +start &142 +end &56 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 860,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 861,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55250,60600,62050,62000" +st "sawtooth" +blo "55250,61800" +tm "WireNameMgr" +) +) +on &16 +) +*146 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,30000,66250,30000" +pts [ +"59000,30000" +"66250,30000" +] +) +start &143 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 889,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 890,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "55250,28600,62050,30000" +st "sawtooth" +blo "55250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*147 (Wire +uid 985,0 +shape (OrthoPolyLine +uid 986,0 +va (VaSet +vasetType 3 +) +xt "31000,84000,34250,84000" +pts [ +"31000,84000" +"34250,84000" +] +) +end &83 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 991,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 992,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,82600,33800,84000" +st "clock" +blo "30000,83800" +tm "WireNameMgr" +) +) +on &2 +) +*148 (Wire +uid 993,0 +shape (OrthoPolyLine +uid 994,0 +va (VaSet +vasetType 3 +) +xt "31000,86000,34250,86000" +pts [ +"31000,86000" +"34250,86000" +] +) +end &84 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 999,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1000,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,84600,34100,86000" +st "reset" +blo "30000,85800" +tm "WireNameMgr" +) +) +on &4 +) +*149 (Wire +uid 1096,0 +shape (OrthoPolyLine +uid 1097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,62000,66250,62000" +pts [ +"51750,62000" +"66250,62000" +] +) +start &67 +end &93 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1101,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,60600,64500,62000" +st "sineSamples" +blo "55000,61800" +tm "WireNameMgr" +) +) +on &26 +) +*150 (Wire +uid 1106,0 +optionalChildren [ +*151 (BdJunction +uid 1834,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1835,0 +va (VaSet +vasetType 1 +) +xt "58600,79600,59400,80400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1107,0 +va (VaSet +vasetType 3 +) +xt "51750,64000,66250,80000" +pts [ +"51750,80000" +"59000,80000" +"59000,64000" +"66250,64000" +] +) +start &82 +end &92 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1110,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1111,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,78600,63600,80000" +st "newPolynom" +blo "54000,79800" +tm "WireNameMgr" +) +) +on &31 +) +*152 (Wire +uid 1220,0 +shape (OrthoPolyLine +uid 1221,0 +va (VaSet +vasetType 3 +) +xt "63000,70000,66250,70000" +pts [ +"63000,70000" +"66250,70000" +] +) +end &91 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1226,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1227,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,68600,66100,70000" +st "reset" +blo "62000,69800" +tm "WireNameMgr" +) +) +on &4 +) +*153 (Wire +uid 1228,0 +shape (OrthoPolyLine +uid 1229,0 +va (VaSet +vasetType 3 +) +xt "63000,68000,66250,68000" +pts [ +"63000,68000" +"66250,68000" +] +) +end &90 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1234,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1235,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,66600,65800,68000" +st "clock" +blo "62000,67800" +tm "WireNameMgr" +) +) +on &2 +) +*154 (Wire +uid 1279,0 +shape (OrthoPolyLine +uid 1280,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,62000,90250,62000" +pts [ +"83750,62000" +"90250,62000" +] +) +start &94 +end &102 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1284,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,60600,90100,62000" +st "sample1" +blo "84000,61800" +tm "WireNameMgr" +) +) +on &27 +) +*155 (Wire +uid 1287,0 +shape (OrthoPolyLine +uid 1288,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,64000,90250,64000" +pts [ +"83750,64000" +"90250,64000" +] +) +start &95 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1291,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1292,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,62600,90100,64000" +st "sample2" +blo "84000,63800" +tm "WireNameMgr" +) +) +on &28 +) +*156 (Wire +uid 1295,0 +shape (OrthoPolyLine +uid 1296,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,66000,90250,66000" +pts [ +"83750,66000" +"90250,66000" +] +) +start &96 +end &104 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1299,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1300,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,64600,90100,66000" +st "sample3" +blo "84000,65800" +tm "WireNameMgr" +) +) +on &29 +) +*157 (Wire +uid 1303,0 +shape (OrthoPolyLine +uid 1304,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83750,68000,90250,68000" +pts [ +"83750,68000" +"90250,68000" +] +) +start &97 +end &105 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1308,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,66600,90100,68000" +st "sample4" +blo "84000,67800" +tm "WireNameMgr" +) +) +on &30 +) +*158 (Wire +uid 1703,0 +shape (OrthoPolyLine +uid 1704,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,62000,114250,62000" +pts [ +"107750,62000" +"114250,62000" +] +) +start &106 +end &122 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1707,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1708,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,60600,111350,62000" +st "a" +blo "109750,61800" +tm "WireNameMgr" +) +) +on &32 +) +*159 (Wire +uid 1711,0 +shape (OrthoPolyLine +uid 1712,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,64000,114250,64000" +pts [ +"107750,64000" +"114250,64000" +] +) +start &107 +end &121 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1715,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1716,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,62600,111350,64000" +st "b" +blo "109750,63800" +tm "WireNameMgr" +) +) +on &33 +) +*160 (Wire +uid 1719,0 +shape (OrthoPolyLine +uid 1720,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,66000,114250,66000" +pts [ +"107750,66000" +"114250,66000" +] +) +start &109 +end &120 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1724,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,64600,111150,66000" +st "c" +blo "109750,65800" +tm "WireNameMgr" +) +) +on &34 +) +*161 (Wire +uid 1727,0 +shape (OrthoPolyLine +uid 1728,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,68000,114250,68000" +pts [ +"107750,68000" +"114250,68000" +] +) +start &108 +end &118 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1731,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1732,0 +va (VaSet +font "Verdana,12,0" +) +xt "109750,66600,111350,68000" +st "d" +blo "109750,67800" +tm "WireNameMgr" +) +) +on &35 +) +*162 (Wire +uid 1814,0 +shape (OrthoPolyLine +uid 1815,0 +va (VaSet +vasetType 3 +) +xt "111000,76000,114250,76000" +pts [ +"111000,76000" +"114250,76000" +] +) +end &115 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1820,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1821,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,74600,113800,76000" +st "clock" +blo "110000,75800" +tm "WireNameMgr" +) +) +on &2 +) +*163 (Wire +uid 1822,0 +shape (OrthoPolyLine +uid 1823,0 +va (VaSet +vasetType 3 +) +xt "111000,78000,114250,78000" +pts [ +"111000,78000" +"114250,78000" +] +) +end &116 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1829,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,76600,114100,78000" +st "reset" +blo "110000,77800" +tm "WireNameMgr" +) +) +on &4 +) +*164 (Wire +uid 1830,0 +shape (OrthoPolyLine +uid 1831,0 +va (VaSet +vasetType 3 +) +xt "59000,70000,114250,80000" +pts [ +"59000,80000" +"109000,80000" +"109000,70000" +"114250,70000" +] +) +start &151 +end &117 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1832,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1833,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "71000,80600,80600,82000" +st "newPolynom" +blo "71000,81800" +tm "WireNameMgr" +) +) +on &31 +) +*165 (Wire +uid 2219,0 +shape (OrthoPolyLine +uid 2220,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107000,38000,139000,62000" +pts [ +"131750,62000" +"139000,62000" +"139000,50000" +"107000,50000" +"107000,38000" +"114250,38000" +] +) +start &119 +end &129 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2225,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2226,0 +va (VaSet +font "Verdana,12,0" +) +xt "133000,60600,141500,62000" +st "sineSigned" +blo "133000,61800" +tm "WireNameMgr" +) +) +on &36 +) +*166 (Wire +uid 2386,0 +shape (OrthoPolyLine +uid 2387,0 +va (VaSet +vasetType 3 +) +xt "27000,80000,34250,80000" +pts [ +"34250,80000" +"27000,80000" +] +) +start &85 +end &37 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2390,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2391,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,78600,34400,80000" +st "logic1" +blo "30000,79800" +tm "WireNameMgr" +) +) +on &41 +) +*167 (Wire +uid 2394,0 +shape (OrthoPolyLine +uid 2395,0 +va (VaSet +vasetType 3 +) +xt "111000,74000,114250,74000" +pts [ +"114250,74000" +"111000,74000" +] +) +start &123 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2399,0 +va (VaSet +font "Verdana,12,0" +) +xt "110000,72600,114400,74000" +st "logic1" +blo "110000,73800" +tm "WireNameMgr" +) +) +on &41 +) +*168 (Wire +uid 2449,0 +shape (OrthoPolyLine +uid 2450,0 +va (VaSet +vasetType 3 +) +xt "3000,50000,10250,50000" +pts [ +"10250,50000" +"3000,50000" +] +) +start &77 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2455,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2456,0 +va (VaSet +font "Verdana,12,0" +) +xt "6000,48600,10400,50000" +st "logic1" +blo "6000,49800" +tm "WireNameMgr" +) +) +on &41 +) +*169 (Wire +uid 2571,0 +shape (OrthoPolyLine +uid 2572,0 +va (VaSet +vasetType 3 +) +xt "83000,70000,90250,86000" +pts [ +"90250,70000" +"87000,70000" +"87000,86000" +"83000,86000" +] +) +start &110 +end &42 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2578,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,84600,88400,86000" +st "logic0" +blo "84000,85800" +tm "WireNameMgr" +) +) +on &46 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *170 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*172 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*174 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*175 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*176 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*177 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*178 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*179 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-5150,-2146,185748,101574" +cachedDiagramExtent "-21700,0,164400,99000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 3983,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*181 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*182 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*183 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*184 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*185 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*187 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*188 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*189 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*190 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*191 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*192 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*193 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*194 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*195 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*196 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*197 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*198 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*199 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*200 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,5600,4000,6600" +st "Declarations" +blo "-3000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6500,400,7500" +st "Ports:" +blo "-3000,7300" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13700,1800,14700" +st "Pre User:" +blo "-3000,14500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14600,31300,17600" +st "constant tableAddressBitNb : positive := 3; +constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; +constant coeffBitNb : positive := signalBitNb+4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,17300,6000,18300" +st "Diagram Signals:" +blo "-3000,18100" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5600,3000,6600" +st "Post User:" +blo "-3000,6400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,5600,-3000,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 22,0 +usingSuid 1 +emptyRow *201 (LEmptyRow +) +uid 2778,0 +optionalChildren [ +*202 (RefLabelRowHdr +) +*203 (TitleRowHdr +) +*204 (FilterRowHdr +) +*205 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*206 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*207 (GroupColHdr +tm "GroupColHdrMgr" +) +*208 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*209 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*210 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*211 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*212 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*213 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*214 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 2733,0 +) +*215 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 2735,0 +) +*216 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 2737,0 +) +*217 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 2739,0 +) +*218 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 5,0 +) +) +uid 2741,0 +) +*219 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 2743,0 +) +*220 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 15 +suid 7,0 +) +) +uid 2745,0 +) +*221 (LeafLogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 2747,0 +) +*222 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineSamples" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 9,0 +) +) +uid 2749,0 +) +*223 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 16 +suid 10,0 +) +) +uid 2751,0 +) +*224 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 17 +suid 11,0 +) +) +uid 2753,0 +) +*225 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 12,0 +) +) +uid 2755,0 +) +*226 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 13,0 +) +) +uid 2757,0 +) +*227 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 14 +suid 14,0 +) +) +uid 2759,0 +) +*228 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 15,0 +) +) +uid 2761,0 +) +*229 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 9 +suid 16,0 +) +) +uid 2763,0 +) +*230 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 10 +suid 17,0 +) +) +uid 2765,0 +) +*231 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 2767,0 +) +*232 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineSigned" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 21 +suid 19,0 +) +) +uid 2769,0 +) +*233 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_ulogic" +o 13 +suid 20,0 +) +) +uid 2771,0 +) +*234 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 12 +suid 21,0 +) +) +uid 2773,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2791,0 +optionalChildren [ +*235 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *236 (MRCItem +litem &201 +pos 21 +dimension 20 +) +uid 2793,0 +optionalChildren [ +*237 (MRCItem +litem &202 +pos 0 +dimension 20 +uid 2794,0 +) +*238 (MRCItem +litem &203 +pos 1 +dimension 23 +uid 2795,0 +) +*239 (MRCItem +litem &204 +pos 2 +hidden 1 +dimension 20 +uid 2796,0 +) +*240 (MRCItem +litem &214 +pos 0 +dimension 20 +uid 2734,0 +) +*241 (MRCItem +litem &215 +pos 1 +dimension 20 +uid 2736,0 +) +*242 (MRCItem +litem &216 +pos 2 +dimension 20 +uid 2738,0 +) +*243 (MRCItem +litem &217 +pos 3 +dimension 20 +uid 2740,0 +) +*244 (MRCItem +litem &218 +pos 4 +dimension 20 +uid 2742,0 +) +*245 (MRCItem +litem &219 +pos 5 +dimension 20 +uid 2744,0 +) +*246 (MRCItem +litem &220 +pos 7 +dimension 20 +uid 2746,0 +) +*247 (MRCItem +litem &221 +pos 6 +dimension 20 +uid 2748,0 +) +*248 (MRCItem +litem &222 +pos 8 +dimension 20 +uid 2750,0 +) +*249 (MRCItem +litem &223 +pos 9 +dimension 20 +uid 2752,0 +) +*250 (MRCItem +litem &224 +pos 10 +dimension 20 +uid 2754,0 +) +*251 (MRCItem +litem &225 +pos 11 +dimension 20 +uid 2756,0 +) +*252 (MRCItem +litem &226 +pos 12 +dimension 20 +uid 2758,0 +) +*253 (MRCItem +litem &227 +pos 13 +dimension 20 +uid 2760,0 +) +*254 (MRCItem +litem &228 +pos 14 +dimension 20 +uid 2762,0 +) +*255 (MRCItem +litem &229 +pos 15 +dimension 20 +uid 2764,0 +) +*256 (MRCItem +litem &230 +pos 16 +dimension 20 +uid 2766,0 +) +*257 (MRCItem +litem &231 +pos 17 +dimension 20 +uid 2768,0 +) +*258 (MRCItem +litem &232 +pos 18 +dimension 20 +uid 2770,0 +) +*259 (MRCItem +litem &233 +pos 19 +dimension 20 +uid 2772,0 +) +*260 (MRCItem +litem &234 +pos 20 +dimension 20 +uid 2774,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2797,0 +optionalChildren [ +*261 (MRCItem +litem &205 +pos 0 +dimension 20 +uid 2798,0 +) +*262 (MRCItem +litem &207 +pos 1 +dimension 50 +uid 2799,0 +) +*263 (MRCItem +litem &208 +pos 2 +dimension 100 +uid 2800,0 +) +*264 (MRCItem +litem &209 +pos 3 +dimension 50 +uid 2801,0 +) +*265 (MRCItem +litem &210 +pos 4 +dimension 100 +uid 2802,0 +) +*266 (MRCItem +litem &211 +pos 5 +dimension 100 +uid 2803,0 +) +*267 (MRCItem +litem &212 +pos 6 +dimension 50 +uid 2804,0 +) +*268 (MRCItem +litem &213 +pos 7 +dimension 80 +uid 2805,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2792,0 +vaOverrides [ +] +) +] +) +uid 2777,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *269 (LEmptyRow +) +uid 2807,0 +optionalChildren [ +*270 (RefLabelRowHdr +) +*271 (TitleRowHdr +) +*272 (FilterRowHdr +) +*273 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*274 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*275 (GroupColHdr +tm "GroupColHdrMgr" +) +*276 (NameColHdr +tm "GenericNameColHdrMgr" +) +*277 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*278 (InitColHdr +tm "GenericValueColHdrMgr" +) +*279 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*280 (EolColHdr +tm "GenericEolColHdrMgr" +) +*281 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 2854,0 +) +*282 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 2856,0 +) +] +) +pdm (PhysicalDM +uid 2819,0 +optionalChildren [ +*283 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *284 (MRCItem +litem &269 +pos 2 +dimension 20 +) +uid 2821,0 +optionalChildren [ +*285 (MRCItem +litem &270 +pos 0 +dimension 20 +uid 2822,0 +) +*286 (MRCItem +litem &271 +pos 1 +dimension 23 +uid 2823,0 +) +*287 (MRCItem +litem &272 +pos 2 +hidden 1 +dimension 20 +uid 2824,0 +) +*288 (MRCItem +litem &281 +pos 0 +dimension 20 +uid 2853,0 +) +*289 (MRCItem +litem &282 +pos 1 +dimension 20 +uid 2855,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2825,0 +optionalChildren [ +*290 (MRCItem +litem &273 +pos 0 +dimension 20 +uid 2826,0 +) +*291 (MRCItem +litem &275 +pos 1 +dimension 50 +uid 2827,0 +) +*292 (MRCItem +litem &276 +pos 2 +dimension 100 +uid 2828,0 +) +*293 (MRCItem +litem &277 +pos 3 +dimension 100 +uid 2829,0 +) +*294 (MRCItem +litem &278 +pos 4 +dimension 50 +uid 2830,0 +) +*295 (MRCItem +litem &279 +pos 5 +dimension 50 +uid 2831,0 +) +*296 (MRCItem +litem &280 +pos 6 +dimension 80 +uid 2832,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2820,0 +vaOverrides [ +] +) +] +) +uid 2806,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb new file mode 100644 index 0000000..48f42cf --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen/symbol.sb @@ -0,0 +1,1809 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 200,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 201,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 202,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +uid 203,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 204,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 205,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 206,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 207,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 134,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 137,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 139,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*26 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*27 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 162,0 +) +*28 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*29 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 164,0 +) +*30 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 165,0 +) +*31 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 166,0 +) +*32 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 143,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 147,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 149,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 151,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 153,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 155,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 157,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 159,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 133,0 +vaOverrides [ +] +) +] +) +uid 197,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 209,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 193,0 +) +*54 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 169,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 172,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 174,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 176,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 195,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 196,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 178,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 182,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 184,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 186,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 188,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 190,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 192,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 168,0 +vaOverrides [ +] +) +] +) +uid 208,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:40" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineGen" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:40" +) +(vvPair +variable "unit" +value "sineGen" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,25400,36400,26600" +st "clock" +blo "33000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "33000,27400,36300,28600" +st "reset" +blo "33000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "41800,19400,47000,20600" +st "sawtooth" +ju 2 +blo "47000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,29000,14600" +st "sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*73 (CptPort +uid 103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 106,0 +va (VaSet +font "courier,9,0" +) +xt "44200,25400,47000,26600" +st "sine" +ju 2 +blo "47000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,29000,15500" +st "sine : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*74 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "courier,9,0" +) +xt "42500,23400,47000,24600" +st "triangle" +ju 2 +blo "47000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,28000,17300" +st "triangle : OUT unsigned (signalBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*75 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +font "courier,9,0" +) +xt "42900,21400,47000,22600" +st "square" +ju 2 +blo "47000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,29000,16400" +st "square : OUT unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,35900,20600" +st "step" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,28500,13700" +st "step : IN unsigned (phaseBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,16000,48000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,29800,42100,30700" +st "SplineInterpolator" +blo "32600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,30700,36100,31600" +st "sineGen" +blo "32600,31400" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,33600,45000,37200" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 10 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,34,1397,984" +viewArea "-1061,-1061,74571,50904" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17300,2500,18200" +st "User:" +blo "0,18000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,2000,18200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 532,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface new file mode 100644 index 0000000..95ac7cb --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/sine@gen_tester/interface @@ -0,0 +1,1738 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen_tester" +) +(vvPair +variable "date" +value "01/17/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "01/17/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "11:13:06" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "sineGen_tester" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@gen_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineGen_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:13:06" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "clock" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,60000,6300" +st "clock : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "reset" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,60000,7200" +st "reset : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,11000" +st "sawtooth" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,71000,2700" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,9000" +st "sine" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,71000,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "58550,7000,59450,10000" +st "square" +ju 2 +blo "59250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,71000,4500" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9000" +st "step" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,69500,8100" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,11000" +st "triangle" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,71000,5400" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37250,9100,46750,10000" +st "SplineInterpolator" +blo "37250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37250,10000,44750,10900" +st "sineGen_tester" +blo "37250,10700" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,6000,25500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,62700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,42200,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*87 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SplineInterpolator_test" +entityName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,8100,44500,9000" +st "User:" +blo "42000,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,44000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 156,0 +activeModelName "Symbol:CDM" +) diff --git a/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb b/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb new file mode 100644 index 0000000..cb86774 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator/hds/sine@table/symbol.sb @@ -0,0 +1,1506 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 156,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 158,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 95,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 98,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 100,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 121,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 122,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 108,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 110,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 112,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 114,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 116,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 118,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 155,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "16" +) +uid 149,0 +) +*44 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "16" +) +uid 150,0 +) +*45 (LogGeneric +generic (GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 125,0 +optionalChildren [ +*48 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 128,0 +) +*49 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 130,0 +) +*50 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*51 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 152,0 +) +*52 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 153,0 +) +*53 (MRCItem +litem &45 +pos 2 +dimension 20 +uid 154,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*54 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 138,0 +) +*56 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 140,0 +) +*57 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 142,0 +) +*58 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 144,0 +) +*59 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 146,0 +) +*60 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineTable" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineTable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:46" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineTable" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sine@table/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator/hds/sineTable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:46" +) +(vvPair +variable "unit" +value "sineTable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "44200,13400,47000,14600" +st "sine" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,26500,11900" +st "sine : OUT signed (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,36700,14600" +st "phase" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,26000,12800" +st "phase : IN unsigned (inputBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,42100,18700" +st "SplineInterpolator" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,37100,19600" +st "sineTable" +blo "32600,19400" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,22000,48000,26500" +st "Generic Declarations + +inputBitNb positive 16 +outputBitNb positive 16 +tableAddressBitNb positive 3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "16" +) +(GiElement +name "outputBitNb" +type "positive" +value "16" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,32,1395,973" +viewArea "-1063,-1063,74582,50419" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 209,0 +activeModelName "Symbol" +) diff --git a/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd b/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd new file mode 100644 index 0000000..16292e5 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hdl/sineGen_tester_test.vhd @@ -0,0 +1,19 @@ +ARCHITECTURE test OF sineGen_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- controls + step <= to_unsigned(1, step'length); + +END ARCHITECTURE test; diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sineGen_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/.hdlsidedata/_sinegen_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf b/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf new file mode 100644 index 0000000..5d5516c --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sine@gen_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf b/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf new file mode 100644 index 0000000..9adfb0b --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/_sinegen_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom sineGen_tester_test.vhd diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd new file mode 100644 index 0000000..0e7d699 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/struct.bd @@ -0,0 +1,3042 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "SplineInterpolator_test" +duName "sineGen_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 1519,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:41:39" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:41:39" +) +(vvPair +variable "unit" +value "sineGen_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,50000,14600,51000" +st "SIGNAL reset : std_ulogic +" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,49000,14600,50000" +st "SIGNAL clock : std_ulogic +" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "15000,28000,69000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +) +xt "15700,36200,29500,37400" +st "SplineInterpolator_test" +blo "15700,37200" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +) +xt "15700,37400,24800,38600" +st "sineGen_tester" +blo "15700,38400" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +) +xt "15700,38600,18500,39800" +st "I_tb" +blo "15700,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "28000,36000,54200,39600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*18 (Net +uid 909,0 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +declText (MLText +uid 910,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,52000,25500,53000" +st "SIGNAL sine : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*19 (Net +uid 917,0 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +declText (MLText +uid 918,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,55000,25700,56000" +st "SIGNAL triangle : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*20 (Net +uid 925,0 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +declText (MLText +uid 926,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,53000,26000,54000" +st "SIGNAL square : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*21 (Net +uid 933,0 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 7,0 +) +declText (MLText +uid 934,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,51000,26400,52000" +st "SIGNAL sawtooth : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*22 (Net +uid 996,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 8,0 +) +declText (MLText +uid 997,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,54000,25700,55000" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0) +" +) +) +*23 (SaComponent +uid 1519,0 +optionalChildren [ +*24 (CptPort +uid 1491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 1493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1494,0 +va (VaSet +) +xt "36000,15400,39400,16600" +st "clock" +blo "36000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*25 (CptPort +uid 1495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 1497,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1498,0 +va (VaSet +) +xt "36000,17400,39300,18600" +st "reset" +blo "36000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*26 (CptPort +uid 1499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,9625,51750,10375" +) +tg (CPTG +uid 1501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1502,0 +va (VaSet +) +xt "44800,9400,50000,10600" +st "sawtooth" +ju 2 +blo "50000,10400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*27 (CptPort +uid 1503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 1505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1506,0 +va (VaSet +) +xt "47200,15400,50000,16600" +st "sine" +ju 2 +blo "50000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*28 (CptPort +uid 1507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 1509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1510,0 +va (VaSet +) +xt "45500,13400,50000,14600" +st "triangle" +ju 2 +blo "50000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*29 (CptPort +uid 1511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,11625,51750,12375" +) +tg (CPTG +uid 1513,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1514,0 +va (VaSet +) +xt "45900,11400,50000,12600" +st "square" +ju 2 +blo "50000,12400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*30 (CptPort +uid 1515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,9625,35000,10375" +) +tg (CPTG +uid 1517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1518,0 +va (VaSet +) +xt "36000,9400,38900,10600" +st "step" +blo "36000,10400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,6000,51000,20000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 1521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 1522,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,19800,46000,21000" +st "SplineInterpolator" +blo "35600,20800" +tm "BdLibraryNameMgr" +) +*32 (Text +uid 1523,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,20700,40100,21900" +st "sineGen" +blo "35600,21700" +tm "CptNameMgr" +) +*33 (Text +uid 1524,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,21600,39300,22800" +st "I_DUT" +blo "35600,22600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1526,0 +text (MLText +uid 1527,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,23600,54200,25600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*34 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "31000,18000,34250,28000" +pts [ +"31000,28000" +"31000,18000" +"34250,18000" +] +) +start &14 +end &25 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Verdana,12,0" +) +xt "31000,16600,35100,18000" +st "reset" +blo "31000,17800" +tm "WireNameMgr" +) +) +on &1 +) +*35 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "29000,16000,34250,28000" +pts [ +"29000,28000" +"29000,16000" +"34250,16000" +] +) +start &14 +end &24 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,12,0" +) +xt "31000,14600,34800,16000" +st "clock" +blo "31000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*36 (Wire +uid 911,0 +shape (OrthoPolyLine +uid 912,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,16000,55000,28000" +pts [ +"51750,16000" +"55000,16000" +"55000,28000" +] +) +start &27 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 915,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 916,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,14600,57150,16000" +st "sine" +blo "53750,15800" +tm "WireNameMgr" +) +) +on &18 +) +*37 (Wire +uid 919,0 +shape (OrthoPolyLine +uid 920,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,14000,57000,28000" +pts [ +"51750,14000" +"57000,14000" +"57000,28000" +] +) +start &28 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 923,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 924,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,12600,59350,14000" +st "triangle" +blo "53750,13800" +tm "WireNameMgr" +) +) +on &19 +) +*38 (Wire +uid 927,0 +shape (OrthoPolyLine +uid 928,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,12000,59000,28000" +pts [ +"51750,12000" +"59000,12000" +"59000,28000" +] +) +start &29 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 931,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 932,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,10600,58950,12000" +st "square" +blo "53750,11800" +tm "WireNameMgr" +) +) +on &20 +) +*39 (Wire +uid 935,0 +shape (OrthoPolyLine +uid 936,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,10000,61000,28000" +pts [ +"51750,10000" +"61000,10000" +"61000,28000" +] +) +start &26 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 939,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 940,0 +va (VaSet +font "Verdana,12,0" +) +xt "53750,8600,60550,10000" +st "sawtooth" +blo "53750,9800" +tm "WireNameMgr" +) +) +on &21 +) +*40 (Wire +uid 998,0 +shape (OrthoPolyLine +uid 999,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,10000,34250,28000" +pts [ +"34250,10000" +"23000,10000" +"23000,28000" +] +) +start &30 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1003,0 +va (VaSet +font "Verdana,12,0" +) +xt "30250,8600,33850,10000" +st "step" +blo "30250,9800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *41 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*43 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*45 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*46 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*48 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*50 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1194,-1194,105025,56517" +cachedDiagramExtent "0,0,81000,56000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1601,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*53 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*55 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*56 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*59 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*61 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*62 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*64 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*65 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*67 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*69 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*71 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,41000,7000,42000" +st "Declarations" +blo "0,41800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,42000,3400,43000" +st "Ports:" +blo "0,42800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,43000,4800,44000" +st "Pre User:" +blo "0,43800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,44000,21800,48000" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 10; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,48000,9000,49000" +st "Diagram Signals:" +blo "0,48800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,41000,6000,42000" +st "Post User:" +blo "0,41800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,41000,0,41000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *72 (LEmptyRow +) +uid 1087,0 +optionalChildren [ +*73 (RefLabelRowHdr +) +*74 (TitleRowHdr +) +*75 (FilterRowHdr +) +*76 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*77 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*78 (GroupColHdr +tm "GroupColHdrMgr" +) +*79 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*80 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*81 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*82 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*83 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*84 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 1070,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 1072,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 1076,0 +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 1078,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 1080,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 7,0 +) +) +uid 1082,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 8,0 +) +) +uid 1084,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1100,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &72 +pos 7 +dimension 20 +) +uid 1102,0 +optionalChildren [ +*94 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 1103,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 23 +uid 1104,0 +) +*96 (MRCItem +litem &75 +pos 2 +hidden 1 +dimension 20 +uid 1105,0 +) +*97 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 1071,0 +) +*98 (MRCItem +litem &86 +pos 1 +dimension 20 +uid 1073,0 +) +*99 (MRCItem +litem &87 +pos 2 +dimension 20 +uid 1077,0 +) +*100 (MRCItem +litem &88 +pos 3 +dimension 20 +uid 1079,0 +) +*101 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 1081,0 +) +*102 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 1083,0 +) +*103 (MRCItem +litem &91 +pos 6 +dimension 20 +uid 1085,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1106,0 +optionalChildren [ +*104 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 1107,0 +) +*105 (MRCItem +litem &78 +pos 1 +dimension 50 +uid 1108,0 +) +*106 (MRCItem +litem &79 +pos 2 +dimension 100 +uid 1109,0 +) +*107 (MRCItem +litem &80 +pos 3 +dimension 50 +uid 1110,0 +) +*108 (MRCItem +litem &81 +pos 4 +dimension 100 +uid 1111,0 +) +*109 (MRCItem +litem &82 +pos 5 +dimension 100 +uid 1112,0 +) +*110 (MRCItem +litem &83 +pos 6 +dimension 50 +uid 1113,0 +) +*111 (MRCItem +litem &84 +pos 7 +dimension 80 +uid 1114,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1101,0 +vaOverrides [ +] +) +] +) +uid 1086,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 1116,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1128,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 1130,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 1131,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 1132,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 1133,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1134,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1135,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 1136,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 1137,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 1138,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 1139,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 1140,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 1141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1129,0 +vaOverrides [ +] +) +] +) +uid 1115,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb new file mode 100644 index 0000000..f8469bf --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sineGen_tb" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:00:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "sineGen_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sine@gen_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SplineInterpolator/Prefs/../SplineInterpolator_test/hds/sineGen_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "splineInterpolator" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:00:04" +) +(vvPair +variable "unit" +value "sineGen_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,34600,15700" +st "SplineInterpolator_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,28100,16600" +st "sineGen_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface new file mode 100644 index 0000000..bb632a7 --- /dev/null +++ b/02-SplineInterpolator/SplineInterpolator_test/hds/sine@gen_tester/interface @@ -0,0 +1,1807 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 22,0 +) +) +uid 434,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 23,0 +) +) +uid 436,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +uid 438,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 25,0 +) +) +uid 440,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 26,0 +) +) +uid 442,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +uid 444,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +) +uid 446,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 435,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 437,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 439,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 441,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 443,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 445,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 447,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 180,0 +) +*54 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "10" +) +uid 182,0 +) +*55 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 374,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 183,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 375,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:41:39" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SplineInterpolator_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SplineInterpolator_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "sineGen_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\02-SplineInterpolator\\Prefs\\..\\SplineInterpolator_test\\hds\\sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:41:39" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 403,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 22,0 +) +) +) +*73 (CptPort +uid 404,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 405,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 406,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 407,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 408,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 23,0 +) +) +) +*74 (CptPort +uid 409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 410,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 412,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13800" +st "sawtooth" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 413,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,72500,2800" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +*75 (CptPort +uid 414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 415,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 416,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 417,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,10400" +st "sine" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 418,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,72500,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 25,0 +) +) +) +*76 (CptPort +uid 419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 420,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 421,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 422,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,12200" +st "square" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 423,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,72500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 26,0 +) +) +) +*77 (CptPort +uid 424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 425,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 427,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10600" +st "step" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 428,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,71000,7600" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +) +*78 (CptPort +uid 429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 430,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 431,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 432,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,12600" +st "triangle" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 433,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,72500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,9000,48400,10000" +st "SplineInterpolator_test" +blo "35600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,10000,43700,11000" +st "sineGen_tester" +blo "35600,10800" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "15000,6000,29400,11000" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 10 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "10" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,46600,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,55100,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,43200,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*93 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,33,1075,723" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,72500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SplineInterpolator_test" +entityName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,7600,45000,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 447,0 +activeModelName "Symbol:GEN" +) diff --git a/02-SplineInterpolator/splineInterpolator.bash b/02-SplineInterpolator/splineInterpolator.bash new file mode 100755 index 0000000..933ab09 --- /dev/null +++ b/02-SplineInterpolator/splineInterpolator.bash @@ -0,0 +1,32 @@ +#!/bin/bash + +#=============================================================================== +# waveformGenerator.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/02-SplineInterpolator/splineInterpolator.bat b/02-SplineInterpolator/splineInterpolator.bat new file mode 100644 index 0000000..efe9b97 --- /dev/null +++ b/02-SplineInterpolator/splineInterpolator.bat @@ -0,0 +1,79 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd new file mode 100644 index 0000000..c03bc9a --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order1_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF DAC IS +BEGIN + serialOut <= '0'; +END ARCHITECTURE studentVersion; diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd new file mode 100644 index 0000000..fb41871 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl/DAC_order2_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE order2_studentVersion OF DAC IS +BEGIN + serialOut <= '0'; +END ARCHITECTURE order2_studentVersion; diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order1_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_DAC_order2_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/.hdlsidedata/_dac_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb new file mode 100644 index 0000000..70f78a1 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/@d@a@c/symbol.sb @@ -0,0 +1,1585 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 141,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 142,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 143,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 144,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 145,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 146,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 83,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 86,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 88,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 90,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 111,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 112,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 84,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 96,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 100,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 102,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 104,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 106,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 82,0 +vaOverrides [ +] +) +] +) +uid 140,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 138,0 +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 114,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 117,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 119,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 121,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 115,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 123,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 127,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 129,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 131,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 135,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 137,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 113,0 +vaOverrides [ +] +) +] +) +uid 147,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/DAC" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:06:08" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DAC" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/@d@a@c/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter/hds/DAC/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "digitalToAnalogConverter" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:06:08" +) +(vvPair +variable "unit" +value "DAC" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,21400,36400,22600" +st "clock" +blo "33000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,39200,18600" +st "parallelIn" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,30000,12800" +st "parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "41601,17400,47001,18600" +st "serialOut" +ju 2 +blo "47001,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "serialOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*65 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "33000,23400,36300,24600" +st "reset" +blo "33000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18000,14600" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,48000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,25800,45100,26700" +st "DigitalToAnalogConverter" +blo "32600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,26700,34100,27600" +st "DAC" +blo "32600,27400" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,29600,45000,32300" +st "Generic Declarations + +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,47,1395,986" +viewArea "-1061,-1061,74571,50196" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 172,0 +activeModelName "Symbol" +) diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf new file mode 100644 index 0000000..f6c99fd --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds/_dac._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom DAC_order1_studentVersion.vhd diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd new file mode 100644 index 0000000..843d64b --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl/DAC_tester_test.vhd @@ -0,0 +1,38 @@ +library ieee; + use ieee.math_real.all; + +ARCHITECTURE test OF DAC_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + + signal sineFrequency: real := 20.0E3; + signal tReal: real := 0.0; + signal outAmplitude: real := 1.0; + signal outReal: real := 0.0; + signal outUnsigned: unsigned(parallelIn'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- time signals + process(sClock) + begin + if rising_edge(sClock) then + tReal <= tReal + 1.0/clockFrequency; + end if; + end process; + + outReal <= outAmplitude * ( sin(2.0*math_pi*sineFrequency*tReal) + 1.0) / 2.0; + + outUnsigned <= to_unsigned(integer(outReal * real(2**(outUnsigned'length)-1)), outUnsigned'length); + parallelIn <= outUnsigned; +-- parallelIn <= shift_left(to_unsigned(1, parallelIn'length), parallelIn'length-1); +-- parallelIn <= shift_left(to_unsigned(3, parallelIn'length), parallelIn'length-2); + +END ARCHITECTURE test; diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_DAC_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/.hdlsidedata/_dac_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd new file mode 100644 index 0000000..0e8b820 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/struct.bd @@ -0,0 +1,3271 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "DigitalToAnalogConverter_test" +duName "DAC_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_filt" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1056,0 +) +(Instance +name "I_DUT" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 1298,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:43:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "DAC_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:43:18" +) +(vvPair +variable "unit" +value "DAC_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17000,15200,18000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,15200,14000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,54000,61000,55000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,54500,44200,54500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,50000,65000,51000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,50500,61200,50500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,52000,61000,53000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,52500,44200,52500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,52000,44000,53000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,52500,40200,52500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,51000,81000,55000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,51200,75300,52400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,50000,81000,51000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,50500,65200,50500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,50000,61000,52000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "45350,50400,55650,51600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,53000,44000,54000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,53500,40200,53500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,54000,44000,55000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,54500,40200,54500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,53000,61000,54000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "44200,53500,44200,53500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,50000,81000,55000" +) +oxt "14000,66000,55000,71000" +) +*14 (Net +uid 362,0 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 363,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16000,26400,17000" +st "SIGNAL parallelIn : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*15 (Net +uid 364,0 +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 4,0 +) +declText (MLText +uid 365,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18000,15500,19000" +st "SIGNAL serialOut : std_ulogic" +) +) +*16 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "1000,40000,79000,48000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*17 (Text +uid 424,0 +va (VaSet +) +xt "1700,48200,19500,49400" +st "DigitalToAnalogConverter_test" +blo "1700,49200" +tm "BdLibraryNameMgr" +) +*18 (Text +uid 425,0 +va (VaSet +) +xt "1700,49400,9100,50600" +st "DAC_tester" +blo "1700,50400" +tm "BlkNameMgr" +) +*19 (Text +uid 426,0 +va (VaSet +) +xt "1700,50600,6500,51800" +st "I_tester" +blo "1700,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "2000,52000,28200,54400" +st "signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*20 (SaComponent +uid 1056,0 +optionalChildren [ +*21 (CptPort +uid 1040,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1041,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,27625,51000,28375" +) +tg (CPTG +uid 1042,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1043,0 +va (VaSet +) +xt "52000,27400,55400,28600" +st "clock" +blo "52000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*22 (CptPort +uid 1044,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67000,23625,67750,24375" +) +tg (CPTG +uid 1046,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1047,0 +va (VaSet +) +xt "58700,23400,66000,24600" +st "lowpassOut" +ju 2 +blo "66000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*23 (CptPort +uid 1048,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1049,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,29625,51000,30375" +) +tg (CPTG +uid 1050,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1051,0 +va (VaSet +) +xt "52000,29400,55300,30600" +st "reset" +blo "52000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 1052,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1053,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50250,23625,51000,24375" +) +tg (CPTG +uid 1054,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1055,0 +va (VaSet +) +xt "52000,23400,57800,24600" +st "lowpassIn" +blo "52000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1057,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "51000,20000,67000,32000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1058,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 1059,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,31800,63100,33000" +st "WaveformGenerator" +blo "51600,32800" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 1060,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,33000,56200,34200" +st "lowpass" +blo "51600,34000" +tm "CptNameMgr" +) +*27 (Text +uid 1061,0 +va (VaSet +font "Verdana,9,1" +) +xt "51600,34200,54900,35400" +st "I_filt" +blo "51600,35200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1062,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1063,0 +text (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,35600,71800,37600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Net +uid 1081,0 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +declText (MLText +uid 1082,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15000,27300,16000" +st "SIGNAL lowpassOut : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 1091,0 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 9,0 +) +declText (MLText +uid 1092,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14000,27000,15000" +st "SIGNAL lowpassIn : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*30 (HdlText +uid 1099,0 +optionalChildren [ +*31 (EmbeddedText +uid 1104,0 +commentText (CommentText +uid 1105,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1106,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "35000,13000,51000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1107,0 +va (VaSet +) +xt "35200,13200,50300,14400" +st " +LowpassIn <= (others => serialOut); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1100,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "35000,12000,51000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1101,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1102,0 +va (VaSet +) +xt "35400,16000,38000,17200" +st "eb1" +blo "35400,17000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1103,0 +va (VaSet +) +xt "35400,17000,36800,18200" +st "1" +blo "35400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (SaComponent +uid 1298,0 +optionalChildren [ +*35 (CptPort +uid 1282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,27625,19000,28375" +) +tg (CPTG +uid 1284,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1285,0 +va (VaSet +) +xt "20000,27400,23400,28600" +st "clock" +blo "20000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*36 (CptPort +uid 1286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,23625,19000,24375" +) +tg (CPTG +uid 1288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1289,0 +va (VaSet +) +xt "20000,23400,26200,24600" +st "parallelIn" +blo "20000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*37 (CptPort +uid 1290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35000,23625,35750,24375" +) +tg (CPTG +uid 1292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1293,0 +va (VaSet +) +xt "28601,23400,34001,24600" +st "serialOut" +ju 2 +blo "34001,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*38 (CptPort +uid 1294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,29625,19000,30375" +) +tg (CPTG +uid 1296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1297,0 +va (VaSet +) +xt "20000,29400,23300,30600" +st "reset" +blo "20000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 1299,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,20000,35000,32000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 1300,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 1301,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,31800,34300,33000" +st "DigitalToAnalogConverter" +blo "19600,32800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 1302,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,32700,22300,33900" +st "DAC" +blo "19600,33700" +tm "CptNameMgr" +) +*41 (Text +uid 1303,0 +va (VaSet +font "Verdana,9,1" +) +xt "19600,33600,23300,34800" +st "I_DUT" +blo "19600,34600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1304,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1305,0 +text (MLText +uid 1306,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,35600,37400,36600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*42 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "15000,30000,18250,40000" +pts [ +"15000,40000" +"15000,30000" +"18250,30000" +] +) +start &16 +end &38 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,28600,19100,30000" +st "reset" +blo "15000,29800" +tm "WireNameMgr" +) +) +on &1 +) +*43 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "13000,28000,18250,40000" +pts [ +"13000,40000" +"13000,28000" +"18250,28000" +] +) +start &16 +end &35 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,26600,18800,28000" +st "clock" +blo "15000,27800" +tm "WireNameMgr" +) +) +on &2 +) +*44 (Wire +uid 63,0 +shape (OrthoPolyLine +uid 64,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,24000,18250,40000" +pts [ +"9000,40000" +"9000,24000" +"18250,24000" +] +) +start &16 +end &36 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 67,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 68,0 +va (VaSet +font "Verdana,12,0" +) +xt "9000,22600,16500,24000" +st "parallelIn" +blo "9000,23800" +tm "WireNameMgr" +) +) +on &14 +) +*45 (Wire +uid 366,0 +optionalChildren [ +*46 (BdJunction +uid 1114,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1115,0 +va (VaSet +vasetType 1 +) +xt "38600,23600,39400,24400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 367,0 +va (VaSet +vasetType 3 +) +xt "35750,24000,43000,40000" +pts [ +"35750,24000" +"43000,24000" +"43000,40000" +] +) +start &37 +end &16 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 370,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 371,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,22600,43500,24000" +st "serialOut" +blo "37000,23800" +tm "WireNameMgr" +) +) +on &15 +) +*47 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "47000,30000,50250,30000" +pts [ +"47000,30000" +"50250,30000" +] +) +end &23 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1072,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,28600,51100,30000" +st "reset" +blo "47000,29800" +tm "WireNameMgr" +) +) +on &1 +) +*48 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "47000,28000,50250,28000" +pts [ +"47000,28000" +"50250,28000" +] +) +end &21 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1080,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,26600,50800,28000" +st "clock" +blo "47000,27800" +tm "WireNameMgr" +) +) +on &2 +) +*49 (Wire +uid 1083,0 +shape (OrthoPolyLine +uid 1084,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67750,24000,71000,40000" +pts [ +"67750,24000" +"71000,24000" +"71000,40000" +] +) +start &22 +end &16 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1087,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1088,0 +va (VaSet +font "Verdana,12,0" +) +xt "69750,22600,78850,24000" +st "lowpassOut" +blo "69750,23800" +tm "WireNameMgr" +) +) +on &28 +) +*50 (Wire +uid 1093,0 +shape (OrthoPolyLine +uid 1094,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,16000,50250,24000" +pts [ +"50250,24000" +"47000,24000" +"47000,16000" +] +) +start &24 +end &30 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1097,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1098,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,22600,51300,24000" +st "lowpassIn" +blo "44000,23800" +tm "WireNameMgr" +) +) +on &29 +) +*51 (Wire +uid 1108,0 +shape (OrthoPolyLine +uid 1109,0 +va (VaSet +vasetType 3 +) +xt "39000,16000,39000,24000" +pts [ +"39000,24000" +"39000,16000" +] +) +start &46 +end &30 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1113,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37600,18000,39000,24500" +st "serialOut" +blo "38800,24500" +tm "WireNameMgr" +) +) +on &15 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *52 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*54 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*56 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*57 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*59 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*60 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*61 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1193,-1193,104962,56484" +cachedDiagramExtent "0,0,81000,55000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 90 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1552,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*63 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*64 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*66 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*67 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*69 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*70 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*72 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*73 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*75 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*76 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*78 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*80 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*82 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5000,7000,6000" +st "Declarations" +blo "0,5800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6000,3400,7000" +st "Ports:" +blo "0,6800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,4800,8000" +st "Pre User:" +blo "0,7800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8000,21800,12000" +st "constant signalBitNb: positive := 16; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12000,9000,13000" +st "Diagram Signals:" +blo "0,12800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5000,6000,6000" +st "Post User:" +blo "0,5800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5000,0,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *83 (LEmptyRow +) +uid 727,0 +optionalChildren [ +*84 (RefLabelRowHdr +) +*85 (TitleRowHdr +) +*86 (FilterRowHdr +) +*87 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*88 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*89 (GroupColHdr +tm "GroupColHdrMgr" +) +*90 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*91 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*92 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*93 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*94 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*95 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 714,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 716,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 718,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 4,0 +) +) +uid 720,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +uid 1089,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 9,0 +) +) +uid 1116,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 740,0 +optionalChildren [ +*102 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *103 (MRCItem +litem &83 +pos 6 +dimension 20 +) +uid 742,0 +optionalChildren [ +*104 (MRCItem +litem &84 +pos 0 +dimension 20 +uid 743,0 +) +*105 (MRCItem +litem &85 +pos 1 +dimension 23 +uid 744,0 +) +*106 (MRCItem +litem &86 +pos 2 +hidden 1 +dimension 20 +uid 745,0 +) +*107 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 715,0 +) +*108 (MRCItem +litem &97 +pos 1 +dimension 20 +uid 717,0 +) +*109 (MRCItem +litem &98 +pos 2 +dimension 20 +uid 719,0 +) +*110 (MRCItem +litem &99 +pos 3 +dimension 20 +uid 721,0 +) +*111 (MRCItem +litem &100 +pos 4 +dimension 20 +uid 1090,0 +) +*112 (MRCItem +litem &101 +pos 5 +dimension 20 +uid 1117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 746,0 +optionalChildren [ +*113 (MRCItem +litem &87 +pos 0 +dimension 20 +uid 747,0 +) +*114 (MRCItem +litem &89 +pos 1 +dimension 50 +uid 748,0 +) +*115 (MRCItem +litem &90 +pos 2 +dimension 100 +uid 749,0 +) +*116 (MRCItem +litem &91 +pos 3 +dimension 50 +uid 750,0 +) +*117 (MRCItem +litem &92 +pos 4 +dimension 100 +uid 751,0 +) +*118 (MRCItem +litem &93 +pos 5 +dimension 100 +uid 752,0 +) +*119 (MRCItem +litem &94 +pos 6 +dimension 50 +uid 753,0 +) +*120 (MRCItem +litem &95 +pos 7 +dimension 80 +uid 754,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 741,0 +vaOverrides [ +] +) +] +) +uid 726,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *121 (LEmptyRow +) +uid 756,0 +optionalChildren [ +*122 (RefLabelRowHdr +) +*123 (TitleRowHdr +) +*124 (FilterRowHdr +) +*125 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*126 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*127 (GroupColHdr +tm "GroupColHdrMgr" +) +*128 (NameColHdr +tm "GenericNameColHdrMgr" +) +*129 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*130 (InitColHdr +tm "GenericValueColHdrMgr" +) +*131 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*132 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 768,0 +optionalChildren [ +*133 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *134 (MRCItem +litem &121 +pos 0 +dimension 20 +) +uid 770,0 +optionalChildren [ +*135 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 771,0 +) +*136 (MRCItem +litem &123 +pos 1 +dimension 23 +uid 772,0 +) +*137 (MRCItem +litem &124 +pos 2 +hidden 1 +dimension 20 +uid 773,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 774,0 +optionalChildren [ +*138 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 775,0 +) +*139 (MRCItem +litem &127 +pos 1 +dimension 50 +uid 776,0 +) +*140 (MRCItem +litem &128 +pos 2 +dimension 100 +uid 777,0 +) +*141 (MRCItem +litem &129 +pos 3 +dimension 100 +uid 778,0 +) +*142 (MRCItem +litem &130 +pos 4 +dimension 50 +uid 779,0 +) +*143 (MRCItem +litem &131 +pos 5 +dimension 50 +uid 780,0 +) +*144 (MRCItem +litem &132 +pos 6 +dimension 80 +uid 781,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 769,0 +vaOverrides [ +] +) +] +) +uid 755,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb new file mode 100644 index 0000000..8d6c107 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/DAC_tb" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:05:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DAC_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/@d@a@c_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/DigitalToAnalogConverter/Prefs/../DigitalToAnalogConverter_test/hds/DAC_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "digitalToAnalogConverter" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:05:57" +) +(vvPair +variable "unit" +value "DAC_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,37600,15700" +st "DigitalToAnalogConverter_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,25600,16600" +st "DAC_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface new file mode 100644 index 0000000..cee3114 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/@d@a@c_tester/interface @@ -0,0 +1,1653 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 16,0 +) +) +uid 380,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 17,0 +) +) +uid 382,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +uid 384,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 19,0 +) +) +uid 386,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 20,0 +) +) +uid 388,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 381,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 383,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 385,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 387,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 389,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 166,0 +) +*50 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 330,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 331,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DAC_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:43:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "DigitalToAnalogConverter_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/DigitalToAnalogConverter_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "DAC_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\@d@a@c_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\..\\DigitalToAnalogConverter_test\\hds\\DAC_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:43:18" +) +(vvPair +variable "unit" +value "DAC_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 358,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 16,0 +) +) +) +*67 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 361,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,5250,85375,6000" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 363,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "84300,7000,85700,16100" +st "lowpassOut" +ju 2 +blo "85500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 364,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 17,0 +) +) +) +*68 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,14500" +st "parallelIn" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +) +*69 (CptPort +uid 370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 371,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 373,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 374,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 19,0 +) +) +) +*70 (CptPort +uid 375,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 376,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 377,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 378,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,13500" +st "serialOut" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 379,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "serialOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "serialOut" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,93000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "45950,9000,62050,10000" +st "DigitalToAnalogConverter_test" +blo "45950,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "45950,10000,52350,11000" +st "DAC_tester" +blo "45950,10800" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "27000,6000,41400,10000" +st "Generic Declarations + +signalBitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,46600,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,55100,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,46300,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,6,1075,696" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,93000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "DigitalToAnalogConverter_test" +entityName "DAC_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,6000,45000,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 389,0 +activeModelName "Symbol:GEN" +) diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf new file mode 100644 index 0000000..066bc61 --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom @d@a@c_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf new file mode 100644 index 0000000..2a4c41f --- /dev/null +++ b/03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds/_dac_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom DAC_tester_test.vhd diff --git a/03-DigitalToAnalogConverter/Prefs/hds.hdp b/03-DigitalToAnalogConverter/Prefs/hds.hdp new file mode 100644 index 0000000..8d4c2df --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds.hdp @@ -0,0 +1,31 @@ +[Concat] +[ModelSim] +DigitalToAnalogConverter = $SCRATCH_DIR/DigitalToAnalogConverter +DigitalToAnalogConverter_test = $SCRATCH_DIR/DigitalToAnalogConverter_test +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../DigitalToAnalogConverter/hdl +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../DigitalToAnalogConverter_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../DigitalToAnalogConverter/hds +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../DigitalToAnalogConverter_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp b/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs b/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl b/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..c09cedf --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6092 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/03-DigitalToAnalogConverter/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918--1+57" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter_test" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 1739 +yPos 57 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..f0a0260 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/DAC.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..63ce98f --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6842 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\03-DigitalToAnalogConverter\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "1040x667+-1326+141" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "DigitalToAnalogConverter_test" +showingHierarchy 0 +openLibs [ +"DigitalToAnalogConverter_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..f0a0260 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/DAC.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/03-DigitalToAnalogConverter/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash b/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat b/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat b/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash b/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash b/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat b/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/03-DigitalToAnalogConverter/Scripts/searchPaths.bat b/03-DigitalToAnalogConverter/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/03-DigitalToAnalogConverter/Scripts/trimLibs.pl b/03-DigitalToAnalogConverter/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/03-DigitalToAnalogConverter/Scripts/update_ise.pl b/03-DigitalToAnalogConverter/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/03-DigitalToAnalogConverter/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:40 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineGen IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:46 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineTable ; + + + + + +ARCHITECTURE masterVersion OF sineTable IS + + signal changeSign : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + + signal shiftPhase : std_uLogic := '0'; -- can be used to build a cosine + +begin + + changeSign <= phase(phase'high); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, shiftPhase, phaseTableAddress1) + begin + if (flipPhase xor shiftPhase) = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTable: process(phaseTableAddress2, flipPhase, shiftPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if (flipPhase xor shiftPhase) = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + checkSign: process(changeSign, flipPhase, shiftPhase, quarterSine) + begin + if (changeSign xor (flipPhase and shiftPhase)) = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSign; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.resizer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:36 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY resizer IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END resizer ; + + + + + +ARCHITECTURE masterVersion OF resizer IS + +BEGIN + + outGtIn: if resizeOut'length > resizeIn'length generate + begin + resizeOut <= shift_left( + resize( + resizeIn, + resizeOut'length + ), + resizeOut'length-resizeIn'length + ); + end generate outGtIn; + + outEqIn: if resizeOut'length = resizeIn'length generate + begin + resizeOut <= resizeIn; + end generate outEqIn; + + outLtIn: if resizeOut'length < resizeIn'length generate + begin + resizeOut <= resize( + shift_right( + resizeIn, + resizeIn'length-resizeOut'length + ), + resizeOut'length + ); + end generate outLtIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToSquare IS +BEGIN + + square <= (others => sawtooth(sawtooth'high)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToTriangle IS + + signal MSB: std_uLogic; + signal triangleInt: unsigned(triangle'range); + +begin + + MSB <= sawtooth(sawtooth'high); + + foldDown: process(MSB, sawtooth) + begin + if MSB = '0' then + triangleInt <= sawtooth; + else + triangleInt <= not sawtooth; + end if; + end process foldDown; + + triangle <= triangleInt(triangleInt'high-1 downto 0) & '0'; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SplineInterpolator.sineGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:42:04 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY SplineInterpolator; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF sineGen IS + + -- Architecture declarations + constant tableAddressBitNb : positive := 3; + constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; + constant coeffBitNb : positive := signalBitNb+4; + + -- Internal signal declarations + SIGNAL a : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL b : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL c : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL d : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_ulogic; + SIGNAL newPolynom : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT resizer + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT ( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; + FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; + FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; + FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; + FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; + FOR ALL : resizer USE ENTITY SplineInterpolator.resizer; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; + FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; + FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + logic1 <= '1'; + + -- HDL Embedded Text Block 3 eb3 + logic0 <= '0'; + + + -- Instance port mappings. + I_spline : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom, + d => d, + sampleOut => sineSigned, + c => c, + b => b, + a => a, + en => logic1 + ); + I_coeffs : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4, + a => a, + b => b, + c => c, + d => d, + interpolateLinear => logic0 + ); + I_shReg : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom, + sampleIn => sineSamples, + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom, + clock => clock, + reset => reset, + en => logic1 + ); + I_unsigned : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => sine, + signedIn => sineSigned + ); + I_size : resizer + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb + ) + PORT MAP ( + resizeOut => sawtooth_internal, + resizeIn => phase + ); + I_sin : sineTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sineSamples, + phase => phase + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => logic1 + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle, + sawtooth => sawtooth_internal + ); + + -- Implicit buffered output assignments + sawtooth <= sawtooth_internal; + +END struct; + + + + +-- +-- VHDL Architecture Lissajous.lissajousGenerator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:47:09 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY DigitalToAnalogConverter; +LIBRARY SplineInterpolator; + +ARCHITECTURE struct OF lissajousGenerator IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; + FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + triggerOut <= squareY(squareY'high); + + -- HDL Embedded Text Block 2 eb2 + stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); + + -- HDL Embedded Text Block 3 eb3 + stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + + + -- Instance port mappings. + I_dacX : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => xOut, + parallelIn => sineX, + clock => clock, + reset => reset + ); + I_dacY : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => yOut, + parallelIn => sineY, + clock => clock, + reset => reset + ); + I_sinX : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepXUnsigned, + sawtooth => OPEN, + sine => sineX, + square => OPEN, + triangle => OPEN + ); + I_sinY : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepYUnsigned, + sawtooth => OPEN, + sine => sineY, + square => squareY, + triangle => OPEN + ); + +END struct; + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lattice; +LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL clkSys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; + FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + U_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clkSys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clkSys, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; + + + + diff --git a/04-Lissajous/Board/concat/lissajous.lpf b/04-Lissajous/Board/concat/lissajous.lpf new file mode 100644 index 0000000..2d14664 --- /dev/null +++ b/04-Lissajous/Board/concat/lissajous.lpf @@ -0,0 +1,334 @@ +### For reference, see TN1262 / FPGA-TN-02032 +# .lpf file format is not really documented by Lattice, normally generated through Diamond + + +################ +#### sysCONFIG +################ + +# The BLOCK commands disable tracing of paths within clock domains (impacting overall timing score) +# It can also be used on paths if the TRACE should not consider the clock domain crossing +# like : BLOCK PATH FROM CLKNET "CLK_A" TO CLKNET "CLK_B" ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +BLOCK JTAGPATHS ; +BLOCK RD_DURING_WR_PATHS ; + + +# Not comprehensive +# dflt : CONFIG_IOVOLTAGE 1.2, 1.5, 1.8, 2.5(dflt), 3.3 voltage is 3.3V +# dflt : COMPRESS_CONFIG OFF (dflt), ON no bitstream compression +# mod : MCCLK_FREQ 2.4, 4.8, 9.7, 19.4, 38.8, 62 NOR program read @ 62MHz +# mod : MASTER_SPI_PORT DISABLE (dflt), ENABLE master SPI port stays SPI and not GPIOs, other mods disabled by dflt +# dflt : BACKGROUND_RECONFIG - no soft ERC when hot-loading bitstream (due to cosmic rays) +# dflt : DONE_PULL ON (dflt), OFF IPU on DONE pin +# dflt : DONE_EX OFF (dflt), ON not delaying end of the configuration (used for daisy chaining FPGAs) +# mod : DONE_OD OFF (dflt), ON DONE pin as open-drain instead of push-pull +# dflt : CONFIG_SECURE OFF (dflt), ON allows external access to current program +# mod : CONFIG_MODE JTAG (dflt), SSPI, SPI_SERIAL, SPI_DUAL, SPI_QUAD, SLAVE_PARALLEL, SLAVE_SERIAL +# which bus and mode is used to load configuration (for the Lattic IDE) +# dflt : TRANSFR OFF (dflt), ON if using TransFR tool from Lattice +# dflt : WAKE_UP 4 (set DONE=1 before starting user code, dflt for DONE_EX=ON) +# 21 (set DONE=1 once FPGA is already running user code, dflt for DONE_EX=OFF) +# mod : INBUF ON, OFF disable unused input buffers (not sure it impacts the ECP5 family) +SYSCONFIG MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE DONE_OD=ON CONFIG_MODE=SPI_QUAD INBUF=OFF CONFIG_IOVOLTAGE=3.3 ; +IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; + +################ +#### Labs DB +################ + +### Clock and reset ### +#INPUT_SETUP ALLPORTS 50.000000 ns HOLD 10.000000 ns CLKPORT "CLK" ; +#INPUT_SETUP PORT "nRST" 50.000000 ns CLKPORT "CLK" ; + +FREQUENCY PORT "clock" 100.000000 MHz ; +LOCATE COMP "clock" SITE "K16" ; +IOBUF PORT "clock" PULLMODE=NONE ; + +LOCATE COMP "reset_N" SITE "E13" ; +GSR_NET NET "resetSynch_N"; + + +### LEDs ### +LOCATE COMP "LED1" SITE "T14" ;# red +LOCATE COMP "LED2" SITE "R14" ;# green +LOCATE COMP "LED3" SITE "T15" ;# blue + + +################ +#### SODIMM-200 +################ + +### PP2 ### +LOCATE COMP "xOut" SITE "G3" ; +LOCATE COMP "yOut" SITE "E1" ; +#LOCATE COMP "" SITE "F3" ; +LOCATE COMP "triggerOut" SITE "D1" ; +#LOCATE COMP "" SITE "F4" ; +#LOCATE COMP "" SITE "C1" ; +#LOCATE COMP "" SITE "D7" ; +#LOCATE COMP "" SITE "B6" ; +#LOCATE COMP "" SITE "C7" ; +#LOCATE COMP "" SITE "A6" ; # PP2 11 +#LOCATE COMP "" SITE "D8" ; # PP2 13 +#LOCATE COMP "" SITE "B7" ; # PP2 15 +#LOCATE COMP "" SITE "C8" ; # PP2 17 +#LOCATE COMP "" SITE "A7" ; # PP2 19 +#LOCATE COMP "" SITE "E9" ; # PP2 21 +#LOCATE COMP "" SITE "A8" ; # PP2 23 +#LOCATE COMP "" SITE "D9" ; # PP2 25 + + +### PP1 ### +#LOCATE COMP "" SITE "A9" ; +#LOCATE COMP "" SITE "D10" ; +#LOCATE COMP "" SITE "A10" ; +#LOCATE COMP "" SITE "C10" ; +#LOCATE COMP "" SITE "B10" ; +#LOCATE COMP "" SITE "C12" ; +#LOCATE COMP "" SITE "B12" ; +#LOCATE COMP "" SITE "D13" ; +#LOCATE COMP "" SITE "A13" ; +#LOCATE COMP "" SITE "M5" ; # PP1 11 +#LOCATE COMP "" SITE "L5" ; # PP1 13 +#LOCATE COMP "" SITE "K5" ; # PP1 15 +#LOCATE COMP "" SITE "H5" ; # PP1 17 +#LOCATE COMP "" SITE "E8" ; # PP1 19 +#LOCATE COMP "" SITE "E5" ; # PP1 21 +#LOCATE COMP "" SITE "E6" ; # PP1 23 +#LOCATE COMP "" SITE "E7" ; # PP1 25 + + +### USB (FTDI2232HL located on the daughterboard) ### +#LOCATE COMP "TxD" SITE "A14" ; +#IOBUF PORT "TxD" SLEWRATE=FAST ; +#LOCATE COMP "RxD" SITE "B14" ; +#IOBUF PORT "RxD" PULLMODE=UP ; +#LOCATE COMP "USB_DB_RTS" SITE "B13" ; +#IOBUF PORT "USB_DB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_DB_CTS" SITE "C13" ; +#IOBUF PORT "USB_DB_CTS" PULLMODE=UP ; + + + +################ +#### Extras +################ + + +### SD Flash (External SD card) ### +#LOCATE COMP "SD_DETECT" SITE "G12" ; +#IOBUF PORT "SD_DETECT" PULLMODE=UP ; + +#LOCATE COMP "SD_CMD" SITE "C15" ; +#IOBUF PORT "SD_CMD" SLEWRATE=FAST ; +#LOCATE COMP "SD_CLK" SITE "B15" ; +#IOBUF PORT "SD_CLK" SLEWRATE=FAST ; + +#LOCATE COMP "SD_DTA[0]" SITE "B16" ; +##IOBUF PORT "SD_DTA[0]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[1]" SITE "C16" ; +##IOBUF PORT "SD_DTA[1]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[2]" SITE "F12" ; +##IOBUF PORT "SD_DTA[2]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[3]" SITE "C14" ; +##IOBUF PORT "SD_DTA[3]" SLEWRATE=FAST ; + + +### DRAM ### +#LOCATE COMP "DRAM_ADDR[0]" SITE "J15" ; +#IOBUF PORT "DRAM_ADDR[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[1]" SITE "L16" ; +#IOBUF PORT "DRAM_ADDR[1]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[2]" SITE "L15" ; +#IOBUF PORT "DRAM_ADDR[2]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[3]" SITE "K15" ; +#IOBUF PORT "DRAM_ADDR[3]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[4]" SITE "G15" ; +#IOBUF PORT "DRAM_ADDR[4]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[5]" SITE "F15" ; +#IOBUF PORT "DRAM_ADDR[5]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[6]" SITE "F16" ; +#IOBUF PORT "DRAM_ADDR[6]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[7]" SITE "E16" ; +#IOBUF PORT "DRAM_ADDR[7]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[8]" SITE "E15" ; +#IOBUF PORT "DRAM_ADDR[8]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[9]" SITE "G13" ; +#IOBUF PORT "DRAM_ADDR[9]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[10]" SITE "M16" ; +#IOBUF PORT "DRAM_ADDR[10]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[11]" SITE "F13" ; +#IOBUF PORT "DRAM_ADDR[11]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[12]" SITE "D16" ; +#IOBUF PORT "DRAM_ADDR[12]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_BA[0]" SITE "L14" ; +#IOBUF PORT "DRAM_BA[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_BA[1]" SITE "L13" ; +#IOBUF PORT "DRAM_BA[1]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_CLK" SITE "G14" ; +#IOBUF PORT "DRAM_CLK" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_CKE" SITE "G16" ; +#IOBUF PORT "DRAM_CKE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nRAS" SITE "M14" ; +#IOBUF PORT "DRAM_nRAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCAS" SITE "K13" ; +#IOBUF PORT "DRAM_nCAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nWE" SITE "N16" ; +#IOBUF PORT "DRAM_nWE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCS" SITE "M15" ; + +#LOCATE COMP "DRAM_DQ[0]" SITE "P14" ; +#LOCATE COMP "DRAM_DQ[1]" SITE "R15" ; +#LOCATE COMP "DRAM_DQ[2]" SITE "N14" ; +#LOCATE COMP "DRAM_DQ[3]" SITE "R16" ; +#LOCATE COMP "DRAM_DQ[4]" SITE "J14" ; +#LOCATE COMP "DRAM_DQ[5]" SITE "P15" ; +#LOCATE COMP "DRAM_DQ[6]" SITE "K14" ; +#LOCATE COMP "DRAM_DQ[7]" SITE "P16" ; +#LOCATE COMP "DRAM_DQ[8]" SITE "D14" ; +#LOCATE COMP "DRAM_DQ[9]" SITE "H14" ; +#LOCATE COMP "DRAM_DQ[10]" SITE "H12" ; +#LOCATE COMP "DRAM_DQ[11]" SITE "H13" ; +#LOCATE COMP "DRAM_DQ[12]" SITE "E14" ; +#LOCATE COMP "DRAM_DQ[13]" SITE "H15" ; +#LOCATE COMP "DRAM_DQ[14]" SITE "J13" ; +#LOCATE COMP "DRAM_DQ[15]" SITE "J16" ; + +#LOCATE COMP "DRAM_DQM[0]" SITE "M13" ; +#IOBUF PORT "DRAM_DQM[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_DQM[1]" SITE "F14" ; +#IOBUF PORT "DRAM_DQM[1]" SLEWRATE=FAST ; + + + + +### USB (chip located on the motherboard) ### +#LOCATE COMP "USB_MB_TX" SITE "M11" ; +#IOBUF PORT "USB_MB_TX" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_RX" SITE "N12" ; +#IOBUF PORT "USB_MB_RX" PULLMODE=UP ; +#LOCATE COMP "USB_MB_RTS" SITE "N11" ; +#IOBUF PORT "USB_MB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_CTS" SITE "M12" ; +#IOBUF PORT "USB_MB_CTS" PULLMODE=UP ; + + +### PMOD1 ### +#LOCATE COMP "dbg_leds[16]" SITE "P1" ; +#LOCATE COMP "dbg_leds[17]" SITE "N4" ; +#LOCATE COMP "dbg_leds[18]" SITE "P2" ; +#LOCATE COMP "dbg_leds[19]" SITE "P5" ; +#LOCATE COMP "dbg_leds[20]" SITE "R1" ; +#LOCATE COMP "dbg_leds[21]" SITE "N5" ; +#LOCATE COMP "dbg_leds[22]" SITE "R2" ; +#LOCATE COMP "dbg_leds[23]" SITE "N6" ; + + +### PMOD2 ### +#LOCATE COMP "dbg_leds[24]" SITE "R3" ; +#LOCATE COMP "dbg_leds[25]" SITE "P11" ; +#LOCATE COMP "dbg_leds[26]" SITE "P12" ; +#LOCATE COMP "dbg_leds[27]" SITE "T3" ; +#LOCATE COMP "dbg_leds[28]" SITE "R4" ; +#LOCATE COMP "dbg_leds[29]" SITE "R12" ; +#LOCATE COMP "dbg_leds[30]" SITE "T13" ; +#LOCATE COMP "dbg_leds[31]" SITE "R5" ; + + +### PMOD3 ### +#LOCATE COMP "dbg_leds[8]" SITE "B2" ; +#LOCATE COMP "dbg_leds[9]" SITE "B3" ; +#LOCATE COMP "dbg_leds[10]" SITE "A4" ; +#LOCATE COMP "dbg_leds[11]" SITE "D4" ; +#LOCATE COMP "dbg_leds[12]" SITE "A2" ; +#LOCATE COMP "dbg_leds[13]" SITE "B4" ; +#LOCATE COMP "dbg_leds[14]" SITE "C3" ; +#LOCATE COMP "dbg_leds[15]" SITE "C4" ; + + +### PMOD4 ### +#LOCATE COMP "dbg_leds[0]" SITE "J4" ; +#LOCATE COMP "dbg_leds[1]" SITE "J5" ; +#LOCATE COMP "dbg_leds[2]" SITE "H4" ; +#LOCATE COMP "dbg_leds[3]" SITE "E4" ; +#LOCATE COMP "dbg_leds[4]" SITE "J3" ; +#LOCATE COMP "dbg_leds[5]" SITE "H3" ; +#LOCATE COMP "dbg_leds[6]" SITE "E3" ; +#LOCATE COMP "dbg_leds[7]" SITE "D3" ; + + +### Ethernet ### +#LOCATE COMP "ETH_CLK_EN" SITE "B1" ; +#LOCATE COMP "ETH_nRESET" SITE "C2" ; + +#LOCATE COMP "ETH_nLED_Y" SITE "F1" ; +#LOCATE COMP "ETH_nLED_G" SITE "G2" ; + +#LOCATE COMP "ETH_MDC" SITE "J1" ; +#LOCATE COMP "ETH_MDIO" SITE "H2" ; +#IOBUF PORT "ETH_MDIO" OPENDRAIN=ON SLEWRATE=FAST ; +#LOCATE COMP "ETH_MDINT" SITE "G1" ; +#IOBUF PORT "ETH_MDINT" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_REF_CLK" SITE "P3" ; + +#LOCATE COMP "ETH_TX_CLK" SITE "M4" ; +#IOBUF PORT "ETH_TX_CLK" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TX_CTL" SITE "N3" ; +#IOBUF PORT "ETH_TX_CTL" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[0]" SITE "M3" ; +#IOBUF PORT "ETH_TXD[0]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[1]" SITE "L4" ; +#IOBUF PORT "ETH_TXD[1]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[2]" SITE "K4" ; +#IOBUF PORT "ETH_TXD[2]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[3]" SITE "K3" ; +#IOBUF PORT "ETH_TXD[3]" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_RX_CLK" SITE "K1" ; +#LOCATE COMP "ETH_RX_CTL" SITE "K2" ; +#LOCATE COMP "ETH_RXD[0]" SITE "L1" ; +#LOCATE COMP "ETH_RXD[1]" SITE "L2" ; +#LOCATE COMP "ETH_RXD[2]" SITE "M1" ; +#LOCATE COMP "ETH_RXD[3]" SITE "M2" ; + + +### Extras ### +#LOCATE COMP "EXT[1]" SITE "P13" ; +#LOCATE COMP "EXT[2]" SITE "R13" ; +#LOCATE COMP "EXT[3]" SITE "A3" ; +#LOCATE COMP "EXT[4]" SITE "A5" ; +#LOCATE COMP "EXT[5]" SITE "B5" ; +#LOCATE COMP "EXT[6]" SITE "C5" ; +#LOCATE COMP "EXT[7]" SITE "C6" ; +#LOCATE COMP "EXT[8]" SITE "D5" ; +#LOCATE COMP "EXT[9]" SITE "D6" ; +#LOCATE COMP "EXT[10]" SITE "A11" ; +#LOCATE COMP "EXT[11]" SITE "A12" ; +#LOCATE COMP "EXT[12]" SITE "B8" ; +#LOCATE COMP "EXT[13]" SITE "B9" ; +#LOCATE COMP "EXT[14]" SITE "B11" ; +#LOCATE COMP "EXT[15]" SITE "C9" ; +#LOCATE COMP "EXT[16]" SITE "C11" ; +#LOCATE COMP "EXT[17]" SITE "D11" ; +#LOCATE COMP "EXT[18]" SITE "D12" ; +#LOCATE COMP "EXT[19]" SITE "E10" ; +#LOCATE COMP "EXT[20]" SITE "E11" ; +#LOCATE COMP "EXT[21]" SITE "E12" ; +#LOCATE COMP "EXT[22]" SITE "L3" ; +#LOCATE COMP "EXT[23]" SITE "M6" ; +#LOCATE COMP "EXT[24]" SITE "N1" ; +#LOCATE COMP "EXT[25]" SITE "P4" ; +#LOCATE COMP "EXT[26]" SITE "P6" ; +#LOCATE COMP "EXT[27]" SITE "T2" ; +#LOCATE COMP "EXT[28]" SITE "T4" ; +#LOCATE COMP "EXT[29]" SITE "E2" ; +#LOCATE COMP "EXT[30]" SITE "F2" ; +#LOCATE COMP "EXT[31]" SITE "F5" ; +#LOCATE COMP "EXT[32]" SITE "G4" ; +#LOCATE COMP "EXT[33]" SITE "G5" ; +#LOCATE COMP "EXT[34]" SITE "J2" ; diff --git a/04-Lissajous/Board/concat/lissajous.ucf b/04-Lissajous/Board/concat/lissajous.ucf new file mode 100644 index 0000000..b145465 --- /dev/null +++ b/04-Lissajous/Board/concat/lissajous.ucf @@ -0,0 +1,19 @@ +#------------------------------------------------------------------------------- +# Clock and reset +# +NET "clock" LOC = "A10"; +NET "reset_N" LOC = "D3" | PULLUP; + +#------------------------------------------------------------------------------- +# Analog outputs +# +NET "xOut" LOC = "G4" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +NET "yOut" LOC = "G5" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "xOut" LOC = "G4" ; +#NET "yOut" LOC = "G5" ; + +#------------------------------------------------------------------------------- +# Trigger output +# +NET "triggerOut" LOC = "D2" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "triggerOut" LOC = "D2" ; diff --git a/04-Lissajous/Board/concat/lissajous.vhd b/04-Lissajous/Board/concat/lissajous.vhd new file mode 100644 index 0000000..02ed33b --- /dev/null +++ b/04-Lissajous/Board/concat/lissajous.vhd @@ -0,0 +1,1585 @@ +-- VHDL Entity Board.lissajousGenerator_circuit_EBS3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator_circuit_EBS3 IS + PORT( + clock : IN std_ulogic; + reset_N : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator_circuit_EBS3 ; + + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Lissajous.lissajousGenerator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:53 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY lissajousGenerator IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + triggerOut : OUT std_ulogic; + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END lissajousGenerator ; + + + + + +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + + + + + +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:40 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineGen IS + GENERIC( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineGen ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.sineTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:46 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sineTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sineTable ; + + + + + +ARCHITECTURE masterVersion OF sineTable IS + + signal changeSign : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + + signal shiftPhase : std_uLogic := '0'; -- can be used to build a cosine + +begin + + changeSign <= phase(phase'high); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, shiftPhase, phaseTableAddress1) + begin + if (flipPhase xor shiftPhase) = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTable: process(phaseTableAddress2, flipPhase, shiftPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if (flipPhase xor shiftPhase) = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTable; + + checkSign: process(changeSign, flipPhase, shiftPhase, quarterSine) + begin + if (changeSign xor (flipPhase and shiftPhase)) = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSign; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.resizer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:36 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY resizer IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END resizer ; + + + + + +ARCHITECTURE masterVersion OF resizer IS + +BEGIN + + outGtIn: if resizeOut'length > resizeIn'length generate + begin + resizeOut <= shift_left( + resize( + resizeIn, + resizeOut'length + ), + resizeOut'length-resizeIn'length + ); + end generate outGtIn; + + outEqIn: if resizeOut'length = resizeIn'length generate + begin + resizeOut <= resizeIn; + end generate outEqIn; + + outLtIn: if resizeOut'length < resizeIn'length generate + begin + resizeOut <= resize( + shift_right( + resizeIn, + resizeIn'length-resizeOut'length + ), + resizeOut'length + ); + end generate outLtIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToSquare.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToSquare IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToSquare ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToSquare IS +BEGIN + + square <= (others => sawtooth(sawtooth'high)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothToTriangle.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothToTriangle IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sawtoothToTriangle ; + + + + + +ARCHITECTURE masterVersion OF sawtoothToTriangle IS + + signal MSB: std_uLogic; + signal triangleInt: unsigned(triangle'range); + +begin + + MSB <= sawtooth(sawtooth'high); + + foldDown: process(MSB, sawtooth) + begin + if MSB = '0' then + triangleInt <= sawtooth; + else + triangleInt <= not sawtooth; + end if; + end process foldDown; + + triangle <= triangleInt(triangleInt'high-1 downto 0) & '0'; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SplineInterpolator.sineGen.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:42:04 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY SplineInterpolator; +-- LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF sineGen IS + + -- Architecture declarations + constant tableAddressBitNb : positive := 3; + constant sampleCountBitNb : positive := phaseBitNb-2-tableAddressBitNb; + constant coeffBitNb : positive := signalBitNb+4; + + -- Internal signal declarations + SIGNAL a : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL b : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL c : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL d : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_ulogic; + SIGNAL newPolynom : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sample1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sample4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSamples : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sineSigned : signed(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL sawtooth_internal : unsigned (signalBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT resizer + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16 + ); + PORT ( + resizeOut : OUT unsigned (outputBitNb-1 DOWNTO 0); + resizeIn : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sineTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT sawtoothToSquare + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + square : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothToTriangle + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + triangle : OUT unsigned (bitNb-1 DOWNTO 0); + sawtooth : IN unsigned (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; +-- FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; +-- FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; +-- FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; +-- FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; +-- FOR ALL : resizer USE ENTITY SplineInterpolator.resizer; +-- FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; +-- FOR ALL : sawtoothToSquare USE ENTITY WaveformGenerator.sawtoothToSquare; +-- FOR ALL : sawtoothToTriangle USE ENTITY WaveformGenerator.sawtoothToTriangle; +-- FOR ALL : sineTable USE ENTITY SplineInterpolator.sineTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + logic1 <= '1'; + + -- HDL Embedded Text Block 3 eb3 + logic0 <= '0'; + + + -- Instance port mappings. + I_spline : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom, + d => d, + sampleOut => sineSigned, + c => c, + b => b, + a => a, + en => logic1 + ); + I_coeffs : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4, + a => a, + b => b, + c => c, + d => d, + interpolateLinear => logic0 + ); + I_shReg : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom, + sampleIn => sineSamples, + sample1 => sample1, + sample2 => sample2, + sample3 => sample3, + sample4 => sample4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom, + clock => clock, + reset => reset, + en => logic1 + ); + I_unsigned : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => sine, + signedIn => sineSigned + ); + I_size : resizer + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb + ) + PORT MAP ( + resizeOut => sawtooth_internal, + resizeIn => phase + ); + I_sin : sineTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sineSamples, + phase => phase + ); + I_saw : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => logic1 + ); + I_square : sawtoothToSquare + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + square => square, + sawtooth => sawtooth_internal + ); + I_tri : sawtoothToTriangle + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + triangle => triangle, + sawtooth => sawtooth_internal + ); + + -- Implicit buffered output assignments + sawtooth <= sawtooth_internal; + +END struct; + + + + +-- +-- VHDL Architecture Lissajous.lissajousGenerator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:47:09 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY DigitalToAnalogConverter; +-- LIBRARY SplineInterpolator; + +ARCHITECTURE struct OF lissajousGenerator IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT sineGen + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (phaseBitNb-1 DOWNTO 0); + sawtooth : OUT unsigned (signalBitNb-1 DOWNTO 0); + sine : OUT unsigned (signalBitNb-1 DOWNTO 0); + square : OUT unsigned (signalBitNb-1 DOWNTO 0); + triangle : OUT unsigned (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; +-- FOR ALL : sineGen USE ENTITY SplineInterpolator.sineGen; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + triggerOut <= squareY(squareY'high); + + -- HDL Embedded Text Block 2 eb2 + stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); + + -- HDL Embedded Text Block 3 eb3 + stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + + + -- Instance port mappings. + I_dacX : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => xOut, + parallelIn => sineX, + clock => clock, + reset => reset + ); + I_dacY : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => yOut, + parallelIn => sineY, + clock => clock, + reset => reset + ); + I_sinX : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepXUnsigned, + sawtooth => OPEN, + sine => sineX, + square => OPEN, + triangle => OPEN + ); + I_sinY : sineGen + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + step => stepYUnsigned, + sawtooth => OPEN, + sine => sineY, + square => squareY, + triangle => OPEN + ); + +END struct; + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.lissajousGenerator_circuit_EBS3.masterVersion +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:49 01.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Board; +-- LIBRARY Lattice; +-- LIBRARY Lissajous; + +ARCHITECTURE masterVersion OF lissajousGenerator_circuit_EBS3 IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant phaseBitNb: positive := 17; + constant stepX: positive := 3; + constant stepY: positive := 4; + + -- Internal signal declarations + SIGNAL clkSys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT lissajousGenerator + GENERIC ( + signalBitNb : positive := 16; + phaseBitNb : positive := 16; + stepX : positive := 1; + stepY : positive := 1 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + triggerOut : OUT std_ulogic ; + xOut : OUT std_ulogic ; + yOut : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DFF USE ENTITY Board.DFF; +-- FOR ALL : inverterIn USE ENTITY Board.inverterIn; +-- FOR ALL : lissajousGenerator USE ENTITY Lissajous.lissajousGenerator; +-- FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + U_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clkSys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_main : lissajousGenerator + GENERIC MAP ( + signalBitNb => signalBitNb, + phaseBitNb => phaseBitNb, + stepX => stepX, + stepY => stepY + ) + PORT MAP ( + clock => clkSys, + reset => resetSynch, + triggerOut => triggerOut, + xOut => xOut, + yOut => yOut + ); + +END masterVersion; + + + + diff --git a/04-Lissajous/Board/diamond/lissajous.bit b/04-Lissajous/Board/diamond/lissajous.bit new file mode 100644 index 0000000..085c234 Binary files /dev/null and b/04-Lissajous/Board/diamond/lissajous.bit differ diff --git a/04-Lissajous/Board/diamond/lissajous.ldf b/04-Lissajous/Board/diamond/lissajous.ldf new file mode 100644 index 0000000..f1ae61d --- /dev/null +++ b/04-Lissajous/Board/diamond/lissajous.ldf @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/04-Lissajous/Board/diamond/programmer.xcf b/04-Lissajous/Board/diamond/programmer.xcf new file mode 100644 index 0000000..468a2da --- /dev/null +++ b/04-Lissajous/Board/diamond/programmer.xcf @@ -0,0 +1,50 @@ + + + + + + JTAG + + + 1 + Renesas + ECP5U + LFE5U-25F + All + LFE5U-25F + + 8 + 11111111 + 1 + 0 + + + 04/11/23 16:14:28 + 0xA4B0 + Fast Program + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + 4 + + + USB2 + FTUSB-0 + LFE5U-25F A Location 0000 Serial 018VFVT3A + + diff --git a/04-Lissajous/Board/diamond/reveal_analyze.rva b/04-Lissajous/Board/diamond/reveal_analyze.rva new file mode 100644 index 0000000..4249e0e --- /dev/null +++ b/04-Lissajous/Board/diamond/reveal_analyze.rva @@ -0,0 +1,638 @@ + + + lun. 6. mars 14:29:10 2023 + + + + + + + + + + + + 1 + + 0 + 1. LFE5U-25F + 0x41111043 + true + false + + 8 + reveal_config.rvl + reveal_config.rvs + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/04-Lissajous/Board/diamond/reveal_config.rvl b/04-Lissajous/Board/diamond/reveal_config.rvl new file mode 100644 index 0000000..28d2f1c --- /dev/null +++ b/04-Lissajous/Board/diamond/reveal_config.rvl @@ -0,0 +1,662 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/04-Lissajous/Board/diamond/strategy.sty b/04-Lissajous/Board/diamond/strategy.sty new file mode 100644 index 0000000..a2b5a4a --- /dev/null +++ b/04-Lissajous/Board/diamond/strategy.sty @@ -0,0 +1,203 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/04-Lissajous/Board/hdl/DFF_sim.vhd b/04-Lissajous/Board/hdl/DFF_sim.vhd new file mode 100644 index 0000000..cf8868a --- /dev/null +++ b/04-Lissajous/Board/hdl/DFF_sim.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/04-Lissajous/Board/hdl/buff_sim.vhd b/04-Lissajous/Board/hdl/buff_sim.vhd new file mode 100644 index 0000000..8ad27be --- /dev/null +++ b/04-Lissajous/Board/hdl/buff_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF buff IS +BEGIN + + out1 <= in1; + +END ARCHITECTURE sim; + diff --git a/04-Lissajous/Board/hdl/inverterIn_sim.vhd b/04-Lissajous/Board/hdl/inverterIn_sim.vhd new file mode 100644 index 0000000..fb039ac --- /dev/null +++ b/04-Lissajous/Board/hdl/inverterIn_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/04-Lissajous/Board/hdl/inverter_sim.vhd b/04-Lissajous/Board/hdl/inverter_sim.vhd new file mode 100644 index 0000000..ca5b6b5 --- /dev/null +++ b/04-Lissajous/Board/hdl/inverter_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_ebs3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Board/hds/.hdlsidedata/_lissajousgenerator_circuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Board/hds/@d@f@f/symbol.sb b/04-Lissajous/Board/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..555b689 --- /dev/null +++ b/04-Lissajous/Board/hds/@d@f@f/symbol.sb @@ -0,0 +1,1211 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 158,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 159,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 160,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 156,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 164,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 165,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 132,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 163,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:05" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:05" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,4625,23000,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,12,0" +) +xt "24000,4300,25700,5700" +st "D" +blo "24000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,15500,13400" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +optionalChildren [ +*62 (FFT +pts [ +"23750,9000" +"23000,9375" +"23000,8625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,8625,23000,9375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,12,0" +) +xt "24000,8400,27200,9800" +st "CLK" +blo "24000,9600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,15500,11600" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,11000,26375,11750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,12,0" +) +xt "25000,9600,28200,11000" +st "CLR" +blo "25000,10800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15500,12500" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,4625,29750,5375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +font "courier,12,0" +) +xt "26200,4300,28000,5700" +st "Q" +ju 2 +blo "28000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,14500,14300" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,3000,29000,11000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +) +xt "27600,10700,30600,11700" +st "Board" +blo "27600,11500" +) +second (Text +uid 15,0 +va (VaSet +) +xt "27600,11700,29400,12700" +st "DFF" +blo "27600,12500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +isHidden 1 +) +xt "30000,10400,42600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 97,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*68 (MLText +uid 98,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "23,13,991,725" +viewArea "-672,-4956,43920,21156" +cachedDiagramExtent "0,0,43800,15100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26600,24700,29700,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +) +xt "26600,25700,28800,26700" +st "" +blo "26600,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8300,8600,9500" +st "Declarations" +blo "0,9300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,9500,4200,10700" +st "Ports:" +blo "0,10500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,14300,3000,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,8300,9400,9500" +st "Internal User:" +blo "0,9300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +) diff --git a/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface b/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface new file mode 100644 index 0000000..f018467 --- /dev/null +++ b/04-Lissajous/Board/hds/@f@p@g@a_sine@gen_tester/interface @@ -0,0 +1,1571 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +uid 208,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 210,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +uid 212,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 214,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 209,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 211,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 213,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 215,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 217,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 102,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 106,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 108,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 110,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 114,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 116,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 129,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 143,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 145,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "chronometer" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester" +) +(vvPair +variable "date" +value "27.01.2010" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "27" +) +(vvPair +variable "entity_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Chronometer/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Chronometer\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:20:56" +) +(vvPair +variable "unit" +value "FPGA_sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 71,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 186,0 +ro 270 +va (VaSet +) +xt "22500,7000,23500,9100" +st "clock" +ju 2 +blo "23300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 187,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62000,5200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*65 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +ro 270 +va (VaSet +) +xt "24500,7000,25500,9100" +st "reset" +ju 2 +blo "25300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +*66 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +ro 270 +va (VaSet +) +xt "56500,7000,57500,11400" +st "triggerOut" +ju 2 +blo "57300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +) +*67 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +ro 270 +va (VaSet +) +xt "58500,7000,59500,8900" +st "xOut" +ju 2 +blo "59300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "xOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*68 (CptPort +uid 203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 204,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 206,0 +ro 270 +va (VaSet +) +xt "60500,7000,61500,8900" +st "yOut" +ju 2 +blo "61300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 207,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "yOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,59000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,8800,38400,10000" +st "Board" +blo "34900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,10000,47100,11200" +st "FPGA_sineGen_tester" +blo "34900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SineInterpolator_test" +entityName "FPGA_sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 217,0 +) diff --git a/04-Lissajous/Board/hds/_buff._epf b/04-Lissajous/Board/hds/_buff._epf new file mode 100644 index 0000000..d74258b --- /dev/null +++ b/04-Lissajous/Board/hds/_buff._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom buff_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/04-Lissajous/Board/hds/_lissajousgenerator_circuit._epf b/04-Lissajous/Board/hds/_lissajousgenerator_circuit._epf new file mode 100644 index 0000000..743da6f --- /dev/null +++ b/04-Lissajous/Board/hds/_lissajousgenerator_circuit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_circuit/student@version.bd +DEFAULT_ARCHITECTURE atom studentVersion +TOP_MARKER atom 1 diff --git a/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf b/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf new file mode 100644 index 0000000..5bcd10d --- /dev/null +++ b/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs2._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_circuit_@e@b@s2/student@version.bd +DEFAULT_ARCHITECTURE atom student@version +TOP_MARKER atom 1 diff --git a/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf b/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf new file mode 100644 index 0000000..033f37c --- /dev/null +++ b/04-Lissajous/Board/hds/_lissajousgenerator_circuit_ebs3._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom lissajous@generator_circuit_@e@b@s3/student@version.bd +TOP_MARKER atom 1 diff --git a/04-Lissajous/Board/hds/buff/symbol.sb b/04-Lissajous/Board/hds/buff/symbol.sb new file mode 100644 index 0000000..f9ad06a --- /dev/null +++ b/04-Lissajous/Board/hds/buff/symbol.sb @@ -0,0 +1,1107 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "buff" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "buff" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:01" +) +(vvPair +variable "unit" +value "buff" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,26310,5700" +st "buff" +blo "23910,5500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *59 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*61 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1352,888" +viewArea "-600,-3900,62412,40055" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *62 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *63 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 149,0 +activeModelName "Symbol" +) diff --git a/04-Lissajous/Board/hds/inverter/symbol.sb b/04-Lissajous/Board/hds/inverter/symbol.sb new file mode 100644 index 0000000..515ce1d --- /dev/null +++ b/04-Lissajous/Board/hds/inverter/symbol.sb @@ -0,0 +1,1092 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:09" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:09" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +optionalChildren [ +*58 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28750,6625,29500,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,28710,5700" +st "inverter" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/04-Lissajous/Board/hds/inverter@in/symbol.sb b/04-Lissajous/Board/hds/inverter@in/symbol.sb new file mode 100644 index 0000000..85e0913 --- /dev/null +++ b/04-Lissajous/Board/hds/inverter@in/symbol.sb @@ -0,0 +1,1095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 98,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 101,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 44,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 47,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 49,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 51,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 70,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 45,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 53,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 57,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 59,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 61,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 63,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 65,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 67,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 43,0 +vaOverrides [ +] +) +] +) +uid 97,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 73,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 76,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 78,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 80,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 74,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 82,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 86,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 88,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 90,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 92,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 94,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 72,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverterIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverterIn" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:14" +) +(vvPair +variable "unit" +value "inverterIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +optionalChildren [ +*57 (Circle +uid 42,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,6546,23000,7454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21342,6625,22092,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,30510,5700" +st "inverterIn" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 127,0 +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit/student@version.bd b/04-Lissajous/Board/hds/lissajous@generator_circuit/student@version.bd new file mode 100644 index 0000000..7b47360 --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit/student@version.bd @@ -0,0 +1,3978 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 2310,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:46:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "14:46:48" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "10500,29300,14000,30800" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8000,11800,9200" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "81500,25625,83000,26375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "81000,26000,81500,26000" +pts [ +"81000,26000" +"81500,26000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,25300,87100,26800" +st "yOut" +blo "84000,26500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "8900,41300,14000,42800" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,20000,16200,21200" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,9000,12500,10200" +st "reset_N : std_ulogic" +) +) +*18 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,29300,90800,30800" +st "triggerOut" +blo "84000,30500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +) +xt "-1000,10000,12800,11200" +st "triggerOut : std_ulogic" +) +) +*20 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +) +xt "-1000,11000,11900,12200" +st "xOut : std_ulogic" +) +) +*21 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "81500,27625,83000,28375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "81000,28000,81500,28000" +pts [ +"81000,28000" +"81500,28000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,27300,87100,28800" +st "xOut" +blo "84000,28500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +) +xt "-1000,12000,11900,13200" +st "yOut : std_ulogic" +) +) +*23 (HdlText +uid 818,0 +optionalChildren [ +*24 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,23000,37000" +st "eb4" +blo "21400,36800" +tm "HdlTextNameMgr" +) +*26 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22200,38000" +st "4" +blo "21400,37800" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,21000,18300,22200" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*28 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,19000,16700,20200" +st "SIGNAL logic1 : std_uLogic" +) +) +*29 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,22000,17800,23200" +st "SIGNAL resetSynch : std_ulogic" +) +) +*30 (SaComponent +uid 1071,0 +optionalChildren [ +*31 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,33300,36600,34800" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*32 (CptPort +uid 1058,0 +optionalChildren [ +*33 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,37400,38100,38900" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*34 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,38600,39200,40100" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*35 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Arial,12,0" +) +xt "37400,33300,39000,34800" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,41000,40700" +st "Board" +blo "38600,40500" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,40600,41700" +st "DFF" +blo "38600,41500" +tm "CptNameMgr" +) +*38 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,40400,42700" +st "I_dff" +blo "38600,42500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 1806,0 +optionalChildren [ +*40 (CptPort +uid 1797,0 +optionalChildren [ +*41 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,33500,47400,35000" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "46650,33500,49750,35000" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,48860,37700" +st "Board" +blo "46460,37500" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,50360,38700" +st "inverterIn" +blo "46460,38500" +tm "CptNameMgr" +) +*45 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,48760,39700" +st "I_inv2" +blo "46460,39500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 1817,0 +optionalChildren [ +*47 (CptPort +uid 1826,0 +optionalChildren [ +*48 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,41500,25400,43000" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*49 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24650,41500,27750,43000" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,26860,45700" +st "Board" +blo "24460,45500" +tm "BdLibraryNameMgr" +) +*51 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,28360,46700" +st "inverterIn" +blo "24460,46500" +tm "CptNameMgr" +) +*52 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,26760,47700" +st "I_inv1" +blo "24460,47500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*53 (SaComponent +uid 2310,0 +optionalChildren [ +*54 (CptPort +uid 2290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2293,0 +va (VaSet +font "Arial,9,0" +) +xt "58000,29400,60700,30600" +st "clock" +blo "58000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*55 (CptPort +uid 2294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2297,0 +va (VaSet +font "Arial,9,0" +) +xt "66900,29400,72000,30600" +st "triggerOut" +ju 2 +blo "72000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 2298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,27625,73750,28375" +) +tg (CPTG +uid 2300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2301,0 +va (VaSet +font "Arial,9,0" +) +xt "69500,27400,72000,28600" +st "xOut" +ju 2 +blo "72000,28300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 2302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,25625,73750,26375" +) +tg (CPTG +uid 2304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2305,0 +va (VaSet +font "Arial,9,0" +) +xt "69400,25400,72000,26600" +st "yOut" +ju 2 +blo "72000,26300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 2306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2309,0 +va (VaSet +) +xt "58000,31500,60100,32500" +st "reset" +blo "58000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 2311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,22000,73000,34000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 2312,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 2313,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,33800,62500,34900" +st "Lissajous" +blo "57600,34700" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 2314,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,34700,67200,35800" +st "lissajousGenerator" +blo "57600,35600" +tm "CptNameMgr" +) +*61 (Text +uid 2315,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,35600,61100,36700" +st "I_main" +blo "57600,36500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2316,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2317,0 +text (MLText +uid 2318,0 +va (VaSet +) +xt "57000,37600,80500,42400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "17000,28600,20500,30100" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*63 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "73750,26000,81000,26000" +pts [ +"81000,26000" +"73750,26000" +] +) +start &3 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,24600,79100,26100" +st "yOut" +blo "76000,25800" +tm "WireNameMgr" +) +) +on &22 +) +*64 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &4 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Arial,12,0" +) +xt "16000,40600,21100,42100" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &17 +) +*65 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &42 +end &58 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Arial,12,0" +) +xt "50000,30600,57500,32100" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &29 +) +*66 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "73750,30000,81000,30000" +pts [ +"81000,30000" +"73750,30000" +] +) +start &18 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,28600,82800,30100" +st "triggerOut" +blo "76000,29800" +tm "WireNameMgr" +) +) +on &19 +) +*67 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "73750,28000,81000,28000" +pts [ +"81000,28000" +"73750,28000" +] +) +start &21 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,26600,79100,28100" +st "xOut" +blo "76000,27800" +tm "WireNameMgr" +) +) +on &20 +) +*68 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,36600,33500,38100" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*69 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &49 +end &34 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Arial,12,0" +) +xt "29000,40600,32500,42100" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &5 +) +*70 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &35 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,32600,47600,34100" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*71 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &31 +end &23 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,32600,34000,34100" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*74 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*76 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*77 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*79 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*80 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*81 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4438,-1432,122918,67764" +cachedDiagramExtent "-3000,0,96000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2453,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*83 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*84 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*86 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*87 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*90 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*92 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*93 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*95 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*96 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*98 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*102 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,6000,2400,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,7000,-300,8000" +st "Ports:" +blo "-3000,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,13000,800,14000" +st "Pre User:" +blo "-3000,13800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,14000,19800,18800" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 2; +constant stepY: positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,18000,4100,19000" +st "Diagram Signals:" +blo "-3000,18800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,6000,1700,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *103 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*104 (RefLabelRowHdr +) +*105 (TitleRowHdr +) +*106 (FilterRowHdr +) +*107 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*108 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*109 (GroupColHdr +tm "GroupColHdrMgr" +) +*110 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*111 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*112 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*113 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*114 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*115 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 1379,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*119 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*121 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 1395,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 1397,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*125 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *126 (MRCItem +litem &103 +pos 9 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*127 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 1422,0 +) +*128 (MRCItem +litem &105 +pos 1 +dimension 23 +uid 1423,0 +) +*129 (MRCItem +litem &106 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*130 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1378,0 +) +*131 (MRCItem +litem &117 +pos 5 +dimension 20 +uid 1380,0 +) +*132 (MRCItem +litem &118 +pos 1 +dimension 20 +uid 1382,0 +) +*133 (MRCItem +litem &119 +pos 2 +dimension 20 +uid 1384,0 +) +*134 (MRCItem +litem &120 +pos 3 +dimension 20 +uid 1388,0 +) +*135 (MRCItem +litem &121 +pos 4 +dimension 20 +uid 1390,0 +) +*136 (MRCItem +litem &122 +pos 6 +dimension 20 +uid 1396,0 +) +*137 (MRCItem +litem &123 +pos 7 +dimension 20 +uid 1398,0 +) +*138 (MRCItem +litem &124 +pos 8 +dimension 20 +uid 1400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*139 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 1426,0 +) +*140 (MRCItem +litem &109 +pos 1 +dimension 50 +uid 1427,0 +) +*141 (MRCItem +litem &110 +pos 2 +dimension 100 +uid 1428,0 +) +*142 (MRCItem +litem &111 +pos 3 +dimension 50 +uid 1429,0 +) +*143 (MRCItem +litem &112 +pos 4 +dimension 100 +uid 1430,0 +) +*144 (MRCItem +litem &113 +pos 5 +dimension 100 +uid 1431,0 +) +*145 (MRCItem +litem &114 +pos 6 +dimension 50 +uid 1432,0 +) +*146 (MRCItem +litem &115 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *147 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*148 (RefLabelRowHdr +) +*149 (TitleRowHdr +) +*150 (FilterRowHdr +) +*151 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*152 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*153 (GroupColHdr +tm "GroupColHdrMgr" +) +*154 (NameColHdr +tm "GenericNameColHdrMgr" +) +*155 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*156 (InitColHdr +tm "GenericValueColHdrMgr" +) +*157 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*158 (EolColHdr +tm "GenericEolColHdrMgr" +) +*159 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*160 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *161 (MRCItem +litem &147 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*162 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 1450,0 +) +*163 (MRCItem +litem &149 +pos 1 +dimension 23 +uid 1451,0 +) +*164 (MRCItem +litem &150 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*165 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*166 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 1454,0 +) +*167 (MRCItem +litem &153 +pos 1 +dimension 50 +uid 1455,0 +) +*168 (MRCItem +litem &154 +pos 2 +dimension 100 +uid 1456,0 +) +*169 (MRCItem +litem &155 +pos 3 +dimension 100 +uid 1457,0 +) +*170 (MRCItem +litem &156 +pos 4 +dimension 50 +uid 1458,0 +) +*171 (MRCItem +litem &157 +pos 5 +dimension 50 +uid 1459,0 +) +*172 (MRCItem +litem &158 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit/symbol.sb b/04-Lissajous/Board/hds/lissajous@generator_circuit/symbol.sb new file mode 100644 index 0000000..e1ad5db --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit/symbol.sb @@ -0,0 +1,1657 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 165,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 166,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 167,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 109,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 130,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 131,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 133,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 113,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 121,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 123,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 125,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 127,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 160,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 136,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 141,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 155,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 157,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:18" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,37700,20600" +st "reset_N" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "40400,17400,47000,18600" +st "triggerOut" +ju 2 +blo "47000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "43800,15400,47000,16600" +st "xOut" +ju 2 +blo "47000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,19000,14600" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "43800,13400,47000,14600" +st "yOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,35100,22700" +st "Board" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,46100,23600" +st "lissajousGenerator_circuit" +blo "32600,23400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,42500,28300" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-1040,75120,50530" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 379,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd new file mode 100644 index 0000000..7b47360 --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/student@version.bd @@ -0,0 +1,3978 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 2310,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:46:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "14:46:48" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "10500,29300,14000,30800" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8000,11800,9200" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "81500,25625,83000,26375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "81000,26000,81500,26000" +pts [ +"81000,26000" +"81500,26000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,25300,87100,26800" +st "yOut" +blo "84000,26500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "8900,41300,14000,42800" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,20000,16200,21200" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,9000,12500,10200" +st "reset_N : std_ulogic" +) +) +*18 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,29300,90800,30800" +st "triggerOut" +blo "84000,30500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +) +xt "-1000,10000,12800,11200" +st "triggerOut : std_ulogic" +) +) +*20 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +) +xt "-1000,11000,11900,12200" +st "xOut : std_ulogic" +) +) +*21 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "81500,27625,83000,28375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "81000,28000,81500,28000" +pts [ +"81000,28000" +"81500,28000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "84000,27300,87100,28800" +st "xOut" +blo "84000,28500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +) +xt "-1000,12000,11900,13200" +st "yOut : std_ulogic" +) +) +*23 (HdlText +uid 818,0 +optionalChildren [ +*24 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,23000,37000" +st "eb4" +blo "21400,36800" +tm "HdlTextNameMgr" +) +*26 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22200,38000" +st "4" +blo "21400,37800" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,21000,18300,22200" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*28 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,19000,16700,20200" +st "SIGNAL logic1 : std_uLogic" +) +) +*29 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,22000,17800,23200" +st "SIGNAL resetSynch : std_ulogic" +) +) +*30 (SaComponent +uid 1071,0 +optionalChildren [ +*31 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,33300,36600,34800" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*32 (CptPort +uid 1058,0 +optionalChildren [ +*33 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,37400,38100,38900" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*34 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,38600,39200,40100" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*35 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Arial,12,0" +) +xt "37400,33300,39000,34800" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,41000,40700" +st "Board" +blo "38600,40500" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,40600,41700" +st "DFF" +blo "38600,41500" +tm "CptNameMgr" +) +*38 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,40400,42700" +st "I_dff" +blo "38600,42500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 1806,0 +optionalChildren [ +*40 (CptPort +uid 1797,0 +optionalChildren [ +*41 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,33500,47400,35000" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "46650,33500,49750,35000" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,48860,37700" +st "Board" +blo "46460,37500" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,50360,38700" +st "inverterIn" +blo "46460,38500" +tm "CptNameMgr" +) +*45 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,48760,39700" +st "I_inv2" +blo "46460,39500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 1817,0 +optionalChildren [ +*47 (CptPort +uid 1826,0 +optionalChildren [ +*48 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,41500,25400,43000" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*49 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24650,41500,27750,43000" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,26860,45700" +st "Board" +blo "24460,45500" +tm "BdLibraryNameMgr" +) +*51 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,28360,46700" +st "inverterIn" +blo "24460,46500" +tm "CptNameMgr" +) +*52 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,26760,47700" +st "I_inv1" +blo "24460,47500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*53 (SaComponent +uid 2310,0 +optionalChildren [ +*54 (CptPort +uid 2290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2293,0 +va (VaSet +font "Arial,9,0" +) +xt "58000,29400,60700,30600" +st "clock" +blo "58000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*55 (CptPort +uid 2294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2297,0 +va (VaSet +font "Arial,9,0" +) +xt "66900,29400,72000,30600" +st "triggerOut" +ju 2 +blo "72000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 2298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,27625,73750,28375" +) +tg (CPTG +uid 2300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2301,0 +va (VaSet +font "Arial,9,0" +) +xt "69500,27400,72000,28600" +st "xOut" +ju 2 +blo "72000,28300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 2302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,25625,73750,26375" +) +tg (CPTG +uid 2304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2305,0 +va (VaSet +font "Arial,9,0" +) +xt "69400,25400,72000,26600" +st "yOut" +ju 2 +blo "72000,26300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 2306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2309,0 +va (VaSet +) +xt "58000,31500,60100,32500" +st "reset" +blo "58000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 2311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,22000,73000,34000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 2312,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 2313,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,33800,62500,34900" +st "Lissajous" +blo "57600,34700" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 2314,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,34700,67200,35800" +st "lissajousGenerator" +blo "57600,35600" +tm "CptNameMgr" +) +*61 (Text +uid 2315,0 +va (VaSet +font "Arial,9,1" +) +xt "57600,35600,61100,36700" +st "I_main" +blo "57600,36500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2316,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2317,0 +text (MLText +uid 2318,0 +va (VaSet +) +xt "57000,37600,80500,42400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "17000,28600,20500,30100" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*63 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "73750,26000,81000,26000" +pts [ +"81000,26000" +"73750,26000" +] +) +start &3 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,24600,79100,26100" +st "yOut" +blo "76000,25800" +tm "WireNameMgr" +) +) +on &22 +) +*64 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &4 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Arial,12,0" +) +xt "16000,40600,21100,42100" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &17 +) +*65 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &42 +end &58 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Arial,12,0" +) +xt "50000,30600,57500,32100" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &29 +) +*66 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "73750,30000,81000,30000" +pts [ +"81000,30000" +"73750,30000" +] +) +start &18 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,28600,82800,30100" +st "triggerOut" +blo "76000,29800" +tm "WireNameMgr" +) +) +on &19 +) +*67 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "73750,28000,81000,28000" +pts [ +"81000,28000" +"73750,28000" +] +) +start &21 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Arial,12,0" +) +xt "76000,26600,79100,28100" +st "xOut" +blo "76000,27800" +tm "WireNameMgr" +) +) +on &20 +) +*68 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,36600,33500,38100" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*69 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &49 +end &34 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Arial,12,0" +) +xt "29000,40600,32500,42100" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &5 +) +*70 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &35 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,32600,47600,34100" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*71 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &31 +end &23 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Arial,12,0" +) +xt "30000,32600,34000,34100" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &28 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*74 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*76 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*77 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*79 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*80 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*81 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4438,-1432,122918,67764" +cachedDiagramExtent "-3000,0,96000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2453,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*83 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*84 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*86 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*87 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*90 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*92 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*93 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*95 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*96 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*98 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*102 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,6000,2400,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,7000,-300,8000" +st "Ports:" +blo "-3000,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,13000,800,14000" +st "Pre User:" +blo "-3000,13800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,14000,19800,18800" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 2; +constant stepY: positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,18000,4100,19000" +st "Diagram Signals:" +blo "-3000,18800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,6000,1700,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *103 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*104 (RefLabelRowHdr +) +*105 (TitleRowHdr +) +*106 (FilterRowHdr +) +*107 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*108 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*109 (GroupColHdr +tm "GroupColHdrMgr" +) +*110 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*111 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*112 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*113 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*114 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*115 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 1379,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*119 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*121 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 1395,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 1397,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*125 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *126 (MRCItem +litem &103 +pos 9 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*127 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 1422,0 +) +*128 (MRCItem +litem &105 +pos 1 +dimension 23 +uid 1423,0 +) +*129 (MRCItem +litem &106 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*130 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1378,0 +) +*131 (MRCItem +litem &117 +pos 5 +dimension 20 +uid 1380,0 +) +*132 (MRCItem +litem &118 +pos 1 +dimension 20 +uid 1382,0 +) +*133 (MRCItem +litem &119 +pos 2 +dimension 20 +uid 1384,0 +) +*134 (MRCItem +litem &120 +pos 3 +dimension 20 +uid 1388,0 +) +*135 (MRCItem +litem &121 +pos 4 +dimension 20 +uid 1390,0 +) +*136 (MRCItem +litem &122 +pos 6 +dimension 20 +uid 1396,0 +) +*137 (MRCItem +litem &123 +pos 7 +dimension 20 +uid 1398,0 +) +*138 (MRCItem +litem &124 +pos 8 +dimension 20 +uid 1400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*139 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 1426,0 +) +*140 (MRCItem +litem &109 +pos 1 +dimension 50 +uid 1427,0 +) +*141 (MRCItem +litem &110 +pos 2 +dimension 100 +uid 1428,0 +) +*142 (MRCItem +litem &111 +pos 3 +dimension 50 +uid 1429,0 +) +*143 (MRCItem +litem &112 +pos 4 +dimension 100 +uid 1430,0 +) +*144 (MRCItem +litem &113 +pos 5 +dimension 100 +uid 1431,0 +) +*145 (MRCItem +litem &114 +pos 6 +dimension 50 +uid 1432,0 +) +*146 (MRCItem +litem &115 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *147 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*148 (RefLabelRowHdr +) +*149 (TitleRowHdr +) +*150 (FilterRowHdr +) +*151 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*152 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*153 (GroupColHdr +tm "GroupColHdrMgr" +) +*154 (NameColHdr +tm "GenericNameColHdrMgr" +) +*155 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*156 (InitColHdr +tm "GenericValueColHdrMgr" +) +*157 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*158 (EolColHdr +tm "GenericEolColHdrMgr" +) +*159 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*160 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *161 (MRCItem +litem &147 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*162 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 1450,0 +) +*163 (MRCItem +litem &149 +pos 1 +dimension 23 +uid 1451,0 +) +*164 (MRCItem +litem &150 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*165 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*166 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 1454,0 +) +*167 (MRCItem +litem &153 +pos 1 +dimension 50 +uid 1455,0 +) +*168 (MRCItem +litem &154 +pos 2 +dimension 100 +uid 1456,0 +) +*169 (MRCItem +litem &155 +pos 3 +dimension 100 +uid 1457,0 +) +*170 (MRCItem +litem &156 +pos 4 +dimension 50 +uid 1458,0 +) +*171 (MRCItem +litem &157 +pos 5 +dimension 50 +uid 1459,0 +) +*172 (MRCItem +litem &158 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb new file mode 100644 index 0000000..e1ad5db --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s2/symbol.sb @@ -0,0 +1,1657 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 165,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 166,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 167,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 109,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 130,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 131,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 133,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 113,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 121,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 123,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 125,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 127,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 160,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 136,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 141,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 155,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 157,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajous@generator_circuit/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/lissajousGenerator_circuit/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:18" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,37700,20600" +st "reset_N" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19000,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "40400,17400,47000,18600" +st "triggerOut" +ju 2 +blo "47000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19000,13700" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "43800,15400,47000,16600" +st "xOut" +ju 2 +blo "47000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,19000,14600" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "43800,13400,47000,14600" +st "yOut" +ju 2 +blo "47000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,35100,22700" +st "Board" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,46100,23600" +st "lissajousGenerator_circuit" +blo "32600,23400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,42500,28300" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-1040,75120,50530" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 379,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd new file mode 100644 index 0000000..1c21e81 --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/student@version.bd @@ -0,0 +1,4715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 519,0 +) +(Instance +name "U_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 539,0 +) +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 585,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 611,0 +) +(Instance +name "I_main" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 631,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "date" +value "01.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "01.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "17:45:56" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "17:45:56" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,4000,38000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,4000,32600,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,0,42000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,0,41200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,2000,38000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,2000,31200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,2000,21000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,2000,19300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,1000,58000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,1200,47600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,0,58000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "42200,0,43800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,0,38000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "22350,400,32650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,3000,21000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,3000,19300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,4000,21000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "17200,4000,19900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,3000,38000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "21200,3000,36000,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "17000,0,58000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 489,0 +shape (CompositeShape +uid 490,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 491,0 +sl 0 +ro 270 +xt "22000,10625,23500,11375" +) +(Line +uid 492,0 +sl 0 +ro 270 +xt "23500,11000,24000,11000" +pts [ +"23500,11000" +"24000,11000" +] +) +] +) +tg (WTG +uid 493,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 494,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17200,10300,21000,11700" +st "clock" +ju 2 +blo "21000,11500" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 495,0 +shape (CompositeShape +uid 496,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 497,0 +sl 0 +ro 270 +xt "23000,29625,24500,30375" +) +(Line +uid 498,0 +sl 0 +ro 270 +xt "24500,30000,25000,30000" +pts [ +"24500,30000" +"25000,30000" +] +) +] +) +tg (WTG +uid 499,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 500,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "16200,29300,22000,30700" +st "reset_N" +ju 2 +blo "22000,30500" +tm "WireNameMgr" +) +) +) +*14 (HdlText +uid 501,0 +optionalChildren [ +*15 (EmbeddedText +uid 506,0 +commentText (CommentText +uid 507,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 508,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "20000,13000,29000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 509,0 +va (VaSet +) +xt "20200,13200,28400,14400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 502,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "20000,12000,30000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 503,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*16 (Text +uid 504,0 +va (VaSet +) +xt "22400,16000,25000,17200" +st "eb7" +blo "22400,17000" +tm "HdlTextNameMgr" +) +*17 (Text +uid 505,0 +va (VaSet +) +xt "22400,17000,23800,18200" +st "7" +blo "22400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*18 (HdlText +uid 510,0 +optionalChildren [ +*19 (EmbeddedText +uid 515,0 +commentText (CommentText +uid 516,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 517,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "27000,21000,36000,23000" +) +oxt "0,0,18000,5000" +text (MLText +uid 518,0 +va (VaSet +) +xt "27200,21200,35400,22400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 9000 +) +) +) +] +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,20000,37000,24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 512,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 513,0 +va (VaSet +) +xt "29400,24000,32000,25200" +st "eb6" +blo "29400,25000" +tm "HdlTextNameMgr" +) +*21 (Text +uid 514,0 +va (VaSet +) +xt "29400,25000,30800,26200" +st "6" +blo "29400,26000" +tm "HdlTextNumberMgr" +) +] +) +) +*22 (SaComponent +uid 519,0 +optionalChildren [ +*23 (CptPort +uid 528,0 +optionalChildren [ +*24 (Circle +uid 533,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30092,29546,31000,30454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 529,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29342,29625,30092,30375" +) +tg (CPTG +uid 530,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 531,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "31000,29500,33700,30900" +st "in1" +blo "31000,30700" +) +s (Text +uid 532,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "31000,30900,31000,30900" +blo "31000,30900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*25 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36000,29625,36750,30375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32050,29500,35750,30900" +st "out1" +ju 2 +blo "35750,30700" +) +s (Text +uid 538,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35750,30900,35750,30900" +ju 2 +blo "35750,30900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,27000,36000,33000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 522,0 +va (VaSet +) +xt "32460,32700,36060,33900" +st "Board" +blo "32460,33700" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 523,0 +va (VaSet +) +xt "32460,33700,38860,34900" +st "inverterIn" +blo "32460,34700" +tm "CptNameMgr" +) +*28 (Text +uid 524,0 +va (VaSet +) +xt "32460,34700,36460,35900" +st "I_inv1" +blo "32460,35700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 526,0 +text (MLText +uid 527,0 +va (VaSet +) +xt "31000,33400,31000,33400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*29 (SaComponent +uid 539,0 +optionalChildren [ +*30 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,14625,49750,15375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,14500,48000,15500" +st "clk10MHz" +ju 2 +blo "48000,15300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +) +) +) +*31 (CptPort +uid 553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,13625,49750,14375" +) +tg (CPTG +uid 555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 556,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,13500,48000,14500" +st "clk50MHz" +ju 2 +blo "48000,14300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +) +) +) +*32 (CptPort +uid 557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,10625,49750,11375" +) +tg (CPTG +uid 559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 560,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,10500,48000,11500" +st "clk60MHz" +ju 2 +blo "48000,11300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +) +) +) +*33 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,12625,49750,13375" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +va (VaSet +font "Verdana,8,0" +) +xt "43700,12500,48000,13500" +st "clk75MHz" +ju 2 +blo "48000,13300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +) +) +) +*34 (CptPort +uid 565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 568,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,14500,41200,15500" +st "en10M" +blo "38000,15300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +) +) +) +*35 (CptPort +uid 569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 570,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,13625,37000,14375" +) +tg (CPTG +uid 571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 572,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,13500,41200,14500" +st "en50M" +blo "38000,14300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +) +) +) +*36 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 576,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,12500,41200,13500" +st "en75M" +blo "38000,13300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +) +) +) +*37 (CptPort +uid 577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 578,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,16625,49750,17375" +) +tg (CPTG +uid 579,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 580,0 +va (VaSet +font "Verdana,8,0" +) +xt "43800,16500,48000,17500" +st "pllLocked" +ju 2 +blo "48000,17300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +) +) +) +*38 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 584,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,10500,42600,11500" +st "clkIn100M" +blo "38000,11300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +) +) +) +] +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,10000,49000,18000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 541,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 542,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,16000,36100,17000" +st "Lattice" +blo "32400,16800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 543,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,17000,34200,18000" +st "pll" +blo "32400,17800" +tm "CptNameMgr" +) +*41 (Text +uid 544,0 +va (VaSet +font "Verdana,8,1" +) +xt "32400,18000,35500,19000" +st "U_pll" +blo "32400,18800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 545,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 546,0 +text (MLText +uid 547,0 +va (VaSet +font "Courier New,8,0" +) +xt "13000,-59200,13000,-59200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 548,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "37250,16250,38750,17750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 585,0 +optionalChildren [ +*43 (CptPort +uid 594,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 595,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41250,21625,42000,22375" +) +tg (CPTG +uid 596,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 597,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,21300,44700,22700" +st "D" +blo "43000,22500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*44 (CptPort +uid 598,0 +optionalChildren [ +*45 (FFT +pts [ +"42750,26000" +"42000,26375" +"42000,25625" +] +uid 602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,25625,42750,26375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 599,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41250,25625,42000,26375" +) +tg (CPTG +uid 600,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 601,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,25400,46200,26800" +st "CLK" +blo "43000,26600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 604,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44625,28000,45375,28750" +) +tg (CPTG +uid 605,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 606,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,26600,47200,28000" +st "CLR" +blo "44000,27800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*47 (CptPort +uid 607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 608,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "48000,21625,48750,22375" +) +tg (CPTG +uid 609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 610,0 +va (VaSet +font "Verdana,12,0" +) +xt "45200,21300,47000,22700" +st "Q" +ju 2 +blo "47000,22500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 586,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,20000,48000,28000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 587,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 588,0 +va (VaSet +) +xt "46600,27700,50200,28900" +st "Board" +blo "46600,28700" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 589,0 +va (VaSet +) +xt "46600,28700,49300,29900" +st "DFF" +blo "46600,29700" +tm "CptNameMgr" +) +*50 (Text +uid 590,0 +va (VaSet +) +xt "46600,29700,49600,30900" +st "I_dff" +blo "46600,30700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 591,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 592,0 +text (MLText +uid 593,0 +va (VaSet +) +xt "19000,17000,19000,17000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*51 (SaComponent +uid 611,0 +optionalChildren [ +*52 (CptPort +uid 620,0 +optionalChildren [ +*53 (Circle +uid 625,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "52092,21546,53000,22454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51342,21625,52092,22375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "53000,21500,55700,22900" +st "in1" +blo "53000,22700" +) +s (Text +uid 624,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "53000,22900,53000,22900" +blo "53000,22900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*54 (CptPort +uid 626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 627,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58000,21625,58750,22375" +) +tg (CPTG +uid 628,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 629,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "54050,21500,57750,22900" +st "out1" +ju 2 +blo "57750,22700" +) +s (Text +uid 630,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57750,22900,57750,22900" +ju 2 +blo "57750,22900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,19000,58000,25000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 613,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 614,0 +va (VaSet +) +xt "54460,24700,58060,25900" +st "Board" +blo "54460,25700" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 615,0 +va (VaSet +) +xt "54460,25700,60860,26900" +st "inverterIn" +blo "54460,26700" +tm "CptNameMgr" +) +*57 (Text +uid 616,0 +va (VaSet +) +xt "54460,26700,58460,27900" +st "I_inv2" +blo "54460,27700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 617,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 618,0 +text (MLText +uid 619,0 +va (VaSet +) +xt "53000,25400,53000,25400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (SaComponent +uid 631,0 +optionalChildren [ +*59 (CptPort +uid 640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,17625,65000,18375" +) +tg (CPTG +uid 642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 643,0 +va (VaSet +) +xt "66000,17400,69400,18600" +st "clock" +blo "66000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*60 (CptPort +uid 644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 645,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,17625,81750,18375" +) +tg (CPTG +uid 646,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 647,0 +va (VaSet +) +xt "73400,17400,80000,18600" +st "triggerOut" +ju 2 +blo "80000,18400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +) +) +) +*61 (CptPort +uid 648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,15625,81750,16375" +) +tg (CPTG +uid 650,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 651,0 +va (VaSet +) +xt "76800,15400,80000,16600" +st "xOut" +ju 2 +blo "80000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +) +) +) +*62 (CptPort +uid 652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 653,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81000,13625,81750,14375" +) +tg (CPTG +uid 654,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 655,0 +va (VaSet +) +xt "76800,13400,80000,14600" +st "yOut" +ju 2 +blo "80000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +) +) +) +*63 (CptPort +uid 656,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 657,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64250,19625,65000,20375" +) +tg (CPTG +uid 658,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 659,0 +va (VaSet +) +xt "66000,19500,69300,20700" +st "reset" +blo "66000,20500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +] +shape (Rectangle +uid 632,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "65000,10000,81000,22000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 633,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 634,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,21800,70800,23000" +st "Lissajous" +blo "65600,22800" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 635,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,22700,76100,23900" +st "lissajousGenerator" +blo "65600,23700" +tm "CptNameMgr" +) +*66 (Text +uid 636,0 +va (VaSet +font "Verdana,9,1" +) +xt "65600,23600,69700,24800" +st "I_main" +blo "65600,24600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 637,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 638,0 +text (MLText +uid 639,0 +va (VaSet +) +xt "65000,25600,88500,30400" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*67 (PortIoOut +uid 660,0 +shape (CompositeShape +uid 661,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 662,0 +sl 0 +ro 270 +xt "89500,13625,91000,14375" +) +(Line +uid 663,0 +sl 0 +ro 270 +xt "89000,14000,89500,14000" +pts [ +"89000,14000" +"89500,14000" +] +) +] +) +tg (WTG +uid 664,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 665,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,13300,95800,14700" +st "yOut" +blo "92000,14500" +tm "WireNameMgr" +) +) +) +*68 (PortIoOut +uid 666,0 +shape (CompositeShape +uid 667,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 668,0 +sl 0 +ro 270 +xt "89500,15625,91000,16375" +) +(Line +uid 669,0 +sl 0 +ro 270 +xt "89000,16000,89500,16000" +pts [ +"89000,16000" +"89500,16000" +] +) +] +) +tg (WTG +uid 670,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 671,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,15300,95800,16700" +st "xOut" +blo "92000,16500" +tm "WireNameMgr" +) +) +) +*69 (PortIoOut +uid 672,0 +shape (CompositeShape +uid 673,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 674,0 +sl 0 +ro 270 +xt "89500,17625,91000,18375" +) +(Line +uid 675,0 +sl 0 +ro 270 +xt "89000,18000,89500,18000" +pts [ +"89000,18000" +"89500,18000" +] +) +] +) +tg (WTG +uid 676,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 677,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "92000,17300,100100,18700" +st "triggerOut" +blo "92000,18500" +tm "WireNameMgr" +) +) +) +*70 (Net +uid 744,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 7 +suid 17,0 +) +declText (MLText +uid 745,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16400,19500,17200" +st "SIGNAL logic0 : std_ulogic +" +) +) +*71 (Net +uid 746,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 18,0 +) +declText (MLText +uid 747,0 +va (VaSet +) +xt "2000,12000,15200,13200" +st "xOut : std_ulogic +" +) +) +*72 (Net +uid 748,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 19,0 +) +declText (MLText +uid 749,0 +va (VaSet +) +xt "2000,9600,15800,10800" +st "reset_N : std_ulogic +" +) +) +*73 (Net +uid 750,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 20,0 +) +declText (MLText +uid 751,0 +va (VaSet +) +xt "2000,18400,19500,19600" +st "SIGNAL reset : std_ulogic +" +) +) +*74 (Net +uid 752,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 21,0 +) +declText (MLText +uid 753,0 +va (VaSet +) +xt "2000,10800,16100,12000" +st "triggerOut : std_ulogic +" +) +) +*75 (Net +uid 756,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 23,0 +) +declText (MLText +uid 757,0 +va (VaSet +) +xt "2000,13200,15200,14400" +st "yOut : std_ulogic +" +) +) +*76 (Net +uid 758,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 24,0 +) +declText (MLText +uid 759,0 +va (VaSet +) +xt "2000,17200,20000,18400" +st "SIGNAL logic1 : std_uLogic +" +) +) +*77 (Net +uid 760,0 +lang 11 +decl (Decl +n "clkSys" +t "std_ulogic" +o 6 +suid 25,0 +) +declText (MLText +uid 761,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15600,19500,16400" +st "SIGNAL clkSys : std_ulogic +" +) +) +*78 (Net +uid 762,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +declText (MLText +uid 763,0 +va (VaSet +) +xt "2000,8400,15100,9600" +st "clock : std_ulogic +" +) +) +*79 (Net +uid 764,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 11 +suid 27,0 +) +declText (MLText +uid 765,0 +va (VaSet +) +xt "2000,19600,21100,20800" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*80 (Net +uid 817,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 10 +suid 28,0 +) +declText (MLText +uid 818,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20800,19500,21600" +st "SIGNAL resetSynch_N : std_ulogic +" +) +) +*81 (Wire +uid 678,0 +optionalChildren [ +*82 (BdJunction +uid 684,0 +ps "OnConnectorStrategy" +shape (Circle +uid 685,0 +va (VaSet +vasetType 1 +) +xt "31600,12600,32400,13400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 679,0 +va (VaSet +vasetType 3 +) +xt "30000,13000,36250,13000" +pts [ +"30000,13000" +"36250,13000" +] +) +start &14 +end &36 +sat 2 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 682,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 683,0 +va (VaSet +) +xt "33000,11800,36800,13000" +st "logic0" +blo "33000,12800" +tm "WireNameMgr" +) +) +on &70 +) +*83 (Wire +uid 686,0 +optionalChildren [ +*84 (BdJunction +uid 690,0 +ps "OnConnectorStrategy" +shape (Circle +uid 691,0 +va (VaSet +vasetType 1 +) +xt "31600,13600,32400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 687,0 +va (VaSet +vasetType 3 +) +xt "32000,13000,36250,15000" +pts [ +"36250,15000" +"32000,15000" +"32000,13000" +] +) +start &34 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 688,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 689,0 +va (VaSet +isHidden 1 +) +xt "30250,13800,34050,15000" +st "logic0" +blo "30250,14800" +tm "WireNameMgr" +) +) +on &70 +) +*85 (Wire +uid 692,0 +shape (OrthoPolyLine +uid 693,0 +va (VaSet +vasetType 3 +) +xt "32000,14000,36250,14000" +pts [ +"36250,14000" +"32000,14000" +] +) +start &35 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 695,0 +va (VaSet +isHidden 1 +) +xt "30250,12800,34050,14000" +st "logic0" +blo "30250,13800" +tm "WireNameMgr" +) +) +on &70 +) +*86 (Wire +uid 696,0 +shape (OrthoPolyLine +uid 697,0 +va (VaSet +vasetType 3 +) +xt "37000,22000,42000,22000" +pts [ +"42000,22000" +"37000,22000" +] +) +start &43 +end &18 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 700,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 701,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,20600,42400,22000" +st "logic1" +blo "38000,21800" +tm "WireNameMgr" +) +) +on &76 +) +*87 (Wire +uid 702,0 +shape (OrthoPolyLine +uid 703,0 +va (VaSet +vasetType 3 +) +xt "48000,22000,52092,22000" +pts [ +"48000,22000" +"52092,22000" +] +) +start &47 +end &52 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 704,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 705,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,20600,57300,22000" +st "resetSynch_N" +blo "47000,21800" +tm "WireNameMgr" +) +) +on &80 +) +*88 (Wire +uid 706,0 +shape (OrthoPolyLine +uid 707,0 +va (VaSet +vasetType 3 +) +xt "81750,16000,89000,16000" +pts [ +"89000,16000" +"81750,16000" +] +) +start &68 +end &61 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 709,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,14600,87800,16000" +st "xOut" +blo "84000,15800" +tm "WireNameMgr" +) +) +on &71 +) +*89 (Wire +uid 710,0 +shape (OrthoPolyLine +uid 711,0 +va (VaSet +vasetType 3 +) +xt "36000,28000,45000,30000" +pts [ +"36000,30000" +"45000,30000" +"45000,28000" +] +) +start &25 +end &46 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 713,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,28600,41100,30000" +st "reset" +blo "37000,29800" +tm "WireNameMgr" +) +) +on &73 +) +*90 (Wire +uid 714,0 +shape (OrthoPolyLine +uid 715,0 +va (VaSet +vasetType 3 +) +xt "81750,18000,89000,18000" +pts [ +"89000,18000" +"81750,18000" +] +) +start &69 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 717,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,16600,92100,18000" +st "triggerOut" +blo "84000,17800" +tm "WireNameMgr" +) +) +on &74 +) +*91 (Wire +uid 718,0 +shape (OrthoPolyLine +uid 719,0 +va (VaSet +vasetType 3 +) +xt "25000,30000,30092,30000" +pts [ +"25000,30000" +"30092,30000" +] +) +start &13 +end &23 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 721,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,28600,29800,30000" +st "reset_N" +blo "24000,29800" +tm "WireNameMgr" +) +) +on &72 +) +*92 (Wire +uid 722,0 +shape (OrthoPolyLine +uid 723,0 +va (VaSet +vasetType 3 +) +xt "58000,20000,64250,22000" +pts [ +"58000,22000" +"61000,22000" +"61000,20000" +"64250,20000" +] +) +start &54 +end &63 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 725,0 +va (VaSet +font "Verdana,12,0" +) +xt "58000,18600,66600,20000" +st "resetSynch" +blo "58000,19800" +tm "WireNameMgr" +) +) +on &79 +) +*93 (Wire +uid 726,0 +shape (OrthoPolyLine +uid 727,0 +va (VaSet +vasetType 3 +) +xt "81750,14000,89000,14000" +pts [ +"89000,14000" +"81750,14000" +] +) +start &67 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 729,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,12600,87800,14000" +st "yOut" +blo "84000,13800" +tm "WireNameMgr" +) +) +on &75 +) +*94 (Wire +uid 730,0 +shape (OrthoPolyLine +uid 731,0 +va (VaSet +vasetType 3 +) +xt "24000,11000,36250,11000" +pts [ +"24000,11000" +"36250,11000" +] +) +start &12 +end &38 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 733,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,9600,27800,11000" +st "clock" +blo "24000,10800" +tm "WireNameMgr" +) +) +on &78 +) +*95 (Wire +uid 734,0 +shape (OrthoPolyLine +uid 735,0 +va (VaSet +vasetType 3 +) +xt "40000,26000,42000,26000" +pts [ +"40000,26000" +"42000,26000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 738,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 739,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,24600,41800,26000" +st "clock" +blo "38000,25800" +tm "WireNameMgr" +) +) +on &78 +) +*96 (Wire +uid 740,0 +shape (OrthoPolyLine +uid 741,0 +va (VaSet +vasetType 3 +) +xt "49750,11000,64250,18000" +pts [ +"49750,11000" +"61000,11000" +"61000,18000" +"64250,18000" +] +) +start &32 +end &59 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 743,0 +va (VaSet +) +xt "51750,9800,55850,11000" +st "clkSys" +blo "51750,10800" +tm "WireNameMgr" +) +) +on &77 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *97 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7600,1400" +st "Package List" +blo "0,1200" +) +*99 (MLText +uid 43,0 +va (VaSet +) +xt "0,1400,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*101 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*102 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*103 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*104 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*105 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*106 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-600,-9300,92515,41740" +cachedDiagramExtent "0,0,100100,35900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,0" +lastUid 820,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*117 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*120 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*125 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*126 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*127 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6000,7400,7200" +st "Declarations" +blo "0,7000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,7200,3700,8400" +st "Ports:" +blo "0,8200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6000,5200,7200" +st "Pre User:" +blo "0,7000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,14400,9500,15600" +st "Diagram Signals:" +blo "0,15400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6000,6400,7200" +st "Post User:" +blo "0,7000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *128 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*129 (RefLabelRowHdr +) +*130 (TitleRowHdr +) +*131 (FilterRowHdr +) +*132 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*133 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*134 (GroupColHdr +tm "GroupColHdrMgr" +) +*135 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*136 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*137 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*138 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*139 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*140 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*141 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 7 +suid 17,0 +) +) +uid 766,0 +) +*142 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 18,0 +) +) +uid 768,0 +) +*143 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 19,0 +) +) +uid 770,0 +) +*144 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 20,0 +) +) +uid 772,0 +) +*145 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 21,0 +) +) +uid 774,0 +) +*146 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 23,0 +) +) +uid 778,0 +) +*147 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 8 +suid 24,0 +) +) +uid 780,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clkSys" +t "std_ulogic" +o 6 +suid 25,0 +) +) +uid 782,0 +) +*149 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +) +uid 784,0 +) +*150 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 11 +suid 27,0 +) +) +uid 786,0 +) +*151 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 10 +suid 28,0 +) +) +uid 819,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*152 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *153 (MRCItem +litem &128 +pos 11 +dimension 20 +) +uid 69,0 +optionalChildren [ +*154 (MRCItem +litem &129 +pos 0 +dimension 20 +uid 70,0 +) +*155 (MRCItem +litem &130 +pos 1 +dimension 23 +uid 71,0 +) +*156 (MRCItem +litem &131 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*157 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 767,0 +) +*158 (MRCItem +litem &142 +pos 3 +dimension 20 +uid 769,0 +) +*159 (MRCItem +litem &143 +pos 2 +dimension 20 +uid 771,0 +) +*160 (MRCItem +litem &144 +pos 6 +dimension 20 +uid 773,0 +) +*161 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 775,0 +) +*162 (MRCItem +litem &146 +pos 4 +dimension 20 +uid 779,0 +) +*163 (MRCItem +litem &147 +pos 7 +dimension 20 +uid 781,0 +) +*164 (MRCItem +litem &148 +pos 8 +dimension 20 +uid 783,0 +) +*165 (MRCItem +litem &149 +pos 0 +dimension 20 +uid 785,0 +) +*166 (MRCItem +litem &150 +pos 9 +dimension 20 +uid 787,0 +) +*167 (MRCItem +litem &151 +pos 10 +dimension 20 +uid 820,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*168 (MRCItem +litem &132 +pos 0 +dimension 20 +uid 74,0 +) +*169 (MRCItem +litem &134 +pos 1 +dimension 50 +uid 75,0 +) +*170 (MRCItem +litem &135 +pos 2 +dimension 100 +uid 76,0 +) +*171 (MRCItem +litem &136 +pos 3 +dimension 50 +uid 77,0 +) +*172 (MRCItem +litem &137 +pos 4 +dimension 100 +uid 78,0 +) +*173 (MRCItem +litem &138 +pos 5 +dimension 100 +uid 79,0 +) +*174 (MRCItem +litem &139 +pos 6 +dimension 50 +uid 80,0 +) +*175 (MRCItem +litem &140 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *176 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*177 (RefLabelRowHdr +) +*178 (TitleRowHdr +) +*179 (FilterRowHdr +) +*180 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*181 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*182 (GroupColHdr +tm "GroupColHdrMgr" +) +*183 (NameColHdr +tm "GenericNameColHdrMgr" +) +*184 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*185 (InitColHdr +tm "GenericValueColHdrMgr" +) +*186 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*187 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*188 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *189 (MRCItem +litem &176 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*190 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 98,0 +) +*191 (MRCItem +litem &178 +pos 1 +dimension 23 +uid 99,0 +) +*192 (MRCItem +litem &179 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*193 (MRCItem +litem &180 +pos 0 +dimension 20 +uid 102,0 +) +*194 (MRCItem +litem &182 +pos 1 +dimension 50 +uid 103,0 +) +*195 (MRCItem +litem &183 +pos 2 +dimension 100 +uid 104,0 +) +*196 (MRCItem +litem &184 +pos 3 +dimension 100 +uid 105,0 +) +*197 (MRCItem +litem &185 +pos 4 +dimension 50 +uid 106,0 +) +*198 (MRCItem +litem &186 +pos 5 +dimension 50 +uid 107,0 +) +*199 (MRCItem +litem &187 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb new file mode 100644 index 0000000..7f1df32 --- /dev/null +++ b/04-Lissajous/Board/hds/lissajous@generator_circuit_@e@b@s3/symbol.sb @@ -0,0 +1,1569 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 117,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 101,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 102,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 103,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 104,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 105,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 106,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "date" +value "01.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "01.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "17:45:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajous@generator_circuit_@e@b@s3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Board\\hds\\lissajousGenerator_circuit_EBS3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:45:49" +) +(vvPair +variable "unit" +value "lissajousGenerator_circuit_EBS3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,19800,7700" +st "clock" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62000,3200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,6625,44750,7375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "Verdana,12,0" +) +xt "34900,6300,43000,7700" +st "triggerOut" +ju 2 +blo "43000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,62000,4800" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21800,8700" +st "reset_N" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62000,4000" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,7625,44750,8375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,7300,43000,8700" +st "xOut" +ju 2 +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62000,5600" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,8625,44750,9375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,8300,43000,9700" +st "yOut" +ju 2 +blo "43000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,30300,16000" +st "Board" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,44700,17200" +st "lissajousGenerator_circuit_EBS3" +blo "26800,17000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,51000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 211,0 +activeModelName "Symbol:CDM" +) diff --git a/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit b/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit new file mode 100755 index 0000000..a7ea091 Binary files /dev/null and b/04-Lissajous/Board/ise/lissajous-XC3S1200E.bit differ diff --git a/04-Lissajous/Board/ise/lissajous-XC3S1200E.mcs b/04-Lissajous/Board/ise/lissajous-XC3S1200E.mcs new file mode 100755 index 0000000..aeaf4c2 --- /dev/null +++ b/04-Lissajous/Board/ise/lissajous-XC3S1200E.mcs @@ -0,0 +1,30019 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C8006800000003E0C80048000008CA74D +:100020000C800380804307C90C000380000000009F +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A802BC21E +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000002000000000008A5 +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000400000000B8 +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000A00A000000000000000000001E +:1008300000000000000000000000000000000000B8 +:1008400000002000081802310051000000000000E4 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B00000002000081802000000000000000000F6 +:1008C00000000000280000022000000000000000DE +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A30000000000000002000000000000000000096 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000002000000000000000000026 +:100AB0000000000000000000000100000200000033 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C00000000540118000000000000000000000077 +:100C100000000000000000000000000000000000D4 +:100C200000000000000010010000000000000000B3 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000001001000000000000000043 +:100CA0000000000000001001000000000000000033 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000100000000D1 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000010000000061 +:100E90000000000000000000000000010000000051 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000040000000000000000000000FB +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000004000000000000000B9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000100000006 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000100000000000093 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB000000000000000000000000000A002000081 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E2000000000000000000000000000C0000000F2 +:101E3000000000000000000000000000A000000002 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200008000000000000000000000000000000A8 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000001001EA +:1025E00000000000000000020000002000000000C9 +:1025F00001028000000000008000010000000000D7 +:102600000001000000010402000001008100000040 +:1026100080000000040000000148010204040101E0 +:102620000000010080010000000000200100000007 +:1026300000000102010100000000000000008040D5 +:102640000000000000000102000000000000002067 +:102650000000000000000002000000000000004038 +:102660000000000000000002000000000000000068 +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A00000000000000000000000000000008000AA +:1026B0000000000000000100000000000008000011 +:1026C0000100000000020000000200000000000005 +:1026D0000000000000000000800000010000000079 +:1026E00001000010000000000000000000000000D9 +:1026F0008001000000000010010100000000100037 +:102700000000801100000000000001010001000035 +:10271000100900000002000000000000000000009E +:1027200000010000000000000001000000000000A7 +:102730000000000002100001000101010000000182 +:102740000002000100000000800000020000000004 +:102750000100000000000000000000000000000078 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000003000000000000000000000000000C9 +:1027E00000000000B0000000000000000000000039 +:1027F0000000000000000000000000000000B00029 +:1028000000300000000050000000B0000008000090 +:102810000000000000000000000000200000000098 +:102820000030000000000000000000000010000068 +:102830000050000000000000000000000000000048 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000B000000000000000B8 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000008000000000000000000000000000010 +:1028C0000000000000000000000000000000000008 +:1028D0000000000A000000300000000000000000BE +:1028E00000000000000000000010003000000000A8 +:1028F0000000300000001030000000001010100038 +:1029000000000000300800009000000000300000CF +:102910000000800000002000000000000000000017 +:10292000000000000000B0000E30000000001000A9 +:1029300000000050000000500000003000001000B7 +:102940000000005000000000100000000000000027 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000600000000000000000000000041 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B00000000000000000000000000000000E0009 +:1029C0000040000000000058000000000000000C63 +:1029D0005000001000000000080810000000000077 +:1029E000300058000000007000080E00000C0C0CB5 +:1029F00000500C003C800E00100050000800000049 +:102A000008100808080C0C0C00005C00300C00805A +:102A10000A005010088000000000080C0C5C000048 +:102A200000800000000030100000000000060808D0 +:102A30000000000000000030000000000000000C5A +:102A40000000000000000010000000000000000C6A +:102A5000000000000E000000000000000000000068 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A900000000000000030000000000000000850AE +:102AA00000000000005000000C000000000C0000BE +:102AB00000080000005000000000000000000000BE +:102AC0001000000C000000000800000000000A00D8 +:102AD000000000000000020010080A0000800E0044 +:102AE00008080000000000000E0090080000000030 +:102AF0008A80880000580000060000000E8C000646 +:102B00000080000280060000000880000A0000002B +:102B10000008000000000A00000000000C00000097 +:102B20000050800C00000A00000C0E5800000080CD +:102B30003000800C0000000008000000800E000043 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000100000000000000000000000000F3 +:102CE00000000000000000000000000000000000E4 +:102CF0000000000000000000800010000000000044 +:102D00000000008000000000000000000000000043 +:102D10000000000000000000002000000000000093 +:102D20000080000000000080100010000000000083 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000030000000000000C3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000010000000D2 +:102EF00000000000000000000000000000000000D2 +:102F00000000000000000000000000000040000081 +:102F100000000000002000000000002040004000F1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC00000000000000000000000000000200000E1 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:1030300080410000000000000000000000000000CF +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000001000000000000000000000000000D0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000FFFFFFFF00000000000083 +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000FFFF0F3300000000FFFF11 +:103180003F30FFFF5F50DD117722FFFF77442DF0C6 +:10319000939C939C939CC399C399A599C399939C21 +:1031A000959A939C959A939C959A959A939CC3997A +:1031B000A599C399A599C399959AA599939CC399E3 +:1031C000A599C399A599A599A599A59993C695A6D9 +:1031D00063C993C695A663C963C993C695A693C6EA +:1031E00077887788778877887788878787877788C9 +:1031F00087877788778887877788FF8033B3FF80D2 +:1032000055D533B333B3FF80FF80FFFF5533FF80C5 +:10321000FF80FF800F8F0F8FFF80FF8055D5FFFF4E +:10322000330FFF800F8FFFFF3535FFFF3535FFFF71 +:10323000BB1100000000FFFF272700000000000076 +:1032400000000000000000000000FFFFFEFF000083 +:103250000000FFFFCF0300000000FFFF772233B321 +:1032600055D533B355D55F503F303F303F3055D5FE +:1032700027270F8F0F8F2DF039CC39CC39CC39CC93 +:1032800059AA59AA39CC59AA39CC59AA59AA59AA22 +:1032900039CC59AA59AAA9A5C9C3A9A5A9A5C9C321 +:1032A000A9A565A965A963C963C965A995A695A6D8 +:1032B00065A97788878787877788878787878787BC +:1032C00087878787778887877788778877887788D9 +:1032D00077888787778877887788878787878787BA +:1032E0008787FFFFF505FFFFBB11FFFF6666666678 +:1032F000AA5566663C3C3C3C6666CC335A5ACC3395 +:10330000CC3366666666CC336666666666660000C3 +:103310000000000000000000180000000000000095 +:10332000000000000000000000000000000000009D +:103330000000000000000000000080040C000000FD +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:103360000000000000000000000000000C00000051 +:10337000000000000C0000000C0000000C00000029 +:103380000C00D8A45EA8DCA45EB8DCA45EB8DDA402 +:103390005EB8DCA45EB9DCA45EB9DCA45EB9DDA4D1 +:1033A0005EB8DCA45EB9DCA45EB9DCA45EB9DDA4C1 +:1033B0005EB8DCA45EB9DCA45EB9DDA45EB9DDA4B0 +:1033C0005EB8DDA45EB8DCA45EB9DCA45EB8DCA4A3 +:1033D0005EB9DCA43EB8DAA43EB8DAA43EB8DAA4FA +:1033E0003EB8DAA43EB8DAA43EB8DAA43EB09024DF +:1033F0001E0090241E0090241E0090241E00000039 +:103400000C0090241E0090241E0090241E00902486 +:103410001E0000000C0090241E0000000C000000A4 +:103420000C0000000C000000000000000C00000078 +:10343000000000000000000000000000000000008C +:103440000C000000000000000C0000000000000064 +:103450000C0090241E0090241E0000000C000000B0 +:103460000C0090241E0090241E00D8A45EA8DCA4AA +:103470005EB8DCA45EB9DDA45EB8DDA45EB8DDA4F0 +:103480005EB9DDA45EB8DDA45EB9DDA45EB8DDA4DE +:103490005EB9DCA45EB9DDA45EB9DCA45EB8DDA4CF +:1034A0005EB9DDA45EB9DAA43EB8DAA43EB8DAA407 +:1034B0003EB8DAA43EB8DAA43EB8DAA43EB8DAA43C +:1034C0003EB8DAA43EB8DAA43EB8DAA43EB8DAA42C +:1034D0003EB8DAA43EB000000C0000000C000080F2 +:1034E0000C284C804CB94C804CB84C804CB84C806B +:1034F0004CB94C804CB84D804CB94C804CB94D8087 +:103500004CB1000000000000000000C018000000E6 +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:103570000000000000009024120000000000000085 +:1035800000009024120000000000000000009024C1 +:103590001200488040A84D8040B84D8040B94D8011 +:1035A00040B84D8040B94C8040B94D8040B94C8006 +:1035B00040B84D8040B94D8040B94D8040B94C80F5 +:1035C00040B94C8040B94C8040B94C8040B84D80E7 +:1035D00040B84D8040B94C8040B94C8040B84C80D8 +:1035E00040B84C8040B94C8040B84D8040B84D80C8 +:1035F00040B84C8040B94C8040B94C8040B19024D8 +:103600001200902412000000000090241200902468 +:103610001200000000000000000000000000000098 +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:103640000000902412009024120090241200902474 +:1036500012000000000090241200488040A84D8015 +:1036600040B84D8040B94D8040B84D8040B94C8045 +:1036700040B94C8040B94C8040B94D8040B94D8034 +:1036800040B84C8040B84D8040B94C8040B84D8027 +:1036900040B84C8040B94D8040B94C8040B94D8015 +:1036A00040B94D8040B84C8040B94D8040B84D8005 +:1036B00040B94C8040B84D8040B94C8040B84D80F6 +:1036C00040B94C8040B1488040A94D8040B94D8000 +:1036D00040B84C8040B94D8040B84D8040B84C80D7 +:1036E00040B84D8040B94D8040B94D8040B0000099 +:1036F000000000000000000000000000000000C00A +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000047477744FFFF22 +:10376000CF03FFFF550FFFFF553333B32727FFFF6D +:10377000F3030000000033B30F8FFFFFF303FFFFDD +:103780005353FF80FF809999C3C355AA999999997A +:1037900055AA55AA999955AA999933CC55AA55AACB +:1037A0009999C3C333CC999955AA55AAA5A5A5A59E +:1037B00055AA33CC55AAC3C355AA33CCA5A533CC3F +:1037C000C3C3999933CC9999A5A533CC55AA33CCC9 +:1037D000999933CCC3C333CC55AAC3C3C3C39999F6 +:1037E00033CCA5A5C3C333CCA5A533CC55AA33CCC4 +:1037F00055AAFF80FF8033B333B3FFFF5F5055D529 +:1038000055D555D50F8FFFFFFEFF000000000000CB +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:10383000000000000000FF80FF80FF800F8F0F8FCF +:10384000FF8033B333B3DD11CF0333B30F8FC3C363 +:1038500033CC999933CC999955AA55AA999955AAD7 +:1038600099999999999933CC9999999999999999FB +:1038700055AA9999999933CCC3C39999999933CCFD +:10388000C3C355AAC3C333CC99999999A5A533CC81 +:10389000A5A555AA999955AA999933CC999955AA4C +:1038A000999955AA999933CCC3C355AA999933CC00 +:1038B000999955AA999933CCA5A55A5A5A5A666628 +:1038C000AA555A5A666666666666AA5566665A5A62 +:1038D0003C3CCC333C3C6666AA556666AA555A5AAF +:1038E000CC33FFFF5533FFFF0F55000000000000F1 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000402000800000C7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000402C020048A00000001C02000200000E2 +:103970000000C020001000000402C02000820000EF +:103980000000C02000CE00000000C02000D60000D3 +:103990000000C02000E600000000C020004C000035 +:1039A0000000C020005400000000C020006400009F +:1039B0000000C02000A200000000C0200092000013 +:1039C0000000C020008A00000000C020002000008D +:1039D0000000C02000100000000040200000000097 +:1039E0000000C020004400000403C020ACD7000049 +:1039F0000001800010260000040200000800000002 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000100000000000095 +:103A30000403C02020100000040200000800000061 +:103A40000402C0200C8200000000C0200000000022 +:103A50000000C020004400000000C020004C000016 +:103A60000000C020005400000000C02000640000DE +:103A70000000C02000A200000000C0200092000052 +:103A80000000C020008A00000000C02000200000CC +:103A90000000C020001000000000C0200044000012 +:103AA0000000C020008200000000C02000D60000FE +:103AB0000000402000C000000000000000000000E6 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B00000000000000000000000000200000000095 +:103B10000040000000000000000000000000000065 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B500000008120000000018008C02080000001DA +:103B600008108020000000010004812000000001F6 +:103B7000806080200000000100088020000000011B +:103B800000048020000000010010802000000001DF +:103B900000088020000000010004802000000001D7 +:103BA00000108020000000010010802000000001B3 +:103BB00000048020000000010008802000000001B7 +:103BC0000010802000000001000400200000000020 +:103BD00000088020000000010060C120800000017A +:103BE0004004C0008000000100000100000000004F +:103BF00010000000000000000000000000000000B5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000400080000000E4 +:103C20000800C120800000014004010000000000E5 +:103C30001000812000000001806080200000000151 +:103C40000060802000000001006080200000000172 +:103C50000008802000000001000480200000000116 +:103C600000108020000000010010802000000001F2 +:103C700000048020000000010008802000000001F6 +:103C800000108020000000010004802000000001DE +:103C90000060802000000001006080200000000122 +:103CA00000040020000000000010000000000000E0 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000007030000000000000000000000A9 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000200300000060 +:103D400000000000000000C0E3224423000300C381 +:103D5000E040C013000100C3E3004422000000C79C +:103D6000C0204600C30300E0C2C34400000000D0EE +:103D7000C300C022040000C302E04700000000208E +:103D800002C0C0220300004000E20300C300004361 +:103D900010C70000E200000044000000E320000023 +:103DA0000307C2004400000000220000E30000C03E +:103DB00000030200070000E2E0000400030000220C +:103DC000E005C00000200022C0000300C000030383 +:103DD0008200130300230001C80300000000000359 +:103DE00004C000000000000000000000000000000F +:103DF00000000000000000000000000000000000C3 +:103E000000000100000000000000000000000000B1 +:103E100000000001001300001303470783000000A7 +:103E200000000003030000000003E023C0220200A2 +:103E300003C04400000002C003004400000003501F +:103E40000203E000C00003400200E000C3000240A3 +:103E500003C0E003000003E200C04400000003E2EE +:103E6000004000000007034302C00000E00003E23E +:103E700002000300000003C002000300E20003C0D0 +:103E800044000200070303E202200300E00003C035 +:103E90004400032207000322002803000200000060 +:103EA00000200000000000000020000000000000D2 +:103EB00000200000000000000010000000000010C2 +:103EC00000200000000000000000000000000000D2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000002000000000000000A2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F3000020000000000000800000004084000002B +:103F40000201000C02A010000001000C084000005B +:103F500005000004104004001401001014840080C7 +:103F6000010000043040100000000090040408002C +:103F700001000000040410000900002000042100DA +:103F800018000028001001800400008000000200DA +:103F900008000080080014800400000000000200F7 +:103FA0000800008400080400200000100000000049 +:103FB000030000000000010040000000120020008B +:103FC00001012008844000010000000102080000F7 +:103FD00000000001002000000400000000000000BC +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000020000000000000000000A1 +:104000000000000000000001000000000008400067 +:10401000848000000400000104000000000800008B +:10402000820004402010000004000410200004005E +:104030000100202004C00000100020200440008067 +:1040400012000420201000080100200400100000CD +:1040500001002010002001000100202805100100AF +:1040600000002010044008000200201004400880D6 +:1040700004002010004004802008201004400800A4 +:1040800002002090004020000800200020002000B6 +:10409000040000000000000000000000000000001C +:1040A00000000000000020000000000000000400EC +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000010001009D +:10412000000000205100A022003A00C1011042000E +:10413000A4005CC8C5B84010260000002110010092 +:10414000A0780096C3384D00843800883380422F11 +:10415000040800800338428064701C400138020F5C +:10416000043001800338002280B9564001388C2F7A +:1041700028020080019282222800000001388C076A +:10418000F4100C803260000484F0548032384C0FFC +:10419000800D100002408CE823290E80016000236E +:1041A00060000016097800C207783006300822E364 +:1041B00063280000000052DFF714018AA26000802B +:1041C0006100400731CA42000400140701300000BA +:1041D000000000C001004000000800400000000096 +:1041E00000000000000000000001000000100000BE +:1041F00000000000001000000201300040601F08B5 +:1042000082000C88C9301F18020028691198910794 +:1042100084B020080900400AF0101C800238004ACF +:104220002D00008007380047299000800190000F82 +:1042300080080E4000980047F530144042B8804096 +:10424000815110804310404F1900008E01B8804406 +:10425000F50200CE4910404FF18900C00114004220 +:10426000213140C00110404FF57A00C04160004448 +:10427000F10560800BC84048813D00804300402824 +:1042800000B0308A03008000007100000108480778 +:1042900060500000A0B00C0004B0000A05800020AF +:1042A0008000008001C04000F0010E800900000085 +:1042B00000000000000000000400000000000000FA +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000080000A5 +:1043100002000E008200001600000E8763390020A4 +:10432000018000A0E0000C80013000072010012077 +:1043300003F00E036030002001140900E0000101C9 +:104340000430008000002C0000000000E0002DC0C0 +:1043500005702C0F009011C004142C12E0B8002638 +:10436000017001028011003821B02C0260101CC0C5 +:10437000C6420103000C123801606C0400000C20DE +:10438000013003006000005000680103E08C0C283D +:1043900001080004603080800370000200008C1867 +:1043A00004000C0360A828000008028703004AD319 +:1043B000AC622EA06936000022400280E010A1000D +:1043C00002004002000000000000000700000000A2 +:1043D00000000000000000000000000000080000D5 +:1043E0000080000000100000008000202208000073 +:1043F000500140842100964602810E000000140105 +:10440000001000040338A000A2C20000033028C03E +:1044100004045004E0001C800114000080B82CC08B +:1044200001B00000238010C001300000004829C006 +:104430000110000780081C000094100720080030BD +:1044400001300002E0380C8001E01007E03814C0B1 +:1044500005C05012201210C005801007E010144053 +:1044600000141004E000109001401000E000025021 +:1044700000C0008080784033010000028008000303 +:104480000200001700020C8029B240A700B841408A +:1044900002300C8060392AC0204002206234008043 +:1044A00001140000000000000000000000000000F7 +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:104500000008200000000000140004440000000423 +:10451000001010400000240C08042C0A8210000433 +:104520004A2C100028080014200901808000200473 +:104530002034908200024040601408A4100841AC6E +:10454000C02600000409C080600C008000008010BC +:104550000026800040080000003204000000040132 +:1045600092381000400800810014300000520044CE +:10457000A000088042400280080418214041000049 +:10458000C222100011080054401900000008201435 +:104590000000500000104014400901A100090A0069 +:1045A0000000000802C200009A0000000000420063 +:1045B00004C00000302000000000000000000000E7 +:1045C00000100000000000000000000000000000DB +:1045D0000060000000000000000A00000001004030 +:1045E00000080000000220288E0702020840204236 +:1045F00000A0020000040001043400020040110881 +:10460000241D080001000000210802800400201180 +:10461000310400A002102010340402200081011196 +:10462000010820208000000481400AF4800000601E +:1046300011D000060200000103161407828820082A +:10464000211050000000A02001500000000920406F +:10465000010010208002201401040100000120004C +:1046600001020020804000001220400914000100D7 +:1046700000400009000041000802022000000D0077 +:1046800000000500100410000008002009200300AD +:104690000024008020200000000000000000000036 +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F0000000000C00000C100000270DC73940031B +:1047000000000000028000C80080098C910100CFE9 +:104710000010808C910110E0E2E54810062E00C0E8 +:1047200009705C300A0280C851706615C00200C36F +:1047300011700087E00002DB21714095C00200C8C3 +:10474000C170880D300001C011704000F028C0D643 +:10475000C132C100C0010EC004F001200808109849 +:104760000100270000000220A0021082090800209A +:1047700000403E10800801000278982DD001008092 +:10478000C5404E10000800810701900C10480080C1 +:104790000571CEC0E5BA20C7E130008D830121103C +:1047A000C0F30E02206800080000000000000010A6 +:1047B00000004000001000000000000000000000A9 +:1047C0000000000080000000000000002000000049 +:1047D0000000008000000000E08012048238802881 +:1047E00012851C8220280007D600B220303020C756 +:1047F0000104500480028124EA844613620E8C2353 +:10480000228541100202204E00404110000A34DE91 +:10481000C463908FF00D00200284725087810CC613 +:104820000090AC00E2AB00C30810D00060381486E2 +:104830000161000007100018B000001306422000BC +:1048400000010090072C00040238000016B09424E8 +:1048500000010040E00A008003014010C00D080183 +:10486000200000C000001406BA00008063A8C00049 +:1048700020020050600C000020321000000000CF29 +:10488000A0020200003800800500000000000000C7 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E0000000000000000000000000800200060040 +:1048F0000002008A0248002000001606F4000C079F +:1049000010041420051400C411304080026201001C +:1049100000482CC0ABF80C8001082CC00BF80085B7 +:1049200000782CDACBF80022203894860AE00025A3 +:1049300050782CC01BF9204553380CC00BB8000B25 +:10494000508014883A980EC411001550A180024A74 +:10495000000000800AB00E80100008A0A1008E00A8 +:1049600000000000A0E00CC01112140000C02E4591 +:104970005000304002CA004002020000E0100E4425 +:10498000123B00C003042E47000300AFFB7A00C0B7 +:104990007114149AC2F09F100031BC000000000096 +:1049A000000016800200000000020000000000006D +:1049B0000000000000000000000900000078000076 +:1049C00000000000006000A000140000F03202446B +:1049D0001000000000008EA22811002F00084E4495 +:1049E0006610002F08780044122C140020020113D6 +:1049F000600021074000025003782C24F644024056 +:104A000002002CA0C26000A772002C27000000C08A +:104A10000128018F0204009400287C00C63C004459 +:104A2000000054000A000003780E0A80F2000E0411 +:104A300000300A0FE062008000000A21003400808C +:104A400072000A4FE10000806180809AA500008317 +:104A500001087008207800600000C00021000000FC +:104A600000B03C4A000008C301010000A000021091 +:104A7000005000600400000000083C1A50000000D4 +:104A80000000000000000000020000000000000024 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000040000000000000000000000000000F2 +:104AC00000000000000000000000002000010000C5 +:104AD0000000002000200000000100210000000074 +:104AE00000002000800000200000040002000010F0 +:104AF00010000000100010021001021090110001BF +:104B0000140002300030302010303030300200000D +:104B10008000102020309021102030302100904063 +:104B20008000210030003020302020000808228042 +:104B3000088020003008220818822001400122024B +:104B4000048220209049200012012020200122040C +:104B500022202050104120200042302812003042F4 +:104B600030202130101030801051303230202000A1 +:104B700020403081502001113050312011408002FE +:104B800010002041010002821010002101000000ED +:104B900001800000200010801000001000100000B4 +:104BA0000000002020201000000010400000000045 +:104BB0000000000001000102000000008021000050 +:104BC00000000000800080000000004040404120C4 +:104BD000400000001031200010440020322001303D +:104BE0003012105000501000302880502022004019 +:104BF00000008012884180808088202420300000BE +:104C000000400002800280820020000109400090E4 +:104C1000004090800000200000100040800008004C +:104C200080001041012000120150102010043001BA +:104C30000A410000000A001000028020013020011B +:104C40000108102482000050000210000100000240 +:104C50000008000080002002004020000000400A00 +:104C60002000804000200000000000020000000042 +:104C70000000000000000002000000000000000032 +:104C80000000000000000000000000000000000024 +:104C9000000000000000000000000800000000000C +:104CA0000000000000000000000000700000000094 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE0000000003000000006205030000000900658 +:104CF0001030000030190006001010003030001095 +:104D0000300095000000300000201050000000200E +:104D100000002002050900101000300010300000D3 +:104D20000400000204001030300410001400905001 +:104D3000900000000009001010A8901030301020E2 +:104D400000A0000000A09010200000000000100053 +:104D50002050205000000020203030000030101083 +:104D6000200000A0000020002000003010203000B3 +:104D7000200090A5000000000005000000200000B9 +:104D80004000000000000000A000100030000020E3 +:104D900000200000000000000000200000002000B3 +:104DA0000000000000000000000000000000000003 +:104DB000000500000000005000000000000000009E +:104DC0000000000800000000103000001000003655 +:104DD0001050001020303026001030002008001045 +:104DE0000000000000100020444000020008A03035 +:104DF000B02500020000000000000000000090004C +:104E000004009010000030000000000000100000BE +:104E100090A00450A00A2000B0500020A010203024 +:104E2000101020A00400003010080020005000A046 +:104E3000A020003010082040B0400020100020606A +:104E40000010006040B8006000000060B000006E1C +:104E5000B03000680000006000000060B000205426 +:104E600000009010101000001050A0100000000072 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000010021 +:104E9000000000000000000000040000000000000E +:104EA0000000000000000000000000000000000002 +:104EB00000000000000802000000000000000000E8 +:104EC000024C000C00008A00408000803A00001173 +:104ED000000200000800004A00028A000200000CE4 +:104EE00000880800100C008800804C02800100A09F +:104EF0000002020130000E8E905252000010303439 +:104F000000300000140030103E50048030000030AB +:104F100010B0007E000E0820002080D03A508C0097 +:104F20000028007C005C0C00502C0EBA101C00C045 +:104F30004884808030540CF4080202204014002081 +:104F4000020930300C00002C10203C100000002022 +:104F50000E083E0C00102A00BE00302C0000040891 +:104F6000000034B10410100800001038040E0C28A2 +:104F700001000234040000000C20000A1000002090 +:104F800000000000000000000000000030000000F1 +:104F90000000000040004040400000400800480C75 +:104FA00000000E4020144002400A800030001240F1 +:104FB00040000080A0008C9B0A0A00800209A0002B +:104FC0000028008A08100C10300802108030008081 +:104FD00010302010B03C00900080200C101C1020DD +:104FE000A02030B8301000500010004C100C102CD5 +:104FF000801000480C100020002020200E003000FF +:105000000A0000101000007030000A185C10000C3C +:105010005C300AB040881078481C0A808A0800007A +:10502000000C9A0000103A888C700A0014000A10D4 +:1050300080040A0008805A0450000A7010000A0414 +:105040000010007000D030741050301000000000CC +:105050000000800D000000E08080000080000088DB +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000001000000000008000017 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000020000000300000A0 +:1050C00000000000000000000000002000000000C0 +:1050D0000000002000000000000010200000000080 +:1050E0002000000000400000000010000060007080 +:1050F00000200004404000008020400000700000BC +:10510000A00040000040000000000004000000007B +:1051100080604020000020008000006000100060DF +:1051200080001000000000200000002000000000AF +:10513000000000200000406000000000100000009F +:1051400040000010100000000000400000000000BF +:10515000004000400000000000000000004020006F +:10516000200000000000000000000000000000001F +:1051700000000000000000000000000000300000FF +:10518000000000000000000000000000000000001F +:10519000000000002000600000000000000000008F +:1051A00000004020402000004000002000000000DF +:1051B000000000200040000010001064100040407B +:1051C000000010440080004000101040A00030405B +:1051D000300010406000000000002030001000008F +:1051E000000020000000000000002000000000007F +:1051F00000002000004000000000200000400000EF +:105200003000200010000000000000000200000438 +:105210000000000412000200000000040000000072 +:10522000000040002200000000000000000000001C +:105230000004000000000000100400001000000046 +:105240000000000000041000000000000004000046 +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:105270000000000000000000000000080000000026 +:10528000000200000000000000000000000000001C +:10529000000000000000000000000000000000000E +:1052A000000000000000000000000000008000007E +:1052B000008000800000200000000080000000004E +:1052C000008000000000000000000000000040001E +:1052D000000000000000000000A0000000004000EE +:1052E00000B0000000410001302000001441100017 +:1052F00000A00000100010000421000004000021A4 +:10530000000000209060206000004020100000A0FD +:10531000009000B0108040000080008000002080DD +:10532000000000000000008000000090000000006D +:1053300000800000300000000000000000001000AD +:10534000000000000020002000000000000000001D +:10535000003080000000000080000000000000001D +:10536000800000000000000080000000000000003D +:1053700080B00000000000000000000100000000FC +:10538000000000000000000000004080000000005D +:10539000000020000000200000802000000000002D +:1053A00000000000000100000030000004010011B6 +:1053B0000000001004010031002000100000003047 +:1053C00024000010000040201001002080008020F8 +:1053D000009000208000800000000020B0008020AD +:1053E000000000208000802000300020800080200D +:1053F00000300020000180000000000004010000D7 +:10540000040104310401000184000430040000019F +:105410000400000000000000840000000000000004 +:105420000000000000018000800000014001040035 +:105430004030000100000400000180010000040071 +:10544000000100000000000000000000000000005B +:10545000000000000000000000000000000000004C +:1054600000000000000000000000000000008200BA +:1054700000000001000000000041000000000000EA +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A000000000000000000000002000200020009C +:1054B000200000100000000020000010000000008C +:1054C000000000100010000010000020100000007C +:1054D000000000001000101000000020000000007C +:1054E0000400002000001000100000000400000074 +:1054F00004000020000010200000002000200020F8 +:10550000000000001020100000000000000000005B +:10551000000020000000001020000000000000003B +:10552000000000001000000000000010100000004B +:10553000001000000000000000400000100000000B +:10554000000000200081000010000000002000206A +:105550002400000000000000000000000000000027 +:1055600002000000002000000000000000000020F9 +:1055700000000000000000200000000010000000FB +:10558000000000000081200000000010000020004A +:1055900000000010000000000400001000000000E7 +:1055A0001400101010000010040010000000000093 +:1055B0000410100014001000100000001000002063 +:1055C000000000300020002000200000000000301B +:1055D000200000201000102000000020000000E249 +:1055E0000000002000000020140000001000000057 +:1055F000040000000000042004000000000000205F +:105600000400000004000000000000000000002072 +:10561000000000000000002000000000000000006A +:105620000000040000200442020004000000444284 +:105630000100040000000000000000000000000065 +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:1056800000000000000000000000FFFF3F0C0000D1 +:105690000000FFFF330FFFFF5353FFFFCF03000056 +:1056A000000000000000FFFFDD11FFFFF303FFFF1C +:1056B0005533FF8055D577440F552DF0A9A5C9C3A3 +:1056C000A9A5C9C3A9A5C9C3A9A539CC39CC39CCC9 +:1056D00059AA59AA59AA59AA39CCA9A5C9C3A9A591 +:1056E000A9A5A9A5A9A593C695A663C963C993C68B +:1056F00093C693C663C977887788878777887788C2 +:105700007788778877887788778877888787778892 +:105710007788878777887788878777887788778873 +:1057200077888787778833B333B37F7F5353FFFFFF +:10573000FFFFFFFF330FFFFFFFFFFFFF0F33FFFFF1 +:105740000F55FFFF550FFFFF553300000000FF7F8F +:10575000FF7F0000000000000000000000000000CB +:10576000000000000000000000000000000033B353 +:10577000FF80FFFF5353FFFFAF05FFFF3535353582 +:105780003535353535350F333F30FFFF353553531C +:105790002727000000000F8F55D5000000000000F3 +:1057A0000000FFFF550FFF7F00C0FFFFFFFFFFFF5F +:1057B0000FF0C3C39999C3C355AA999999995F509A +:1057C00033CC9999C3C333CCC3C3C3C3C3C399995F +:1057D000C3C3C3C39999999933CCC3C3A5A533CC8B +:1057E000A5A5C3C3999933CCA5A5C3C39999A5A56C +:1057F000C3C355AA9999C3C3C3C39999C3C3C3C3A8 +:10580000C3C3A5A5C3C3C3C3C3C39999C3C333CC7F +:105810009999FFFF772200000000FFFF7722FFFF2A +:10582000BB1100000000FFFF1B1B00000000000078 +:105830000000000018000000000000000000000050 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000C000000000000000C0000000C000000F4 +:105890000C00000000000000000000000C000000F0 +:1058A0000C0000000C0090241E0000000C00D8A486 +:1058B0005EA9DCA45EB9DCA45EB9DCA45EB9DCA49C +:1058C0005EB8DCA45EB9DDA45EB9DDA45EB8DDA47B +:1058D0005EB8DDA45EB9DDA45EB9DCA45EB9DCA46B +:1058E0005EB8DCA45EB8DCA45EB8DAA43EB8DAA484 +:1058F0003EB8DAA43EB8DAA43EB8DAA43EB8DAA4D8 +:105900003EB8DAA43EB8DAA43EB8DAA43EB8DAA4C7 +:105910003EB8DAA43EB8DAA43EB090241E000000DF +:105920000C0080240E0000000C0080240E000000FB +:105930000C0000000C0000000C0000000C00000037 +:10594000000000000C00000000000000000000004B +:105950000000000000000000000000000000000047 +:10596000000090241E0000000C0000000C0000004D +:105970000C0000000C0000000C0000000C000000F7 +:105980000C0000000C000000000090241E0000002D +:1059900000000000000000000C0000000C0080244B +:1059A0000E0000A01E28DCA45EB8DCA45EB9DDA455 +:1059B0005EB100800C284C804CB84C804CB84C80B8 +:1059C0004CB84C804CB84C804CB84C804CB84C8097 +:1059D0004CB94C804CB94C804CB94C804CB148808F +:1059E0004CA94D804CB84D804CB84C804CB84D8083 +:1059F0004CB84C804CB84D804CB84C804CB84D8065 +:105A00004CB84C804CB000000C00000000000000BE +:105A10000C0000000C000000000000000C00000062 +:105A200000000000000000C00800000000000000AE +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000008000284D8040B84D8040B94C8037 +:105A700040B84C8040B84D8040B84D8040B84D8013 +:105A800040B94D8040B00000000000000000000060 +:105A9000000000000000000000000000000000A066 +:105AA0001228DCA452B8DCA452B9DDA452B0000024 +:105AB00000000000000000000000000000000000E6 +:105AC0000000008000284C8040B94C8040B84C80D9 +:105AD00040B84C8040B84C8040B84C8040B94C80B5 +:105AE00040B84C8040B94C8040B94C8040B08024D4 +:105AF00002008024020080240200000000008024B4 +:105B00000200000000000000000090241200802429 +:105B10000200000000000000000080240200802439 +:105B20000200000000000000000000000000000073 +:105B3000000000000000D8A472A9DFA472B9DFA49D +:105B400072B9DFA472B9DFA472B9DFA472B0000029 +:105B50000000000000009024120000A01228DDA424 +:105B600052B8DCA452B9DDA452B8DCA452B8DDA40A +:105B700052B9DDA452B8DCA452B9DDA452B1000080 +:105B8000000000000000D8A452A9DCA452B8DDA493 +:105B900052B9DDA452B9DDA452B8DCA452B8DDA4D8 +:105BA00052B9DDA452B9DDA452B8DDA452B8DCA4C8 +:105BB00052B9DCA452B8DDA452B9DDA452B8DCA4B9 +:105BC00052B8DCA452B8DDA452B8DCA452B8DCA4AC +:105BD00052B8DCA452B9DCA432B8DAA432B8DAA4E0 +:105BE00032B8DAA432B8DAA432B8DAA432B8DAA415 +:105BF00032B0802402000000000000000000802479 +:105C00000200000000000000000000000000000092 +:105C10000000000000000000000000C000000000C4 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C5000000000000000FFFF66666666CC33AA55B0 +:105C60005A5A66663C3CCC333C3C6666CC3366662E +:105C7000CC335A5A5A5A5A5A3C3CFFFF330F5F50A2 +:105C8000F5055F505353BB11DD11CF03BB11FFFF6F +:105C90002727FFFFC3C3C3C333CC9999A5A59999FF +:105CA000C3C3FFFFFFFF00000000FFFF7744FFFFBB +:105CB0005533FEFFFF7F5533A5A59999999933CCAC +:105CC00033CC999933CC33CCC3C3999933CCC3C368 +:105CD0009999999933CC33CC55AA999999999999CD +:105CE000C3C3FFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:105CF0005F0AFFFFFFFFFFFF7722FFFF3355FF80A3 +:105D00000F8FFFFFFFFFFFFF3F30FFFF5F50FFFFE1 +:105D1000FFFFFFFFFFFFFFFFAF05FFFF5353000033 +:105D2000000000000000000000005555FF000F0FAC +:105D30003333FF00555533330F0FFF0055553333C1 +:105D4000AAAAFFFFFFFF0000000033B30F8FFFFF81 +:105D5000FFFF66669999C3C355AA9999C3C39999D8 +:105D60009999A5A5A5A5999933CC99999999A5A58E +:105D7000A5A5FFFFFFFF000000002DF0A599C39926 +:105D8000C399A599A599959A959A959A939C939C50 +:105D9000939C959A959AA599A599A599C399A59922 +:105DA000C399C399A599939C939C959A959A959A12 +:105DB000939C939C939C939C63C995A663C963C968 +:105DC00063C963C963C963C965A993C677888787AF +:105DD00087877788778877887788878777887788AD +:105DE000778877887788FFFFFFFFFFFF0F55FFFF5A +:105DF000FFFFFFFFFFFF00000000000000000000A9 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000040000014020C04041000403C02085 +:105E9000A85409000402C020086400000000C020CB +:105EA00000A200000000C020009200000000C020FE +:105EB000008A00000000C020002000000000C02078 +:105EC000001000000000C020000800000000C020FA +:105ED00000CE00000001C02080D704000403C020D1 +:105EE0008CE740000001C020C04C00000000C02032 +:105EF000005470000403C02088648000000080000B +:105F00000022E303040380002403000000000000DB +:105F10000000000000000000000000000001000080 +:105F20000000000004030000400000000403000023 +:105F3000CC010000040200002C00000000018000E1 +:105F40008027000004030000C800000004030000D4 +:105F5000A800000004030000880000000403000003 +:105F6000040100000402800024020000000100007F +:105F70004001000004030000000000190403C020D9 +:105F8000201000190403C020400800100403402022 +:105F9000CCC1000004030000AC01000004030000B9 +:105FA0008C01000004030000C8000000040300008E +:105FB000A8000000040300008800000004030000A3 +:105FC0000401000004030000240100000403000099 +:105FD000440100000403000000000200040200006D +:105FE0002000200000010000400000000000000030 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000004020800000000008C72051 +:1060800080000001400481200000000120108020D9 +:1060900000000001001080200000000100048020AA +:1060A0000000000100088020000000010010802096 +:1060B0000000000100048020000000010008802092 +:1060C000000000010008E020800000014004C92019 +:1060D000800000012810C4208000000100088020FA +:1060E000000000010004C120800000012810801081 +:1060F000000000010000C700800000014004000013 +:10610000000000000000000000000000000040004F +:10611000800000004000410080000000800041003D +:106120008000000080000100000000000000C000AE +:106130008000000108004100800000008000410054 +:106140008000000040004100800000002800410065 +:1061500080000000280081000000000100044000D1 +:106160008000000000004100800000002800C128DD +:10617000800000014004C1288000000180084128FF +:1061800080000000800841008000000040004100C5 +:1061900080000000280041008000000080004100D5 +:1061A0008000000040004100800000002800410005 +:1061B00080000000280041008000000040004100F5 +:1061C00080000000800041008000000028000300E3 +:1061D00000000000000060008000000000000000DF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:106240000000000000000000000300000004000047 +:10625000000B00000000C02000080000000000004B +:10626000010000000300000500000000040003001E +:10627000440100C7000047C0D7C100C300C047D0D9 +:10628000032200C0020000C0C20700000003C3C711 +:106290004603C04000070040E0E0000000C0E060AE +:1062A0004710E0000000004047D00000C04044C05C +:1062B000E30000000000440003C01313000100E2EB +:1062C000C9E200130323C340CBC1090113C0D36249 +:1062D00017C10400000044C000C0D30001D3006314 +:1062E00000C0A00342404020C00A030113C30300C2 +:1062F000800000000000000000000000000000001E +:106300000000008113000000C80003C800C0C0C81E +:10631000808080C8C8C0C4C080C0C40000C0000065 +:10632000C40000000000001300C10000C480000091 +:10633000C800008000C0C400C805008000C0C400C0 +:10634000C84000C000C88005C00000000000C000B8 +:10635000C4C0009380000000C80021C9C093C4A33A +:10636000C00501CF83E28305C2C88003CBC8C30345 +:10637000C42213CD21E3C7228304008040C0CB0098 +:10638000C58080C040C8D323C180000000C0830006 +:10639000D313C80080C0C800C0C0C8C080C080136C +:1063A00000C0C0C880C0C080C4C88000C8C000E3AE +:1063B00001138013C0C0800003EB8003C8C0010339 +:1063C000C41300000000C705C7C000C0C0031313FA +:1063D00081800000000000000000000000000000BC +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000020000000004C +:106430000000002000020000000000200080000496 +:106440000004200120800000000001000000000086 +:106450000000000120000000020000000000000019 +:106460000000202040210010000040900041000565 +:1064700040110410210000040400011014400040E9 +:106480008080120404C001200000012040100000A0 +:106490000010002002400000000001200210000057 +:1064A00010200004084000000000004020100020E0 +:1064B0000001050440100000400014A04005400108 +:1064C000008410200005000000000210020480007B +:1064D000411000200004000803C0010010800401E6 +:1064E0000121080080000000000000000000000002 +:1064F0000000000000000003000000000000400059 +:106500000020800080028000000200028020000243 +:106510000002000040000000000001000011000027 +:106520000002000000000002000200000000000263 +:1065300000020000004000200000800480000002F3 +:106540004001804000100002800000000000200197 +:1065500080020004A0042000C020A00084808001EC +:106560000400A0080200000040022010C000100239 +:10657000010240004004802001008000C0040000AF +:1065800000208400800000008020000080020002C3 +:1065900080208000000280008020800200008000B7 +:1065A0000002000240008000802080004000800146 +:1065B0000020400100004003402140004002004014 +:1065C00001800000C0020000080000000000000080 +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000020000000005A +:1066200000000C000014000620000E00001400D62C +:1066300001002000000000402110001000000016A2 +:106640004000114000011227D03A28A0044812C08F +:1066500001100008948000184180000B0450308025 +:10666000EB000000F08800840738402084782C007C +:10667000017020DF917814C0F50A0E0F1804148AF7 +:106680000AF06802780202C00190081770060840FC +:106690000290400C640000400A406208643015809B +:1066A0000200000F847811060230400860300200BA +:1066B0000804010884B0204A00400008F0082C803B +:1066C00001300C036050004009B0011F0032210E60 +:1066D000E500000000946C000200010000000C18AE +:1066E000D1008000000000000010000060000000E9 +:1066F0000038000000000000000000000000000E54 +:1067000000000000000000000000004051380000C0 +:10671000203880000038004001008000F000004078 +:10672000C040000F00041C001644800084002000BC +:1067300001080000F0000008008800400000000090 +:1067400040028000F00000044088000000021004B5 +:106750004000824004780027D502999F820012846D +:1067600047400C82010000C6C9B8024080800C84FA +:1067700042604C8029100E444AC88E0002081C0753 +:10678000B0064E4000510007E8050C20F084308729 +:106790000600409400109687020008140000008054 +:1067A00002010FC4012800800200000400D0100084 +:1067B0001038400000382C40010040000400000068 +:1067C0000148000000000000001000000000000070 +:1067D00000000000020000000000000000000000B7 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000008008 +:1068100003000000000000000000400008000080AD +:10682000050000000000080080300000C882000061 +:1068300000000D1300000E00E0000006C0000880FC +:106840000008000020800003803001200B300C0F76 +:10685000000000810930000BE09040400040000241 +:10686000E230000002680E4200382800A0701000DC +:106870002001558001480C90643828C001900087A1 +:10688000E3381C6000B000A4F1000C81014803074C +:10689000108810200168000B00001048004C000414 +:1068A0009008148001000607003840200130028A59 +:1068B000830014800138CC04603080040100008C17 +:1068C00001A80C400200000000000C0000000000C5 +:1068D0006008010002000004600800000080000061 +:1068E000600A000000140000000000070000000023 +:1068F0000000000000000000000000000000004058 +:10690000D77D000050300004E000000000000807C0 +:10691000E0001C060048000B0000284000400E0765 +:10692000E0101C000260000060001C03000000007A +:1069300003100000C001002708289C0C5001002013 +:1069400008289E0C50010222EA281C86C3100880E9 +:1069500000089C9C51010CA009001C86C13012004B +:1069600002389CDC54611C8001B89C4C50410E8460 +:106970006708942400400EA7E000800400402C0229 +:10698000023028C7A00A008003801401003812805A +:1069900003002C20C0380E8000002C0000380000BE +:1069A0006201028001300000001428000000000293 +:1069B000203C0C0002000000600800000080000085 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A0000000000000800000104000400080002006B +:106A10000000244000000000060020000041224049 +:106A2000000020000000B000220000800000600094 +:106A30001401848000400040080000100820071165 +:106A4000000018084000A09000A90904100400D01C +:106A5000000C000400080120400800102209080171 +:106A6000000800120800620105008528102000209F +:106A70000000C114E0051802020080220000060890 +:106A8000020800000064088002882000000C08B49E +:106A90000200000010181100012400100014000072 +:106AA00000280300141402B1400850084029004295 +:106AB0000012000000040402300000200000010069 +:106AC000030000003008044100000000100800002E +:106AD000000100009442000000002000010080003E +:106AE000000000000100000200000000000800009B +:106AF000000000002020000080400000083101005C +:106B0000000002000011300820080128002A0800B7 +:106B10000930110002503000004000001008100A37 +:106B200000000000051804001000420008181100C1 +:106B3000008162000012540104104200024014005F +:106B4000004000000018500104244201001090028F +:106B50006800300807901900004210000760100418 +:106B60000001D120107018000000D08000280080A3 +:106B700020800C12038080000100000101000680CB +:106B80000040022120000081004004210510008007 +:106B900000400001048000A000C00000001004407C +:106BA0000000000000001000001000001042000073 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000002E4002000000A000008005 +:106C00000980C000E0005E250000010000001E07B2 +:106C100080018000D8000E2C0200900000000020AF +:106C2000020C50012000226C0000EC0600816293EF +:106C3000010014400278020C980101104800800CF9 +:106C4000900134030008800C96B900C00170421016 +:106C500006120C2032840187E9100CE1C2E50CA772 +:106C600089011C20A270822C0A380EC0A1E2080003 +:106C70000B8C2C80219001900B102000206A1200B8 +:106C800000502006004400000078122000308000F0 +:106C9000201040100044000006082E5000B00000F4 +:106CA000000002060030001800301C000000000246 +:106CB000005015000000400466002C000808000B7E +:106CC0000000001000000000C0000000C00800002C +:106CD0000000000000001000000000000000100094 +:106CE000000000000000002008020000E0784040A2 +:106CF000C0011400C8001067E0101008C0001007A1 +:106D0000E0384004C0710002C6111406C003000040 +:106D1000E03F80000010002000000000C000230BB6 +:106D2000E8BD000E083201A069000C0000012390AC +:106D30000428BC00F00932200B0A220440383262D9 +:106D400060001D071014098264889000C003AF2002 +:106D500000000C06000186C767002C0EA005AEA03F +:106D600061000C0440402220C089B40BB0002340D5 +:106D700036808CCEE4002344D6380007A00189C4B5 +:106D8000657A9C00C003000D00003C00006100001B +:106D90000040200000000000000000000200000091 +:106DA0008000000000000000000000000000000063 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE0000000000000000000000000C00100000AD8 +:106DF000000000000030000A00020C80000000804B +:106E000001004200000000000000000000000080BF +:106E1000030000000000200020300840000028048B +:106E2000C230000304280000008028041000000085 +:106E3000A00106041000AC000000000410022CC0E9 +:106E40004BF8208000F86C06F000820778812C86D1 +:106E500002600C8709006C0000E9024210401580B6 +:106E60000B802C900130108F0B8202A0013000901B +:106E7000F1000C000008008001184000000A0C001E +:106E800000080005007900800200000000000C00EE +:106E9000A104110784108C16003401000078010051 +:106EA00020000000002A0C800300000000000C8677 +:106EB000A1300000000000800130000050002000E0 +:106EC00000C2000000000000D00000000000000030 +:106ED000D0000000000000070000004000303C002F +:106EE000F0C2004000300000A07A8040780300002B +:106EF00000020007803A002A00B25000A080002063 +:106F0000000000001000000700980080030000004F +:106F100000020200783000E003300200000011009F +:106F20000010020028020C07E000000060020C04C0 +:106F3000D00080400400D407E00082030400000F6A +:106F4000400002820000010F0061004500013C2664 +:106F5000C001008503817C2550020C47A0302C0025 +:106F6000F0000240748054001002024070060120BC +:106F7000000122871133010F4000000000043C0093 +:106F8000C0000000000800800100000000000000B8 +:106F90000060000000000000000000000000000091 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD0000000000000000000204000000000000051 +:106FE00000400000000080400000000000000000A1 +:106FF000005000200250001000004000102000004F +:1070000010100000000000300020100001005000AF +:107010003010102100400100402840100000502096 +:1070200020001050900054220034201498000000DA +:10703000088C1084101081204820445C000C100043 +:1070400088101100100018202050229020003001DC +:107050002820400010012028200020444051280012 +:107060008C208010202032212A8404408010018846 +:107070002020010220080024402400222A3022205F +:10708000001020300022108080001020103000807E +:1070900090102080201000800020100000200000B0 +:1070A0002000008000000080000000004000000080 +:1070B0002000000010000000000000000010200070 +:1070C0000000200000000000000000000000001090 +:1070D0002000004000000000000000400000108080 +:1070E00020100040400000400000404040200420AC +:1070F0002000084020001000103800300040000040 +:10710000200404240210008000040080501000407D +:10711000084002004222100410500020503000208D +:107120008081000010001100902412100210200233 +:1071300080019004400020981004043080500080AA +:10714000800402401200208A0882004020040212BB +:10715000840091002A02201281421140422A012219 +:10716000804218888222941229021820023A981824 +:107170001902182A0A2A101888003800000808285E +:10718000181010280800080800020900000000007C +:1071900000080000000000000400000000000000E3 +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000800000000A7 +:1071E0000000000C0010000000300000A050900CC7 +:1071F0003C00100010190000080000309000100042 +:10720000007D10093030305900A97D0002549000F3 +:1072100070A0307000501039157030560000782082 +:107220003009060690081D7D391A06A04005A010F9 +:107230000D6C3000A01C3AAA305914A8A915003AC8 +:1072400000A02C0008150005350C005CB55A9C0008 +:107250000518A800000518100E70000000707005D9 +:107260000A181A0C907E0D08086020104909000EBB +:1072700000307030583C003E004000009000100884 +:1072800000300070300670404035000A00A505004F +:10729000A0A00040A0AC60000D0A004C0E000E0A39 +:1072A0000C000E0A00000000380A00000C0000006C +:1072B00000300000A000000000A0A00000000000BE +:1072C00000000010000000000D000C000970000814 +:1072D0000070300900100D00000000000C00087064 +:1072E00000500000A075BC0000001005203C0C30D0 +:1072F0000D007D0A0010505E003C1D080000004A91 +:107300001020004E000505000900303025300C002B +:10731000001A0D0C08050C0020002E090C0E282068 +:107320009C160A0DA0603000AC06003035580010E5 +:10733000AE1008AE000500003800A80C981A08042A +:107340000055A000000530100C10B00000150040E2 +:1073500000080C70750A0408B0002E300450047048 +:10736000BA2C381434100078040800349860007C7B +:10737000000CB04C0C000E04A80E08000050000ECB +:10738000000C00A0A00C00000000000000000000A5 +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B000400000000000000000000000000000008D +:1073C00030100000000000000000004000001A2102 +:1073D0000000000040008001001000300C000000A0 +:1073E000000000010100E00000000000C1000210E8 +:1073F000002000008C002000100100280000040084 +:107400000030000000003000B00100002000341403 +:10741000402411003A0000000A24003000403C00E3 +:10742000303010000A740E003051040001000E319B +:10743000100018202000230CA0B030500009A32019 +:1074400020001730301920501030B1002A102828A1 +:1074500020210430B0718C20212004C1A10080A023 +:1074600030200020080A28A001011010000C002084 +:1074700020000011003000202000202010000020FB +:10748000001000000000000030010020000000217A +:107490000200000031000000300000000100000088 +:1074A00001000000000010000000100000000000BB +:1074B00000000000000000001000001000000100AB +:1074C00000000131000040A030000010204080008A +:1074D0000100013001008030300000103000000059 +:1074E000001203200030000032800430080F802397 +:1074F000020400202000000000300801280400845D +:1075000000200120200000311128815000500C0083 +:1075100031380D000D501058305C100C3100002037 +:1075200050010C11302001201E005811090031209B +:1075300000B4D18130740040105024801085214067 +:107540001C94040030344930121400201004100040 +:1075500004040030000420000C840020003400707B +:107560003000100100700A100050002000000000E0 +:107570000E080C00060100000000000000000000E2 +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A000000000008000000000004000000000001B +:1075B000000000300000000080000000000000100B +:1075C000000000000000000000000000000000209B +:1075D00000004000100000000000000040200000FB +:1075E000000000200000002000000000002000201B +:1075F00000000000000000202020100000000020FB +:107600000000002011100000001070000000700049 +:1076100030001000000000003010000000400000AA +:10762000000000000000000040000000000000001A +:107630000000002030001000000000A000007020BA +:1076400070401020403000008000000040300024D6 +:107650003040001000000004000000003000000076 +:1076600000400000003000000030A010000040008A +:107670000070600C004000000000000000000040AE +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000040000000AA +:1076A000000040000000000000000000000040005A +:1076B00000400000100000200000400040200000BA +:1076C00000000020000000000010400040204000AA +:1076D00000004000000000000000000080000000EA +:1076E000400000003000300000006000400000005A +:1076F0000080200000000000A0002000401040009A +:107700004200000040501004120000301000001031 +:10771000000000302000400402004000000402008D +:107720000004300400000200003480000000120059 +:107730008000000002003040300400205000023081 +:1077400000000004020040800000000C8000008463 +:1077500000000000100C108000000000020010006B +:107760002000100001000080100010001000000038 +:107770000000000004000000000000000000000005 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000002000000000002000A9 +:1077A0000000000000000080000000002000000039 +:1077B0000000008000800000000000000080000049 +:1077C00000000080000000800000000000000010A9 +:1077D0001080000000000080000020000000000079 +:1077E000008000B0000000800000280040804000C1 +:1077F00000002080000004804C0000800090900079 +:107800000002100080000000008020000000000046 +:1078100000000000008000000000000018000000D0 +:10782000800000000000008080804000008000A0F8 +:1078300000002080108000800000000020800800F0 +:107840000000000000000000000000000000040034 +:1078500000000000002000000000000000B06000F8 +:107860002000300000209002000000008000000096 +:107870008000003080000000000000000000008058 +:107880000000800000000000000000000000000078 +:107890003000000000003000000000000000000088 +:1078A00000002000003000800000000000001000F8 +:1078B0002080000000000000000000000090000098 +:1078C0002000003000000000000000000000000068 +:1078D00020000000100000800000000000009080E8 +:1078E000100000002020808008000000600084104C +:1078F00010003000340000001030000004000000D0 +:1079000000000000000000008000800084000001F2 +:1079100000010430000100000000000000B1200060 +:1079200000300400200000000400001000000000EF +:10793000000004800000000004003020000000026D +:107940002000002000000000400240200000003025 +:10795000040040000030800004000020400040008F +:1079600040000000000000000000000000000000D7 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000040B7 +:1079900040000000000000000000000000000000A7 +:1079A00000000000000000000000000000000000D7 +:1079B0000000000000000000200000001000000097 +:1079C0002000003000000000000000002000201017 +:1079D0000000000020000020000000002000241013 +:1079E0000000000020002000000004000010000043 +:1079F00000204000200010000000100000002000C7 +:107A00001010001000100000010000000000000035 +:107A10000400000000200000000000002000000022 +:107A200000000000200010001000D0010010000035 +:107A30000000140000100018108100D2000000089F +:107A400000001410100082001000D001401200202D +:107A500000208211200000001010C0010000000072 +:107A60000000000000000000000010000000000006 +:107A700020000000000000000000C0010000000025 +:107A800000000000000000000000000000000000F6 +:107A90000000000000000000008100001000001045 +:107AA00020000000000000000000001000000000A6 +:107AB0000020001000100020200200100000000034 +:107AC0000000001000100000000000201000100056 +:107AD0002000002000002000200020000400000002 +:107AE000000024E200100000000000000010100858 +:107AF000100001101000001000000030000000080D +:107B0000000000000000002004021008000800002F +:107B100004200000002010000000001000001000F1 +:107B20001008001010100000000000080000000005 +:107B300000000042020000080010000000000000E9 +:107B400000000020000000001020002000000000C5 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC0000000000000000000000000000000FFFFB7 +:107BD000FFFF000000000000000053505F531B1B1C +:107BE0002727FFFFFFFF5533F303BB11CF035F0AC6 +:107BF000272727271B1BFFFFFFFFFFFFFFFFFFFFBD +:107C0000FFFFFFFF77221B1B535377227722FFFFD3 +:107C1000F303FFFFF303FFFFFFFF27275F0AFFFFC9 +:107C2000FFFFFFFFFFFF00000000FFFF1B1B000026 +:107C30000000FFFFF3030000000000000000000050 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000011775555F3034747DD44ED +:107CC0003333000000004D4D0F0F000000004D4DFC +:107CD0003333BB1177220000000000000000FFFFDB +:107CE000272727274747BB111B1B35355353FFFF5A +:107CF000F505FFFFFFFFFFFFFFFFFFFFBB110000C8 +:107D00000000000000000000000000000000FFFF75 +:107D1000FFFFFFFF2727FFFF1B1BFFFFBB11FFFF1D +:107D20001B1BFFFF3F0CFFFFFFFFFFFFFFFFFFFFDE +:107D30003F30FFFF3535FFFF5F0AFFFFBB11FFFF3D +:107D4000BB11FFFFBB11000000000000000000009D +:107D5000180000000000000000000000000000000B +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC000000080240E000000000000000000482099 +:107DD0001E2090241E0080240E0090241E0090245B +:107DE0001E0090241E0090241E0080240E0080247B +:107DF0000E0080240E0000000C0000000C000000AB +:107E00000C0000000C0000000C0080240E0000009C +:107E10000C0080240E0080240E00000000000000F2 +:107E20000C000000000000000C000000000000003A +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA0000000000000000000000048201E20902478 +:107EB0001E0048201E200000000048201E20000058 +:107EC000000048201E2090241E000000000000003A +:107ED000000000000C0000000C0000000C0000007E +:107EE0000C0000000C0080240E0080240E00000016 +:107EF0000C00000000000000000000000000000076 +:107F0000000000008C0200000C0000000C000000CB +:107F10000C0000000C0000000C0080240E008024E7 +:107F20000E0000000C0000000C0000000C0000001F +:107F30000C0000000C0000000C000000000000001D +:107F4000000000C008000000000000000000000069 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000080240200482012208024DD +:107FC000020048201220000000009024120090249B +:107FD0001200488040A84C8040B84C8040B84C808B +:107FE00040B94C8040B84D8040B84C8040B84D807E +:107FF00040B84C8040B94C8040B000000000000008 +:1080000000000000000000000000000000008024CC +:108010000200802402000000000000000000802414 +:10802000020000000000000000000000000000004E +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:10809000000000000000900400000000000000004C +:1080A00000004820122090241200902412009024F6 +:1080B0001200482012209024120090241200000088 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E00000000000000000000000000000004880C8 +:1080F00040A94D8040B84C8040B94D8040B84C807C +:1081000040B94D8040B84C8040B94D8040B84C805B +:1081100040B94D8040B1482012200000000000000E +:1081200000004820122000000000000000000000B5 +:1081300000000000000000800000000000000000BF +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000FFFFFFFFAB0127 +:1081B000BF15FFFFFFFF4744774700000000330F64 +:1081C000AF050F33F303F00F3C3C666666666666E8 +:1081D0006666CC33AA55CC333C3C6666CC336666C7 +:1081E00066666666CC333C3C66663C3C6666FFFF72 +:1081F000CF03FFFF3F30FFFF3355FFFF0F33FFFF7C +:108200003F30FFFFFFFFFFFFFFFF00000000000007 +:108210000000FFFFFFFFFFFF0F5500000000000000 +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:10828000000000000000000000002727FFFF0000A2 +:10829000000000000000F550555535351B1BAF059B +:1082A000BB114747F303F5505555535377223535E6 +:1082B00053530000000000000000F303F30300002C +:1082C00000000000000000000000FFFFFFFF0000B2 +:1082D000000000000000000000000000000000009E +:1082E0000000CC336666666666663C3C5A5A666633 +:1082F0003C3C66665A5A6666CC333C3C66665A5AC3 +:10830000CC33CC335A5AAA555A5A3BCF3333FFFF9A +:10831000CF03FFFF330F693D3333FFFF0F33FFFF01 +:1083200055330000000000000000000000000000C5 +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00004034020C840010004038000A8048000AA +:1083B0000001C020806400000402C02004A200006C +:1083C0000000C020009280350000C020008A0030EC +:1083D000000040200000000000000000000000003D +:1083E00000000000000000100000402000C000025B +:1083F0000000C02000D60800040300008C0100002B +:10840000000000000000010004020000280000003D +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:10848000040200000C0000000001C0201083000066 +:1084900004034020A8800000040340209C810000C9 +:1084A0000403C020CCCF000000000000000000004A +:1084B0000000000000000000000000000000800933 +:1084C0000000800000040030000040200040000058 +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F00000000000000000130000C02000440A003B +:10850000040200000400240000010000000100003B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000004924800000008008C3008000000122 +:1085A0004004C0228000000108108120000000016A +:1085B0002010802000000001000480220000000143 +:1085C000000800240000000000100000000000006F +:1085D0000000000000000000000000240000000077 +:1085E00000088021000000010004610080000000FC +:1085F0002800000000000000000003000000000050 +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000100000000001000C0208000000188 +:108680000060412080000000404041208000000048 +:108690001040C120800000018008000000000000A0 +:1086A00000000000000000000000000000000000CA +:1086B0000000800000000001000400240000000011 +:1086C000001000000000000000000000000000009A +:1086D000000000000000000000000000000000009A +:1086E00000000000000000000000802100000001E8 +:1086F0000060010000000000200040008000000039 +:108700004000000000000000000000000000000029 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:108780000000000000004400C4C10322E3C300C194 +:10879000C4C303030300C99301C747D380800022E9 +:1087A00007C347C3E0C004000803E30000E2000081 +:1087B0000000C0C0020000C0E0C0A30044000000F0 +:1087C0002000000000002000200004000100440000 +:1087D0000000E00000004400000000C000C0C00035 +:1087E000C48000C80080000000000000008000037A +:1087F000000007230300000000000000000000004C +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000C00000000000000048 +:10887000C0400000C080C8C04481C700C8C04400D8 +:10888000C0E30000C080C0C093C0C100C34000006E +:10889000000000000000C000000000000000000018 +:1088A0000000000000000000C3C0C0000300000082 +:1088B0000040E00000800000000000000000C00058 +:1088C000D000000000000003D040A0000000000322 +:1088D000100000000300A0001800000004000007C2 +:1088E00006C0030000070000A000C4230000000031 +:1088F00081000000000000000800000000000000EF +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000030400F0 +:1089800040050021020304010400400241100804D4 +:108990008202800008210411008400800048200029 +:1089A000001000008000100404400004004020007B +:1089B00000000000040000000000000000040004AB +:1089C000200400000000002000000000012000043E +:1089D0000010800000040000000200000000000001 +:1089E000000400010000040040000000000000003E +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000008000000096 +:108A60000000014010200000100200200003400020 +:108A700000020000802000008002800280A05000E0 +:108A800014200000000000000000010000000004AD +:108A900000000000000000000000018018401010DD +:108AA000084000000020000000040000000000005A +:108AB00000000100010000000000008001410000F2 +:108AC0000000008080000001022000000000000083 +:108AD0000001010004100801050000000000000072 +:108AE00000000000C00000000000000000000000C6 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B600000000000000000000000000000000040C5 +:108B700000780C00000000E061A82C004015406C5B +:108B80000232000021000C88610090C4C111000273 +:108B90002038008001440C4000380900C00000006B +:108BA00000080000001000036070000001320000A7 +:108BB000000800A001400010948008100000001080 +:108BC00000780C00100000000004170000400000B6 +:108BD00000000000004000000090200000000000A5 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000002000000040003C000000B80014AC +:108C600002000CB805020144003C1007000001049A +:108C700084802D60D012000000000000000022005F +:108C8000640000C40110000284900800019080007C +:108C900000B000000000000000001600000000000E +:108CA0000000000000000800003400000000000088 +:108CB0000034000A20600020003400000138401019 +:108CC0000034160001600E800000000020000C003F +:108CD000048014C001100080010A0001001200008D +:108CE00000080088013800000000000000000000BB +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D600000000000023801000802008063000000DB +:108D7000401000040000106050003C00003000482B +:108D8000C4C400022000001000E0220008340200E9 +:108D90000001000020780200008042026038282094 +:108DA00043C00080004000000A80020082380200B8 +:108DB00000402C80030C6A00000000002008100016 +:108DC00000800000000000000080008000400000E3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E4000000000000000000100000003680820206E +:108E500011600080030001D60000001008008100AE +:108E600004020C0000080006C0B40000000000006E +:108E700000000D03E030800C00E00002E030022032 +:108E800003B00007003828000000000020000000A8 +:108E90000080000000000000000001000000000051 +:108EA0000000000080008006000000848314400061 +:108EB0000200008003080007D2000000000012003A +:108EC000000000000238010000094802208820004C +:108ED000C0802C8B654120000000000000000000D5 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F500000000000000000000F88000000000080FA +:108F6000CA130400C024002000101080800000807C +:108F7000480A0A008010081C6811200010000C002C +:108F80000810200000001140082904004000020CD5 +:108F900040410000000809200C02004000000200CF +:108FA00000000400100800000000000000000000A5 +:108FB000004100000000000000020000001000005E +:108FC00004800000200000000000000000000000FD +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:1090300000000000000000000000300000000014EC +:109040000043010010040804000004011400012082 +:1090500000000100000000040810242100000000AE +:109060000000000000002030C00A040000080014C6 +:1090700020900000000000000010004000000000F0 +:109080000001000000000000000000000000084097 +:10909000000000000000000010020002202000106C +:1090A0000001000000000000004000080020040053 +:1090B00001002202200084200C02800400000280B3 +:1090C0001200000000012000000000021101000059 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:109140000000000000000000000000800388000014 +:1091500000000080202C1C00C068001300401C0090 +:1091600000000D8CE1002DB001044687D90010638A +:10917000A0000080090010080000018001008C049C +:10918000E08056870F000007088400048200000872 +:1091900000082680248E10000000088001A81D0011 +:1091A00000000000204C200000000000E000000053 +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:1092200000000000000000000000010000008000BD +:1092300000000000900C0120C0300C800728008046 +:1092400001000704000C0103C000079008408C00D7 +:10925000C08200000000000000001E07E030000097 +:1092600000000003004A00C0040040000030280055 +:1092700000000000004000000000000000000000AE +:1092800000000C200000000030000060800028007A +:109290003000002400000207300022256000080092 +:1092A000300000000000010030002C87E330020194 +:1092B00000002E0B030000005200022000000000FE +:1092C000020000000000000000000000000000009C +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000C06D +:1093400001383C0010020080200C0100C061008048 +:109350000328010010000F000000000002400D076C +:109360001000801AC0010C000000280400000280D8 +:1093700001380100003209030070002020000C00B9 +:10938000000000060200000070391500007801207E +:109390000080110000000000043800000000000000 +:1093A000000000000000000000140000F0000000B9 +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000002004A +:10942000000000000000000010000000C002090061 +:10943000008000200800020C00000020B002034061 +:1094400001380000F0B000000000000000000E0035 +:10945000708000000000400000383C000000000068 +:1094600000020080030000000010200000780000CF +:109470000000000000002C800170000BF0001C4078 +:109480000000000B00005C4003040C2B00085C0093 +:1094900000D1400B00781C0000000C0B30000E00C7 +:1094A00000020C400000005000703C000030000042 +:1094B000000020000030000000000000000000005C +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:1095100000800000000000000000000000000000CB +:1095200000000020000000000000000020000000FB +:10953000400000400020000001001C00100100005D +:10954000200121992120542210108800300002842B +:109550000040203022825040308A008021001C04CC +:109560000000045A84400000908410200C248C8455 +:109570000114004A000444013401080008220420B8 +:109580000119008080004C0100010800000001006A +:1095900004080004010100400180001000000000E8 +:1095A00000000004000000000000000000000000B7 +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:109600000010000000000000000000000001280021 +:10961000000000300088008000400000008050887A +:1096200044440020200202000222000200000080C8 +:1096300090800200010200000000000000004000D5 +:109640008008000040004004000000102000240CAE +:109650000010000000004400400008100008410015 +:109660000001110840084800200C000001800000A3 +:10967000281C0202240C0200200028282A808810BE +:1096800000082C4021008A30200930410C10311094 +:10969000202C0008201138321048901A2A2000008F +:1096A00010098108000800140C01010001000100EC +:1096B00000000000040000000000000000000000A6 +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F0000000000000000000000000080000000062 +:109700000000000000000000000000080000000051 +:109710000000000000000000000000000000000049 +:1097200000000000000000000000000900003800F8 +:1097300020080000000D6020000010001038645068 +:109740001A980A1206600A1836182DB01060B06810 +:10975000721030063C98B01C00100DB0107D100047 +:1097600094100C00702C00B40C50085A20005800C3 +:10977000A00D0000AD1800A500255850AD00040450 +:10978000000500A0001C7000000E5000000000103A +:10979000900500000000000000007000000005308F +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000008000000000000000000000071 +:1097F0000000000000300000000000000A0000002F +:10980000000000000A000830000C0000000000000A +:109810000000300400000000000000000000000014 +:109820000000000010000000000000000000000028 +:109830000000A000A008000200000600090D0012B0 +:109840005C06090452260000060000B0000804105F +:10985000000C0000080035003D0C0000009800AC32 +:109860000008000504380000720C00060000000427 +:10987000040004100008081D000E00200C082AA097 +:10988000082020299004000000202C10100420241F +:10989000A400B0002008098809008E201C0C0000DC +:1098A0000000A05090000000000000000000000038 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000010000000176 +:1098F0000000000000000001003000010000000135 +:109900000000400000000001000000100000000006 +:10991000000000001000000010000E3000100000D9 +:10992000080000000005010030040C340410301061 +:109930000A01200000010C900010200F9C993A3081 +:10994000103800313C8600008101081C109E000088 +:109950002020061E049030210405001C010005048F +:109960002C04420000180410080400302680380C33 +:1099700000040007006004000C80000804040030AC +:1099800004200000000000000000000C00000000A7 +:109990000000008000000000000000000000000047 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000010000000000000086 +:1099E0000000000100000000000000000000000076 +:1099F00000000000000800000000010070100010CE +:109A00000E30000070101010981800B030C80C0014 +:109A10007C1C000C400000303012080008080E00CA +:109A20000E00010101003001300001003100200072 +:109A3000000A0000210030000000400F80001401E7 +:109A4000300142010E001D01010C080090001001C0 +:109A5000100870410C3100001004780D3800080027 +:109A600010001A0010203000705030AA34001C2062 +:109A70000104302408001400300C0A50166810301D +:109A8000001020041C1000064004080000000E0C0A +:109A9000880108000C000400000000000000000025 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000004020400000004000402036 +:109AF00040000000000040200000000000000000C6 +:109B00000000000000000000000000000000004015 +:109B1000000084004020023440000060000000404B +:109B2000000044048010300000100000400040207D +:109B300040000000400000000420002000420022FD +:109B4000000020200000200004002400000000028B +:109B500004000000000000000800000000000800F1 +:109B6000000400000004000402040000400000049F +:109B70000204000040000004020000000000000099 +:109B800000000000010020000000000001000000B3 +:109B900000000000000000000000000000000000C5 +:109BA0008000000000000000000000000000000035 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000400000000000000000000000000045 +:109BE00000000000000000004000400000000002F3 +:109BF0000000000000000020000000001002000033 +:109C00000000000060000000000004000070000080 +:109C100000000000000000100000400000004100B3 +:109C20000000000000000000001002003000006092 +:109C3000004000004000402034200000048000006C +:109C400040000002800480008204820080004020E6 +:109C500024040001040600000120000000000000B0 +:109C6000400004000400210E00002000002000023B +:109C7000000200424000000001000200000020023B +:109C800000000000040000000000000000000000D0 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000020002000000044 +:109CE00020002000200000000000200000000010E4 +:109CF0000000000000000000000000000000003034 +:109D00000000002000002000008000011000002062 +:109D100000000020000010002090B2000000002091 +:109D200020000000210000002080000001000080D1 +:109D3000002000808000808000808120010081045C +:109D40000000002001000000018000000000010070 +:109D500000000000000000040000000004000000FB +:109D600020000000040000002000000004000000AB +:109D7000000000000000000004304000000000006F +:109D800004000000000000000000000000000000CF +:109D90000000000030000000000000000000000093 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000001000000000000000000083 +:109DD0000000000000000000000000002000000063 +:109DE0003000000000000000000000000000000043 +:109DF000000400000000000010000030000000001F +:109E0000009000040000000000000080000010002E +:109E100000001C0000000000000000000000040022 +:109E2000000000200020000820001000800000003A +:109E3000002000082000000430002024A500242079 +:109E40003000200000000004010400000400200095 +:109E50000100002080200020000044220000B020EB +:109E60000040000401000004310000300C00040434 +:109E70000100000001000000800000000000000060 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA000000000000000000000000000430000006F +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000080002001078 +:109ED0000000000800000010000000000002001058 +:109EE0000000002000000000000000000000000052 +:109EF000000000200000000000000800000000102A +:109F000000000010000000000000080800202100F0 +:109F10002014002000000010002400000000004079 +:109F2000221000000000000020200000000000249B +:109F30002024000400000020000000000020002079 +:109F400008000000000408000008000C00080008D9 +:109F500000080008000000080008000000000008D9 +:109F60000000002000000000000000000020C000F1 +:109F700000000000000000000000000000000000E1 +:109F80000000000000000000000000000000810050 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000020000000000000000000001051 +:109FE0000000000010000000000000001000002031 +:109FF0000000080000000004000000000000000055 +:10A000000000000000000000000000000000100040 +:10A0100000100000100000100000000400000010FC +:10A02000081000800A000004000000080008102446 +:10A030000008002800201010180800000008002068 +:10A040000010200000001020002008200800002040 +:10A050000000202000810000000000080000002413 +:10A0600000000804000010200000000008000000AC +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000035518 +:10A0F0003F55FFFFFFFFFFFFFFFF53505F5300007F +:10A100000000FFFFFFFFFFFFFFFF00000000000057 +:10A110000000BB11AF05FFFF5353FFFF2727FFFFD1 +:10A12000FFFF00000000FFFFFFFF1D1D1D1DFFFFC3 +:10A13000FFFFFFFF550FFFFF0F5500000000FFFF5F +:10A140007722000000000000000000000000000076 +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000002B2B0F0F00000B +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A200000000000000000000000000000000FFFF50 +:10A210003535FFFFFFFFFF800F8F33B30F8F000037 +:10A2200000000F8F0F8F33B333B3FFFFFFFFFFFF2C +:10A23000FFFF0F8F0F8FFF80FF80FFFFFFFFFFFFEC +:10A24000FFFFFFFFFFFFFFFFFFFFFFFF5F0AFFFFB3 +:10A25000FFFFFBA53333FFFFFFFFFFFFFFFFFFFF04 +:10A260003F30000000000000000000001800000067 +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000048201E2080240E0080240E004820FC +:10A2F0001E200000000080240E0080240E000000BC +:10A3000000000000000090241E0000000C0000006F +:10A310000C0080240E000000000080240E000000CD +:10A320000C0080240E0000000C0000000C00000057 +:10A33000000000000C000000000000000000000011 +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C0000000000000000000000000000000482025 +:10A3D0001E2000000000000000000000000000003F +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000C0080240E0090241E00902408 +:10A410001E000000000090241E0090241E008024D6 +:10A420000E0080240E0090241E0090241E00802424 +:10A430000E0080240E0080240E0080240E000000F8 +:10A440000C0080240E0048201E2080240E00802452 +:10A450000E0000000C00000000000000000000C022 +:10A4600008000000000000000000000000000000E4 +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000048201220000000000000000080243E +:10A4E0000200482012204820122000000000000036 +:10A4F000000000000000488040A84D8040B94C801A +:10A5000040B94D8040B84D8040B84C8040B84D8037 +:10A5100040B84D8040B84D8040B94C8040B0802458 +:10A520000200000000000000000000000000000029 +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000902412000000E5 +:10A5B000000000000000000000000000000000009B +:10A5C00000004820122048201220000000004820EF +:10A5D00012200000000048201220000000000000AF +:10A5E000000000000000000000000000000000006B +:10A5F0000000000000000000000080240200902401 +:10A600001200000000000000000000000000000038 +:10A61000000000000000000000000000000000003A +:10A6200000000000000080240200000000008024E0 +:10A63000020000000000000000008024020048200A +:10A640001220802402000000000000000000000032 +:10A65000000000800000000000000000000000007A +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C00000000000000027227727000000000000A3 +:10A6D0000000FFFFFFFF03553F55110F770F0000EC +:10A6E000000000000000000000003C3C3C3C6666AE +:10A6F0005A5A666666665A5ACC3366666666CC33C4 +:10A70000CC33666666665A5A3C3C66665A5ACC3307 +:10A710006666FFFFFFFFFFFF550FFFFF5F50000062 +:10A72000000000000000FFFF0F33FFFF3355000063 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A790000000000000000000000000000000335531 +:10A7A0003355000000000000000000000000000021 +:10A7B000000000000000F3300F0F71713333000010 +:10A7C0000000F3300F0F000000002B2B333300008C +:10A7D0000000000000000000000000000000000079 +:10A7E00000000000000000000000FFFF3355FFFFE5 +:10A7F000FFFF33B333B3000000000000000000008F +:10A800000000000000000000000000000000000048 +:10A81000000000000000FFFF5F50FFFFFFFFFFFF91 +:10A82000330FFFFFFFFFFFFFCF03FFFFCF03FFFF4C +:10A83000FFFFE11F0F0FFFFFFFFFFFFF7744000047 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000402800012 +:10A8C000080CC0220001C020805400000403402076 +:10A8D000884080200000C02000A20000000000008E +:10A8E0000000000000004020008080010000800087 +:10A8F0000020000300008000000000010000800034 +:10A900000008240000010000C00100000000000059 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000040200000400000000000000AD +:10A9A0000000000000000000000000000403402040 +:10A9B0000080000000010000000000000001000015 +:10A9C0004000000000000000000000000000000047 +:10A9D0000000000000000000000000000000000077 +:10A9E000000001200403C0209CC70000000040209C +:10A9F000004000000000C02000C600190000C02078 +:10AA000000C600000000C02000C6E4110001C02004 +:10AA1000904420130001C02090C700010000800076 +:10AA20000002D2390403C0209C45033004024020B8 +:10AA300008C000000000000000000000000000004E +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA0000000000000000000000000000000810025 +:10AAB000000000018000C42180000001400441200A +:10AAC0008000000028108034000000010010000009 +:10AAD00000000000000000200000000000088010BE +:10AAE000000000010000800000000001000480025E +:10AAF0000000000100004000800000000000000095 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000001000000000020000000A4 +:10AB90000000000000000000000000000000412054 +:10ABA000800000002840400080000000400040007D +:10ABB0008000000000000000000000000000000015 +:10ABC0000000000000000000000000000000000085 +:10ABD000000000000000C321800000011060002080 +:10ABE000000000000040802000000001006080287C +:10ABF0000000000100608020000000010060C038FB +:10AC0000800000010060C42180000001006080021B +:10AC1000000000010020C9288000000110601121FF +:10AC200000000000104000000000000000000000D4 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA000000000000023000000008022C8C7E1402F +:10ACB00057C30001032200000000C0C044C7024087 +:10ACC00003C00000000000000000E0100203E040AC +:10ACD0000400001000030000030000100003C00087 +:10ACE000000000030840030004000010930300006C +:10ACF000C90000000000000000000000000000008B +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD70000000000000000000000000000000C4C04F +:10AD800000000000000000000000000000000000C3 +:10AD90000000C400804000000000000080000000AF +:10ADA0000000000080000000000000000000000023 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000A000000000000000E0004780C80371 +:10ADD00044E3E000000044000000C0000000440024 +:10ADE00000C0000000030200E0C0000047C00000F7 +:10ADF0000000C000E201008013C88000CAE2000326 +:10AE0000F3C1C00000C300000000C023C001C64061 +:10AE100003804400C4E20000E0C000000000000025 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000800000000000008000B2 +:10AEA000001040A0000C0001040000000000101081 +:10AEB0000204042021100000000100000000000234 +:10AEC00004800020000000000080000008800000D6 +:10AED0000080504000000108024102800000000094 +:10AEE0008080000040000000000000000000000022 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000002000000000000000000000000CF +:10AF80000000000000000000802000000000000021 +:10AF900080000000000000008000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC00020020041001000000000000000001000FE +:10AFD00000400000000400000008044000040100DC +:10AFE00008040000000010000401010200008000BD +:10AFF0000410024800051080011800000000100035 +:10B00000804104200902000000020000000400004A +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B08000000000000000000000002E00E43800086E +:10B090000040000000AC08000000626800ACC0186E +:10B0A000160200000000090000000000000000007F +:10B0B0002000000300B000060010200000041180F2 +:10B0C00002000D000048000000000003001040E1F5 +:10B0D00009004800000C00000000002000000018DB +:10B0E000400000000000000000000000000000041C +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000001F00000000000000000000000000C0 +:10B1700000000000000000000000160800BC0C08E1 +:10B180000000008001042C00C002000000042C001C +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000043000006B +:10B1B000001000601801340020400002004A000026 +:10B1C00009000003F0B001000138000000800C000D +:10B1D0000000000360080000011020000048200665 +:10B1E00000002000003C01000000012000380007A2 +:10B1F000C000008200B00C002200000000380000F7 +:10B20000003000000000000000000000020000000C +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000E00C0 +:10B28000603800010048000000381E000000020085 +:10B290000228114100000000000012000000000020 +:10B2A000000000002000000380306C400480420356 +:10B2B00000000C1000001C000030280000004013AB +:10B2C00000000C81010001078001000000000E80D9 +:10B2D000E3381000000100000000000000002C8096 +:10B2E0000530000800000000000000000000000021 +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B350000000000000000E000000000000000000DF +:10B3600000000000000000000000000000000000DD +:10B37000003801EEC00200000800000020000000BC +:10B3800000000C00B0000000000000000000000001 +:10B39000000000000000000000000000000000A00D +:10B3A000E1900000008000008210000020480002B0 +:10B3B00000080080030000036038102003400000F4 +:10B3C000003828000000800B7091002003940800D2 +:10B3D0000034680000000E000000520000000E075C +:10B3E000022C2800000000100800101000000000CF +:10B3F00002500000000000000000000000000000FB +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000C00801A8002001800000000140078 +:10B480000000858200000450010000000008000058 +:10B490000000004000000000280008143010005098 +:10B4A0000400000000006808000080000240010065 +:10B4B0001000000000100000600008202020004064 +:10B4C0000000100000091000000200000000000051 +:10B4D000000001000040000000000000000000002B +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B54000000000000000000000000000008040013A +:10B5500000000000000000000000000000000000EB +:10B560000000800000000008000000000000000053 +:10B570008000000000000200000000000000000049 +:10B5800000000000000000000000000000000000BB +:10B590000000000020080000000000802060000083 +:10B5A0006818001400002040000000142091005092 +:10B5B000004400000080080000000008200800008F +:10B5C00000040000000000000000200000800000D7 +:10B5D00000000110003000002000000000103820A2 +:10B5E000880000000C42280000020000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B66000000000000000080060801C0600300000A0 +:10B6700000900C000000084206000C47100100007A +:10B6800000000000000000800100000000000045F4 +:10B690000080A088A10040250800000320000C00C5 +:10B6A00003000C005000081B08000C075000220784 +:10B6B000602C1400000800000000000808080000CA +:10B6C0000000000000000280018000000000000077 +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B7300000000000000000000000000000000C00FD +:10B7400000300000000000000000000000000000C9 +:10B75000000000000000009F088C0C00E0000000CA +:10B7600008000000000000200A0000001000000097 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000650000000000080E3 +:10B790009134000000108003008014C00100800379 +:10B7A000800A20400048000000081E00000000053C +:10B7B000008200C001B0000000404000000008000E +:10B7C000000800000000402300100100000080403D +:10B7D000003410C0A4020080E38C020000080000C6 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000280060008116C9 +:10B86000003400000012010000008842000C5C2F30 +:10B870000200000000002D00000000A001000000F8 +:10B8800000004E5000300000F000014000703C000D +:10B89000500220D001000000A00020800170702024 +:10B8A000A0000C00000840960130000000000000DD +:10B8B000A030000000000000000000100030000078 +:10B8C000F000000000000000000000000000000088 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B9300000003600000000000000000000000000D1 +:10B94000000000000000000000000CA300304E00CA +:10B9500000020080000020000000008001000E00B6 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000120100B4 +:10B98000F000006013087C00008180040000288023 +:10B990000A008004002C3C000240000000780D00EA +:10B9A00000004004000000C00B100000003029001F +:10B9B000000000000004170000000000000A0DC095 +:10B9C0000300004501703C00000000000000100072 +:10B9D000F030000000000000000000000000000047 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000200F4 +:10BA50000000040100000000041400900000100029 +:10BA600024101020102400000024101000141400D2 +:10BA70000250200010400020020000201040000072 +:10BA80000202000001015020020840484422100038 +:10BA90000800080008012000000208000020200023 +:10BAA0000000000000000000000040000000210035 +:10BAB0000000000000000000010000000000000085 +:10BAC0000100000000000000000000000000000075 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB300000000000000000000000000000400000C5 +:10BB40000000400040020000000010000000000063 +:10BB500000040000000400040000000000000000D9 +:10BB60000000000000000000000040002000020073 +:10BB70000020000000004800040000002A00040823 +:10BB8000000800108000280020100008200040005D +:10BB900000000080009040002000208020000080F5 +:10BBA00004024080402980000040028220082228B0 +:10BBB0000080000004010181091080040040088217 +:10BBC0000000400000100004000010000000000011 +:10BBD0000400000000000000000000000000000061 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC40000000000000000009000070000039000042 +:10BC50000000100000190000100000060000300E67 +:10BC6000000E000000007000300A000200000070AA +:10BC70003009007C000A06003000000975740010CD +:10BC8000507008B5541474040820B00824097800D2 +:10BC900000B0002272000004000030000D002C9063 +:10BCA0000000A00002002906000000000D000000B6 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD200000000000000000007000000000000000A3 +:10BD3000000C000009000C000000000000000000E2 +:10BD400000000000000000000000000000000000F3 +:10BD50000000000000000000007000000000000073 +:10BD60000C0008000000000050000406080000005D +:10BD70000000005000080030000004000020000017 +:10BD8000000000100000000000300006000000006D +:10BD9000000000067E0E0D00000800700008000282 +:10BDA000050C000C00000D009005A00508103009DE +:10BDB00000500C1C010CBE9A0E30000C70BC10051B +:10BDC000AD000000000000000000000000000000C6 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000100000000000000000021 +:10BE20000000000000000000000000000000000012 +:10BE300000000000000008000A00000C00000000E4 +:10BE400000000E3000000000000040204004000010 +:10BE500000200000000408400030100000300010F6 +:10BE60000C00001000100001010000008C083030B0 +:10BE7000000030B03008010006D1000100D8360BB8 +:10BE80008108000600301E80000000010000F00064 +:10BE90000006B10000003800000080000000000033 +:10BEA0000C000000000000000C000000000000007A +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF2000400000000031000000003100300C000033 +:10BF30000000000000000000000400000A040004EB +:10BF4000010000007001004000000000000000003F +:10BF500000003000310009000010000070002000D7 +:10BF60000D0000001C500C0000007060100010005C +:10BF700010000000300030D0705000200010300061 +:10BF80001060103030000010700C162010182000C7 +:10BF9000001B0C302000243E00200000000C041880 +:10BFA0000C00A0040030009D0001100000000009FA +:10BFB0000001000000000000000000000000000080 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000080000000000000000000000000018 +:10C0200000000000000000000000300002040000DA +:10C0300000000620000002000000800088000000D0 +:10C040000010302000000000000000000000000090 +:10C05000000200000000000000004000000000009E +:10C06000000000200000000000000000000024008C +:10C07000200000000000040002000080000000001A +:10C0800000000800000000000000008080000000A8 +:10C09000003000020000000000000000020002006A +:10C0A0000000000001000000000C00000000000083 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000001000000000000000000000000000001F +:10C11000000000000000000000000400000000001B +:10C12000000000000000000000020002000000000B +:10C13000000080000000000000000000000000304F +:10C14000800000000000000000000000000000303F +:10C1500000004030003080028000003000320000DB +:10C160008032A0004000800000400040000000003D +:10C1700000000200000080008000010000000000BC +:10C180000000003000304400400000210010040096 +:10C1900000024000000000000000000000800000DD +:10C1A0000C00000000000000000000000000000083 +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000010000000000000000002D +:10C21000000000000000000000000000000080009E +:10C2200000000000000000000000040000003000DA +:10C23000320000000090B00000000000000000008C +:10C2400000000000000800000000000000002000C6 +:10C2500000000000000000000002000000000000DC +:10C2600000000100000000000002010004000000C6 +:10C2700000000000000000000000000000000000BE +:10C28000200000000080000000020000000000000C +:10C290000400040000300000040000000002000060 +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F00000000000008000000000000000000000BE +:10C30000000000000000000000000000000000002D +:10C310000000000000000000000000000004000415 +:10C3200000000000000030000000000000000000DD +:10C33000000000403000000000000000000000008D +:10C3400001000040000020400040308030000040EC +:10C35000004000003040600010003000000002305B +:10C360000000100000000440000030002000040025 +:10C370000000000400000004000832043000004CFB +:10C380000100010400003000000000000000000077 +:10C39000013000000200000000000000000000006A +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C00000000000000000404200000000000000EB +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C4100000000000000800000002081000000000FA +:10C42000000010008002000000202010000000002A +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000002001000000000DA +:10C4500000000000000010001000000400000000B8 +:10C4600000000000000000000004080000000000C0 +:10C4700000000000100000000000000000000000AC +:10C48000000000000000000000200101000000008A +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C500000000080000000000000000000000000023 +:10C51000000000000000000000000000000000001B +:10C5200000000000000000000000000010000000FB +:10C5300000030000000000000000000000000800F0 +:10C5400000000000000008000000000010000000D3 +:10C5500000000000000020000000000000000000BB +:10C56000000000000000000400000014001400049B +:10C5700000000000001000040000000000000000A7 +:10C58000000000200000000000000000000000008B +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C6100000000000000000000000CD01DF1300005A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C64000000000000000FFFFFFFF000000000000EE +:10C650000000FFFFFFFF00000000000000000000DE +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C730000000FFFFFFFF00000000FFFFFFFF000001 +:10C740000000FFFF5F50000000000000000000003C +:10C750000000000000000000000000000000FFFFDB +:10C760003355FFFF3F0C0000000000000000FFFFFA +:10C770007722FFFFAF05FFFF1B1BFFFF5353000096 +:10C780000000000000000000180000000000000091 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C8000000000000000000000000000000004820C0 +:10C810001E200000000000000000000000000000DA +:10C820000000000000000000000000000000000008 +:10C830000000000000000000000000008C0200006A +:10C8400000000000000080240E0000000000000036 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C9200000000000000080240E00000000008024B1 +:10C930000E000000000000000C00000000000000DD +:10C9400000000000000000000000000000000000E7 +:10C95000000000000C0000000C00000000000000BF +:10C96000000000000C0000000C0000000C000000A3 +:10C970000C00000000000000000000400800000063 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000482012204820122000000000000003 +:10CA0000000000000000000000000000000048805E +:10CA100040A84D8040B94C8040B94D8040B94C8011 +:10CA200040B84D8040B94D8040B84C8040B94C80F2 +:10CA300040B94D8040B1000000000000000000003F +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE00000000000000000000000000000004820DE +:10CAF000122048201220000000000000000000006A +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000488040A94C8078 +:10CB400040B94D8040B94C8040B84C8040B94D80D0 +:10CB500040B94C8040B94D8040B94C8040B84D80C0 +:10CB600040B0000000000000000000000000008055 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE000000000000000AB01BF15272277270000DE +:10CBF0000000000000000000000000000000000035 +:10CC0000000033CC33CC9999A5A5C3C3999955AAF3 +:10CC1000A5A533CCC3C3999955AA999933CCC3C35D +:10CC200055AAC3C3999955AAA5A500000000FFFF06 +:10CC30003F30000000000000000000000000000085 +:10CC40000000FFFF47470000000000000000000058 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE00000007171FF00717133330000000000001B +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000FFFF25 +:10CD1000550F00000000FFFF1D1DFFFF77440000BE +:10CD20000000FFFFF30300000000000000003C3C97 +:10CD30006666CC33666666666666CC33CC333C3C4E +:10CD40005A5AAA555A5A3C3CAA55AA5566663C3CC2 +:10CD50003C3CAA55CC33FFFFAF05000000000000AB +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD000000000000000000000010000C000000092 +:10CDE0000402000028000000000080000024000071 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE1000000000000000000000000000000080088A +:10CE2000000080000024000000000000000000005E +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED000000000000000000004030000100000003B +:10CEE0000000000000000000000000000000000042 +:10CEF00000000000000000000000000000008008AA +:10CF00000000800000048009000080000002000092 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC00000000000000000000000400080000000A1 +:10CFD00000000100000000000000800000000001CF +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D01000000080000000000100000000000000008F +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C000000000000000000000004100800000009F +:10D0D0001000000000000000000000000000000040 +:10D0E0000000000000000000000000000000000040 +:10D0F000000080000000000100208000000000010E +:10D1000000200000000000000000000000000000FF +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000016E +:10D1C000000000000000002300000000000000003C +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000040000003B +:10D1F00000000000000000030000000000000040EC +:10D20000000000000000C00000000300000000005B +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000C48000000000000000000000000000001A +:10D2D000000000000000000000000000000000004E +:10D2E00000000000000000000000C000000000007E +:10D2F0000000C0000000000000000000000000006E +:10D300000800000000000000000000000000000015 +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000010000000000000000000000006C +:10D3C00000000080000000000000000000000000DD +:10D3D000000000000000000000000000000000004D +:10D3E000000000000020000000000080000000009D +:10D3F00000000040002000000000100001000800B4 +:10D40000020000000000000000000000000000001A +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000002000000000000000000006A +:10D4C000000000000000000000000000000000005C +:10D4D00000000000000000000000000000001040FC +:10D4E000002000000000100001000000000000000B +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A00000000000000000800030000020011F008B +:10D5B0000030000600000000840010000010000091 +:10D5C0000000000000001D000000000010000080AE +:10D5D000012C0000100012000000000000002010CC +:10D5E000000000000002008000000000D0000000E9 +:10D5F00000300100400000000000000000000000BA +:10D6000000000000000000000048000800000000CA +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000842C2000020000A8 +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D00000080100000000000048000000000000F9 +:10D6E00000000000000000000080000400000000B6 +:10D6F0000000000000011E000000400000000C00BF +:10D7000000000000303808000000000800001C0085 +:10D7100004380040A200480000000040D200000091 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000C004000000C5 +:10D7A00020000E000054400600000000003C020073 +:10D7B000009400000000000000000C0000003C008D +:10D7C000000000400000BC000000000002003C0817 +:10D7D00000000E0002003400200000000000BC0128 +:10D7E0000000008007900C00000100000000000015 +:10D7F00000000000000000000000000000004000E9 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D89000000000000000000000002800000000035D +:10D8A000A0000000000000000000000000000000D8 +:10D8B0000000000000000000000000000000000068 +:10D8C000000000A000000C000800000000000C0098 +:10D8D000080008006000000000000200003000089E +:10D8E0000000000000001400C000000000000C0058 +:10D8F0000000080B00001400C20200A00100000894 +:10D90000D0004003E0000000A00308876100000091 +:10D9100000080000000000000000000000000000FF +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D9900000100000800081000080000800000000EE +:10D9A0002000000000810000000000000000810055 +:10D9B0000000000000008100000000010000810064 +:10D9C0000400010000008100020000004000A0806F +:10D9D00000100401000000000040000000000000F2 +:10D9E0000000000000000000000000000000010036 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000800016 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000001000004000000016 +:10DAC00000001000000022000003000000012200FE +:10DAD00000000000000000000A00020000000840F2 +:10DAE0000000000000000E40000006400000404022 +:10DAF00000000000400001000010000000010600CE +:10DB000014260000000000000000000000000000DB +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB8000000000200A000000A0000C000088000730 +:10DB900000000000800208000030000000000000CB +:10DBA00000000240000000004003020000000000EE +:10DBB0004003024000001400400302000000800007 +:10DBC00000000C00000000004003002000001C00CA +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC8000000000000300000740000000000000004A +:10DC90000000000000000000000000000000000084 +:10DCA00000000000000000000000000000801C00D8 +:10DCB0000000008003801C0000000E00E000000057 +:10DCC00000000800000000000000008003000000C9 +:10DCD000000108A001100000A0010600C001000022 +:10DCE000000100000000C00400000880E80180146A +:10DCF0000000060C60303001000000000000000051 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD7000000000000000004000000000B0020C00A5 +:10DD80000000002F00000000100001000030000023 +:10DD900000000000000008800000000000000000FB +:10DDA0000000000E00000C40000000000000068093 +:10DDB00001000000C000080000000C0E0000000080 +:10DDC00000501700000000000000000000000000EC +:10DDD00000000000000000000000000B0000000038 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE70000000000000000C90033434200002000079 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA00000002100C000002000000100D0000000A0 +:10DEB0000004000F0010000000000000000000C07F +:10DEC0000100000000002C80002E000F00010D80DA +:10DED00000000C80010800800000000AA000002063 +:10DEE00000AC000F004020000030B400F000000043 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000020000000000000002000000BD +:10DF60000000000004000000000040000804000061 +:10DF70000480000000844000000040804082008453 +:10DF800000200000200020804000202000002020F1 +:10DF9000002000000080000008002A000008400067 +:10DFA0000000220000001000088008002010000877 +:10DFB0000000000010000000001800080000000031 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000080000080C0 +:10E0600000000000000000000000080001000004A3 +:10E07000040000000000000000000000000000009C +:10E0800040000080000000000000008020000080B0 +:10E0900028000000000000000040000120000000F7 +:10E0A00000020000000000000000400000008004AA +:10E0B0002000002000009000004080028104400009 +:10E0C000205002080414840804042000242C881022 +:10E0D00008084108080A2000880400080004040415 +:10E0E0000004000000000001040000000000000027 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600008000000000000B00000000000000000F7 +:10E170000D0000000000000D0000000A000E00600D +:10E180000202000600000E0C06020D06000E040935 +:10E1900006040C060600000D02023806080D046095 +:10E1A0007C02080C004D500018150070903C0C0CBF +:10E1B0000E0D0DB00C0D50005070000090BA0035DF +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000080000000000000000000000C6 +:10E250000D000000007000000000000E0C0008001F +:10E260000D000D0070000000000000000000080814 +:10E27000000000000000000000000000000000009E +:10E280000E0900000C00000E000900000000000054 +:10E29000000900000C0E000D000900001000000E27 +:10E2A00050000C000800000008B000000900050E36 +:10E2B0009A0908000C0E000C0005090C9005000DD1 +:10E2C0000C0C6C000808001C380C0000080DB98C00 +:10E2D000000D00000950A0B000B000008000000058 +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000008000000D5 +:10E3400000000000080000000000000000000000C5 +:10E35000000030000004000008100000011410004C +:10E3600000001010103C0038003000002000303059 +:10E3700010003030000010100010000100300000CC +:10E3800000001C000000110000002C000000010033 +:10E39000001000001100010000000000018000508A +:10E3A000000001000000000001000000000000006B +:10E3B00000000080000000000000000000000000DD +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E43000000070000001000001000000000000006A +:10E44000000070001000001000000000000000003C +:10E45000010000000C00000C0C0000000000000097 +:10E46000000001017000000010000010000000001A +:10E47000000000903000003030000000000000007C +:10E480000010000930008000010C004000008002F4 +:10E49000800010800001A10C21000020010020005C +:10E4A0007010100C2C04310011300C0000042400FA +:10E4B0000C041A003524100000003880800C300055 +:10E4C00010040E0000040408000400000000800492 +:10E4D0000C00000000000000000000000000000030 +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E500000000000200000000000000000000000009 +:10E5100000000000000000010000000000000000FA +:10E52000000000000000000000000000800000006B +:10E53000000000008000000000000000000000005B +:10E5400000000000000000000000000000000000CB +:10E550008000020000004020000000000000400099 +:10E5600080000000000040200000020000000000C9 +:10E57000000000000000800000000000000000001B +:10E58000400000000000000000100000000000003B +:10E590000000000000040000000002000000000075 +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000400000000000000000000000000C6 +:10E65000800000000000000000408000000000007A +:10E66000800080000030000080008000000000403A +:10E67000800080004000000000000000000000005A +:10E680000000000000008000004000010000800049 +:10E6900000004000000000000000400000000000FA +:10E6A0000000040000000000000030000000000036 +:10E6B0000000240000000000008020000000000096 +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F0000000000000000008000000000000000012 +:10E700000000000000000000000000040000000005 +:10E7100000000000000000000000000000000000F9 +:10E720003000000000000000300000000000000089 +:10E7300000000000000000000000000000000000D9 +:10E740000000000030000000000020000000000079 +:10E750000010100030000000000010000000040055 +:10E760000000000000000000000030000000000079 +:10E770000000000030000000000000000000000069 +:10E780000000000001000000001000000002040072 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000002000000000000000047 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E840000000000030000000000000000010300058 +:10E8500000000000300030000040000030003000B8 +:10E860000000001030003000200000000100000017 +:10E870000000000000000000000030000010000454 +:10E8800000003000010010000000002001003004F2 +:10E8900000000020010001040000002001004000F1 +:10E8A0000000002080008120000000200D3080004A +:10E8B00080000000000000000000000000000000D8 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E00000000040000002000000000000000000E6 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300010000000000000001000000000000010A7 +:10E940000000000000200000000000000000001097 +:10E9500000000000000000000000000000000000B7 +:10E96000000000000000000010000008000000008F +:10E97000001000000000000000000000002800005F +:10E980000000000000000000000000000000000087 +:10E990000000000000040000000000000000000073 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000080000000000DE +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA6000000000000000000400000000000400009E +:10EA70000000000000000000000400000000002072 +:10EA80000004000400000024000400040000002032 +:10EA9000000400000000002400000020000000240A +:10EAA0000400000000000000000000000000000062 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB000000001D1DFFFF00000000000000000000CD +:10EB100000000000000000000000000000000000F5 +:10EB20000000000000000000000003553F550000F9 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC9000000000000000FFFF1B1B00000000000040 +:10ECA0000000000010000000000000000000000054 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF00000000000000090040C0000000000000074 +:10ED00000000000000000000000000000000000003 +:10ED1000000000000000000000000000000048208B +:10ED20001E200000000000000000000000000000A5 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000C00000076 +:10EE9000000000000000004008000000000000002A +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000048201220482012200000BD +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000048201220000066 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000488040A84C8034 +:10F0600040B84D8040B84D8040B84C8040B84D808D +:10F0700040B94C8040B94C8040B94D8040B84D807B +:10F0800060B100000000000000000000000000006F +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000272277272722CF +:10F110003F3500000000000000000000000000007B +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F150000000FFFF3F300000000000000000000042 +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F00000000000000000000000000000002B2BB9 +:10F200003333000000000000000000000000000098 +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000006666F2 +:10F25000CC333C3C6666AA556666AA55CC333C3C2A +:10F26000CC336666AA556666AA55CC335A5A5A5AA2 +:10F270006666666600FF00000000000000000000F7 +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D00000000000000040200000000000000000CE +:10F2E000000000000000000000000000000000001E +:10F2F00000000000000000000000000000014020AD +:10F30000805000000402000008000000000000001F +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F00000000000040200000800000000000000FF +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C0000000000000000020000000000008000014 +:10F4D000000000000000000000000000000000002C +:10F4E00000000000000000000000000000004020BC +:10F4F000800000004000010000000000200000002B +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000010000000000800000009A +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000E00000000000000000000000000000005A +:10F6D000000000000000000000000000000000002A +:10F6E00000000022000100000000002300000000D4 +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D000000000000000000000000000C400000065 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000800030000CD +:10F8300004000008000300000400000000030000B2 +:10F84000080000080003000004000000000300009E +:10F8500008000000000000000000000000000000A0 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000100000000000027 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA20000080400000000000008040000000000056 +:10FA30000080000000000000008040000000000086 +:10FA400000A0000000020000000000000000000014 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000300000000000000000000000053 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000040D8037A200081 +:10FAD00002002E000000C00700000000000000002F +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB10000000002000100000400000000000000075 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC00000000000000280000000000000000000B3 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000002024000000000A0 +:10FC20000000000000108000000020C0030C000055 +:10FC3000D000002004000020004000000000000070 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC900000000000000080000000000004000000E0 +:10FCA0000000000000000000000000000000000054 +:10FCB00000000000000000000000000000000080C4 +:10FCC0000338284008020E00003410010000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD0000000000000000000000940000000100005E +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB000000000000000000000380001000000000A +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000002000000000020000000D0 +:10FE10000E000C0000002C20024000000000020038 +:10FE20000000300000000000E2000C0000080000AC +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE8000000000000000000000000008000000006A +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB00000000000000000A000008500000000001D +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000001000000000050 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF000000000000000000000008300000000007E +:020000040001F9 +:100000000000050000000200000081000200000066 +:1000100000008000002004000000010020002200F9 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A00000000000000080AA0914020000000C00FB +:1000B0000000820E000000000000000000000000B0 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E00000000000000000000000000000000020F0 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000012004D +:1001A0000000000600000000000000000000000049 +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E00000000000000000000000000000000CA063 +:1001F0000940000000000C20028080004000020046 +:1002000000800000000000000830000F00000C40DB +:10021000C03C800040000000000000000000000022 +:1002200004000000000000000000000000000000CA +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:100270000000000700000000000000000000000077 +:10028000000000000000000000000000000000006E +:10029000000000000000000000000082010000409B +:1002A00018020C0000000C0000000000000000001C +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:1003900000000E00000080000000000000000000CF +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E00000000C8001380020F00000000028000F01 +:1003F00000020C8000000000F0020C0000320C2013 +:10040000500000400000001000020000000000004A +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000020000000000000000001000000000006A +:1004800004000000000000000000008040000000A8 +:100490000400000000000000000000000000000058 +:1004A000000000000000008000000000000080004C +:1004B0000000000000000000000010000000040028 +:1004C00000000000080840000000000808000800C4 +:1004D00008000004080820000000000000000000E0 +:1004E0000000000008000000010000000000000003 +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A00000000000000000800000000000000000CB +:1005B00000000000000000000002000000800000B9 +:1005C000008000000000000080000040800000204B +:1005D000002028082000004048000008002800886B +:1005E00008000804420000080A82008008281C0451 +:1005F00008080880000008080000000000800000D3 +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:1006600000000000700000000000000000003000EA +:10067000000000000000000000000000000000007A +:10068000700000000000000000000000000000906A +:100690000000500000005000000050000009000061 +:1006A000000000000C000C0D00000000800A10800B +:1006B000050000000D0900000C0C05000009900861 +:1006C0000C000C0D08091000080800B00000100014 +:1006D0000000000000001000080000000000000002 +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000001010000000000000000000097 +:100760000000000000000000000000000100000088 +:100770000000000000000000000000000000000079 +:1007800000000000500000000000300000000000E9 +:100790000000000000000000000000000000000059 +:1007A0000000000E010100000A0C00090001000D0C +:1007B0000000000101010071000D0000A005000112 +:1007C00000A0000009000404090E0800080000044D +:1007D00000040004040104000E0800840400010069 +:1007E00004043C000408040D0C00000400AE0030BA +:1007F000AD05000050000070700000000000000017 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:100840000000000000004000000000000000000068 +:100850000000000000000000080000000000000090 +:100860000000000000000000000000000000000088 +:1008700000000030300070000000000000000000A8 +:100880000000000000000000000000000000003038 +:100890000000000000001000010001000000000046 +:1008A0000000000000000C0000000000000010002C +:1008B00000000000000000000000800C000030007C +:1008C0000000800000000000000080000000000028 +:1008D0000C0000000000000000000000000000000C +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:1009700000000000000000000000000000008000F7 +:100980000000000000000000000000000000003037 +:100990000000000000000000000000000001000056 +:1009A000000800000010000070107000000000003F +:1009B00000000030004100107030100010000110E5 +:1009C00030000000001070100000000C180B0E002A +:1009D0000000502000300C08705000200F0006006E +:1009E0000000008000200000000000000000000067 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000030000000000000A6 +:100A200000000000000000000000000000000000C6 +:100A30000000000000000030000000000000003056 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000030000030000000000026 +:100A70000010000000000000000000000000000066 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000200000000000000000034 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B10000000000080000000000000000000000055 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B40000000000040000000000000000000000065 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000040000000001000000000000025 +:100B80000000000000000000000000000000000065 +:100B900000010000000000300000000000000030F4 +:100BA0000000000000300000A03000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD00000000000000000000C0000000000000009 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C00000000000000000000000000000080000C58 +:100C100000000000000000000000000000000000D4 +:100C20000000000000000000000000400000000084 +:100C30000000004000000000000000000000000074 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000400054 +:100C60000000000000000000000000000010000074 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA000000000000000000001000400000000003F +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D30000000000000000000300000000000000083 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D600000000000000000003000000000900000C3 +:100D70000000000000000000000000000000000073 +:100D800000000000000C0000000000400000000017 +:100D90000000004000000200004000006040000031 +:100DA0000000000000000000000000000000000043 +:100DB000000000000008000000000000000000002B +:100DC0000000000000000C000000000041300000A6 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF0000000000000000000000000000000008073 +:100E000000000004000000000000000000000000DE +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000000000000000000000001000001082 +:100E50000000000000000000001000000000000082 +:100E60000020000000000000000000000000000062 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E9000000000000008000000000000000000004A +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000020000000000000000000000000000061 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA000000000000000000000040000000000003D +:100FB0000000000000000000000004200000080005 +:100FC0000020000000000000000000000000000001 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:101160000000000000000000000000000000FFFF81 +:10117000FFFF000000000000000000000000000071 +:10118000000000000000000000000000000000005F +:101190000000000000000000000089440F0F1BE069 +:1011A0000F0F0000000000000000F9F23333FFFFD2 +:1011B000FFFF41150F0F00000000000000000000BD +:1011C0000800000000000000000000000000000017 +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000080040C0000000000000000000000ED +:10137000000000000000000000000000000000006D +:1013800000000000000000000000000000004820F5 +:101390001E2048201E200000000000000000482001 +:1013A0001E2000008C0248201E20000000000000CB +:1013B00000000080000000000000000000000000AD +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:1014700000008004000000000000000000000000E8 +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000488040A84D8040B94C8029 +:1015800040B84D8040B94C8040B94C8040B84C8048 +:1015900040B84D8040B94C8040B148201220000036 +:1015A00000000000000000800000000000000000BB +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000FFFFFFFF0000000000007E +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:1017400000000000000000000000FFFF77440000E0 +:101750000000000000000000000000000000000089 +:101760000000000000000000000033CC33CC55AA7C +:1017700055AAC3C39999A5A5A5A533CC999933CCEE +:1017800033CCC3C3C3C3A5A5999933CCA5A5232442 +:10179000FF0000000000000000000000000000004A +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000005000000100000001000036 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000004A3 +:1019400000004020008000000000000000000000B7 +:101950000000000000000000000000000000000087 +:101960000000000000008003000080000000003440 +:1019700000004020004000090000800000049009A1 +:10198000000180009007000000000000000000003F +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A400000000000000000000000400080000000D6 +:101A5000080000000000000000000000000000007E +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B30000000002000000000004000000000000045 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000080000000000104 +:101B60000020002000000000004080000000000174 +:101B70000020C000800000010020000000000000E4 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C4000000000C8000000000000000000000000CC +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000C00000000000000000F3 +:101D300000000000000000000000000000000000A3 +:101D4000000000000000C0000800000000000800C3 +:101D5000C00000000000C000084000000000C000FB +:101D60000800000000000840008080000000000023 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000400000000BD +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000010000000000A0 +:101F4000000000000140000000000100002000002F +:101F5000002001000000000000400040010280005D +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000001000000000000000000000000000AF +:1021200000000000000000000000000000001D8012 +:10213000032C000000000000682C800020020844EE +:102140000070000000000000F02C00090060028018 +:102150006104100800000000000000000000000002 +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000001000000000000000000CC +:1023100000000000000000000000000000000000BD +:1023200000000C800500340000000000000C3401A7 +:10233000C01481A0000010A00100000000003406BD +:10234000D00400E010001108D034000000000000AC +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000011000000000100000000CA +:1025000000000000000000000000000000000000CB +:1025100000000000000081000000000010008100A9 +:10252000800000003004A080000002010000810053 +:10253000082080001006844000080201008000008E +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:102600000000000000401400000000000000000076 +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E000000000000000000000000C0000000000DE +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100010000000C0001D0010400C330A00020031 +:102720000000000002301C0010300000C00020003B +:10273000C0320000000000000000000000000000A7 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F0000000000000000000000840000000000091 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000800D0 +:1029000000000000E000080074701116E0008880EC +:102910000100000E04000800D0320100E000088031 +:102920006D00000E0000000000000000000000002C +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000400000000020041 +:10299000000000000000040000000001040000002E +:1029A0000000000000000004000000001000000013 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000080000000000000000DF +:1029F00000080000000000000000010000000000CE +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000004000000000000F2 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000800008080CE +:102B0000008000000049881000008048000808008C +:102B100000000002000000000000000000000000B3 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000001000000000034 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD0000000000000000A000000040800000000DF +:102BE00000000005000C00090000000000000000CB +:102BF00000000000000000001000000100000000C4 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000010000000000000033 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000C00000008 +:102CC0000000000000000000000000000000000004 +:102CD00000000000500000000000000000000000A4 +:102CE000000000000000000D02020000000000844F +:102CF0000202000D00000000B00804300610010CB4 +:102D0000021C08000601090A0610000000800000ED +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D7000000400000000080A0000000000000C0031 +:102D80000000000808000000000000000000000C27 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000010000000000000000000000000012 +:102DC0000000000000000000000000000000010002 +:102DD00000000000000000000000000000000000F3 +:102DE0000000000000000000000000008000000063 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000100000000000000800000000000000091 +:102EC00000080000000000000000000000000000FA +:102ED0000000700000000000000000000000000082 +:102EE0000000000000003000003000000010100062 +:102EF00000D03030008000000000000C0080000096 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F6000000000000000000200000000000000005F +:102F70000000000000000000000000000000000051 +:102F80000000004000000000000000000000000001 +:102F900000000000000000000000800000000000B1 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC00000000C00001000000000000000000000E5 +:102FD00000000000000020000000000000000002CF +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000B00050 +:1030D00000000000000000000000000000000002EE +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000800000000000008F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000400000000000000001A +:1032B000000000000000000000000000000000000E +:1032C000000070000000000000000000000000008E +:1032D00040000000000000000000000000000000AE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:10331000000000000000820100000000000000002A +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000001000000000004D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A0000000000000000000000008000000000015 +:1033B00000000000000000000000000000001000FD +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:103490000000000000000000000000040000000028 +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B0000000455A33330000000000000000000006 +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000018000000D2 +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A00000000000000048201E2000000000000073 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C0000000000000000000000000000000008078 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:10392000000000A01228DDA452B8DDA452B8DCA427 +:1039300052B9DDA452B8DCA452B9DDA452B9DCA45A +:1039400052B8DCA452B100000000000000000000EA +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:10399000000000000000000000004820122000008D +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A900000000000000000000000000000004820BE +:103AA000122000000000000000004820122000004A +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B1000000000000000FFFFFFFF66669999A5A561 +:103B20009999C3C3A5A5999933CCC3C39999999978 +:103B3000A5A5999933CCC3C39999FFFFFFFF000056 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000A11E76 +:103B900033330000000000000000000000000000BF +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C9000000031A533330000000000000000C926F9 +:103CA00033330000000000000000000000000000AE +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000004030000AC +:103D10008800000004030000040100000403000008 +:103D2000240100000403000044010000040200001C +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000032004024020048000000000000026 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E800000003000000100001001000000000000F0 +:103E90000000020004020000040000000000000016 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF0000000000000000000000000000000410081 +:103F000080000000280041008000000028004100DF +:103F1000800000004000410080000000800001009F +:103F20000000000020000000000000000000000071 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000001128000000008008000080 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000004000800000000000000080 +:10408000000000000000110000000000100000000F +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000080000000C0C80000C800C0C00070 +:10410000800000C800C0C0008000008000C8C4005B +:10411000C000000000C00000C4000000000000005B +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000C46300C068 +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000008000804E +:10427000000000000000000000000000C40000007A +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000020000002000000000AC +:1042F000002080008000000000208000800000027C +:104300000000000080000002000200000000000029 +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000002000200000000000000000000000000D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:104460000002000200000000000000000000000048 +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E000000000000000000020012000000000008B +:1044F000000000000000000000000000000080003C +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:1045500000001008008095400500000000000000E9 +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:1046500000000080003C000000000000000000009E +:104660000000080000802020000000000000000082 +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D000000000000000000000001C0620000E008A +:1046E00000001C00C00200A001005C0000000000EF +:1046F00000000001000000000000000000000000B9 +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:1047400000000000000000000038018601000000A9 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000001028001000000020 +:104850000000000000000000000000010000000057 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C0000000000000100000000020000010100890 +:1048D00020002800081010000000800002101000C6 +:1048E00000000000000800000000000000000000C0 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000473 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A300000000000000000000000008000400000B6 +:104A40000000000000000000000040000000000026 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB000000000000000006000000800C0000000CE +:104AC00008408000000000800900800000000020F5 +:104AD0000000000EE0001C000000000000000000CC +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B200000000000000000000000000000002C0059 +:104B3000000C224104000000000000000000000002 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000004044 +:104C3000032C2000A002000000000000000007007C +:104C4000000000070000000000000000000000005D +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA00000000000000000000000004000000100C3 +:104CB000500200000010000FD000080000000000AB +:104CC000D0000200000400200002200000000007C5 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000160D00000000000000000000000060 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000006000000000000000000000000022 +:104E300000002600005000200000000000000000DC +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF0000000000000000080000000000000000032 +:104F00000000000000080800000208040000180863 +:104F10000000004000000004000000000000080045 +:104F2000000004000000000000000000000000007D +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000800000000000800000000000A0 +:105010000000080000000000000000000004100074 +:105020000040804000000000000008000400080864 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A00000000000000000100000000000B0000040 +:1050B0000000B000000000000000000000B0300060 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F0000A090000000000000A0408000000040083 +:10510000000128040000000000000000000000046E +:105110000000080000000000000000000000000087 +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000040000000D00000000008D +:105210000000100900010100000D0C00000E040E3A +:1052200000BDB80430000000005000000000000085 +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000080000000008E +:1052A00000000000000000000000000000000000FE +:1052B000000080000000000000000000000000006E +:1052C00000000000000000000000000000000000DE +:1052D000000000000000000000000000000000309E +:1052E00000000000000000000000000000000000BE +:1052F000060800080000000006000016000000007C +:10530000060000010000000000000C060000000084 +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000003000000000000000000000000E006F +:10540000000000000A0800000030101000000F002B +:105410000000000008000000800000000000000004 +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:1054800000300000000000000000000000000000EC +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000100000000000000000000000000000AC +:1054F0000000000C00000200200C020E0208000058 +:105500000200000000000000000000000000000099 +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000800000000000000C3 +:1055E00000000000000000000000000000000000BB +:1055F000200000000000000000000000000000008B +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:105670000000000000B0000000000000000000007A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D000000000000080000000000000000000004A +:1056E00000000000000000000000080000000804A6 +:1056F00008020C000C000000000000000000000088 +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000001000000D8 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:1058300000008101000000000000000000000000E6 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000002000000000000018 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000001000000800000000B0 +:1058E0001008000800000400000000000000000094 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000001000000000000000B7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB0000000000000000000000000000000C130F5 +:105AC0000F0F000000002B620F0F0000000000000D +:105AD000000000000000000000002312333300002B +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000005F5FFFFF0000000000000000000009 +:105BE000000000000000FFFFFFFF000000000000B9 +:105BF000000000000000000008000000000000009D +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB000000048201E200000000048201E20000098 +:105CC000000000000000000000000000000048206C +:105CD0001E20000000000000000000000000000086 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000090040C0000000000000033 +:105DD0000000000000000000000000008C02000035 +:105DE0000000000000000000000000800000000033 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA000000000000000000000000000000048208A +:105EB0001220000000000000000000000000482048 +:105EC00012200000000000000000000000000000A0 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB000000000000000488060A94F8060B94F8059 +:105FC00060B94F8060B94F8060B94F8060B94F8031 +:105FD00060B94F8060B000000000000000000000C9 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000003BC90F0F00000000000000000000CE +:1060B00000003DCF0F0F00000000000000000000B6 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A000000000000000000000000000EA5FBA7478 +:1061B00076DD0EE7625A6E3C103CB699DCC394C3A0 +:1061C00094A5BEEA98221451093100000000000095 +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:1062800000000000000000000000000000000010FE +:106290000000402000800000040240202490000004 +:1062A0000000000000000000040280000020000048 +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:106390000000000000000000000000000000800875 +:1063A000000080000000000000000000000000006D +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:1064800000000024000000000010032100000000B4 +:106490000000000000000000000089100000000162 +:1064A00020000000000000000000000000000000CC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:10659000000080000000000100200000000000005A +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:106670000000000000000000442200000000004074 +:1066800044E30000000000000000000000000000E3 +:1066900000E3000000000000000000000000000017 +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000010C00000000000001029 +:10679000000000000000000000C0040000000040F5 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:1068700000000020002000000000000000000000D8 +:106880000000004001200040000000000000000067 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000001002000005 +:1069800000000000000000000000000000400000C7 +:106990000000004080200000000000000000000017 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000F27 +:106A60000004100000001008008000180000000062 +:106A70000000000000000C0068880C3800B0000026 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B600000000000000000000000000000000040E5 +:106B70000042000400000C8001084004A0000EC088 +:106B800001080000000002400000000000000000BA +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C5000000000000000000004000000003800C335 +:106C600000000000000000000000000000402806B6 +:106C700000B0000000000000000000000000000064 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D6000000002201A00200850012DC00588940C54 +:106D700050002FC00708680008021200020000003F +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000008000000000832 +:106E50000000C930000000000000000000008400B5 +:106E6000000008000000000000000000000000001A +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F5000000000000000024080000000000000006F +:106F60000040000080008100005000080000300058 +:106F70000F10800228000000000000000000000048 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:10703000000000000000000000004014003800C004 +:1070400004005004000C114100000000000000008A +:107050000000000000022107007000000000000096 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:107140000000000000000000000002200000000815 +:10715000100023600000A80810010020080080082B +:107160001001120002400007E000000000000000D3 +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:107230000002160000000E07000010000000000011 +:107240000000000000000000002C10200080000062 +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:1073300000000000000000000000000000002040ED +:1073400010480000F0000240000000004000000073 +:1073500000000000000002400038002FF002000092 +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000800000001000100000000000000183A +:107430000000000000040809000010000000000027 +:107440000000000000000000000000040000000038 +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:1075200000000000000020000000002000200000FB +:107530000000282800000000000000200220028037 +:1075400000000000212200000002000000000000F6 +:1075500000000000000000000040000000000000EB +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C0000A000000000000000000000000000000B1 +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:107610000000000000040800000000000009000055 +:1076200000000018000000B99000B8080010200009 +:1076300000000010000000007000000000000000CA +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000C0000000000000000000000006D +:107710000000000000000000000000000000000069 +:107720000000000000000000020E00000D09090E1C +:1077300000020E0A0000000E0D0A010E06003000C5 +:10774000060E0C0A080D00000000A000005000000A +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000001000008000C63 +:107810000000000000000E50000000000004000CFA +:107820000080000000000080000000000000000058 +:107830000000000800000000000000000000000040 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000001000000000000000087 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000003004300C0000000000101C0000BB +:1079300000008000000001000100000000000000C5 +:107940000010000000000000000000000000000027 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E000000000000000000000000000000008008F +:1079F0000000000000000000004000000010000037 +:107A00000000040000002000040804080000000E2C +:107A1000000C860800000008020080000000000042 +:107A20000000000000000000000001000000200035 +:107A30000000010000000000000000000000000045 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD0000000001000000000000000000000000096 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000400000000000000000000000000035 +:107B10000000000000000000000004000000000061 +:107B20000000000200000000000000000000000053 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB0000000000040000000000000000000000085 +:107BC0000000300000000000000000000000000085 +:107BD000000000000008000000000000000000009D +:107BE0000000010000000000000000000020000074 +:107BF0000080000000000000000000000000000005 +:107C00000000000400000C0000000000080000005C +:107C10000000000000000000000000000000040060 +:107C20000000400000000400000000000000000010 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC0000000000000000040000000000000000074 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000100020070 +:107D1000000000000000000000000000000000045F +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000C00073 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000020000000000000000000A3 +:107DC00000000000000000000004000000000000AF +:107DD00000000000000000000000000000000000A3 +:107DE000000000000000000000000800000010007B +:107DF000080808080000000800080808000000083B +:107E00000000000000000000000000000000000072 +:107E10000000000000000200000000000000000060 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F1000000000040000000000000000000000005D +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD0000000000000000000000007A00F0F0DD1FE +:107FE0005555EFC3555585990F0FE31E0F0F6B5A6B +:107FF00055552324555549FF0F0F00000000000080 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:108110000000000008000000000000000000000057 +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C0000000000000000000000000000000482047 +:1081D0001E2048201E2048201E2048201E20482007 +:1081E0001E2048201E2048201E2048201E2000005F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:1083000000000000000000800000000000000000ED +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000488040A94C8040 +:1083C00040B84C8040B94C8040B94C8040B94C809A +:1083D00040B84C8040B94C8040B84C8040B94C808B +:1083E00040B000000000000000000000000000009D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C000000000A01228DFA472B9DFA472B9DFA4F3 +:1084D00072B9DFA472B9DFA472B9DFA472B9DFA4E4 +:1084E00072B9DEA472B000000000000000000000BD +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000003C3C53 +:1085B0005A5A3C3C3C3C3C3CAA5566666666CC3309 +:1085C00066666666CC336666AA55CC33CC33CC334C +:1085D0006666CC3366660000000000000000000004 +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B000000000000000FFFFFF000F0F555533338F +:1086C0000F0FFF005555FF00333355550F0FFF00B7 +:1086D000333355550F0FCCCCAAAAFFFFFFFF000084 +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A0000000000000000000000000110000C020D8 +:1087B00000A200020000C020009200040000C020BF +:1087C000008A00000000C02000200000000000001F +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000004030000C1 +:1088B0008C01000004030000C80000000403000055 +:1088C000A80000000403000088000000040200006B +:1088D0000400000000000000000000000000000094 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:108990000000000000000000000000000000803423 +:1089A000000000010010802100000001000480226E +:1089B00000000001000880340000000100100000E9 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A90000000000000000000000000000000410095 +:108AA000800000002800410080000000800041009C +:108AB000800000004000410080000000280001000C +:108AC0000000000020000000000000000000000086 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000040440000000000004044000000CD +:108BA00000000440440000000000000000400000FD +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C9000000000C00000DB8083C000C800C3C7C064 +:108CA00083C000C000C08000C80000C000C08000B9 +:108CB000C800000000C00000C40000000000000068 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D80000000000000000020004000000000002063 +:108D900000400000000000200040000000000040F3 +:108DA00001200000000000000000000000000000A2 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E8000000000000000002000000002842000001C +:108E900000030402C0200002002080000000000245 +:108EA000002080000000000200020000000000001E +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F7000000000000010000AA000000FF07900209F +:108F8000040416022078000000000113607800003D +:108F9000040416022002000000000000000000008F +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:10908000000000000000000E0000000000000000D2 +:10909000E000000000000000E00000000000000010 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:10916000000000000000000000804003A802000092 +:10917000600000410040000C0000008005300C80C1 +:10918000830100400040001C001200200114000078 +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000E00000000000000000001000FE +:1092800000000000000010000000000000000000CE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:1093500000000000000000000000004000001008B5 +:109360000000100880A034100004010644201010F2 +:109370000044000CC10434000080010201201100EF +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000001000000000000800000001000002E1 +:10947000000020000000000020002000000000008C +:1094800020000000000800000000000000000000B4 +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:1095400000000000000000000000000000001020EB +:1095500000000008000010A3083010C8E860188060 +:10956000680014C8E06010830B800CCF0460180002 +:1095700063000DC800600000000000000000000053 +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:1096500000000000000000000000000000001000FA +:10966000000000000000000000000000C00000003A +:1096700000000000C000100000000000000000001A +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:1097400000000C800000000000008C07700010007A +:10975000A0020C277801560000028C2770300C20E4 +:1097600000000C122A010C00F404000000000000AC +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:10985000D000000000000000D00000000000000068 +:1098600000020000000000000002000000000007ED +:1098700000000000000000000000000000000000E8 +:1098800000000000020000000000000000000000D6 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000010100441000000101010000040100032 +:109950000010100000003000001010000400100083 +:1099600000101001000001000100040000000000D0 +:1099700000000001000000010000000000000000E5 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A400000000000080000000400000000001000FA +:109A500004000000000000000000000000000400FE +:109A600040000000000000000000000000000000B6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE000000900000000000000000000010100006B +:109AF00000008000000000000000000000000000E6 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000002202000080D0000122020007C +:109B4000005020000C202000005D205092202000BA +:109B500000502000902020000000000000100000B5 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C400000003009000000000000000000000000DB +:109C5000000000007000000000000000090000008B +:109C60000000000000000000000C000000000000E8 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D200000005000000000000000000C11000000C6 +:109D30000000000000100000010000000000100002 +:109D4000000000000C0000000000000400000400FF +:109D50000C800C0000000000000000040000000067 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E200000000000000000000000000000000E0024 +:109E30000000000000000000000000000000000022 +:109E400000000000000008003000000000000000DA +:109E5000000000000000000000000000000F0000F3 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000001072 +:109EE000000000000000000800000000000000006A +:109EF0000000000000000000000000000000000062 +:109F000000000000000000000000000000008000D1 +:109F10000010000000000000001004000400800099 +:109F20000000000004000000000000000400000029 +:109F3000000000000400000000000000000000001D +:109F400000000000000000000000200000000000F1 +:109F5000000C0000000000000000000000000000F5 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000080000000000000048 +:10A010000000000000000000080000000000040034 +:10A02000200000000000040000000000000000000C +:10A030002000000000400000003000000000000090 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D000000000400000000000000002000030000E +:10A0E0000000000000000000000000000000000868 +:10A0F0000000000000000000000000000000000060 +:10A1000000000000000000200100000400800000AA +:10A110000100000400000000010000040000000035 +:10A120000100000400000000010000040000000025 +:10A130000000000000000000000000000000400CD3 +:10A14000000000000001000000000000000000000E +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000010000005E +:10A20000000000000000000000000000010000004D +:10A210000008000000000000000000000000000036 +:10A2200000000000000000000020000000B000005E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000010100000000EC +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000020000000000000000000000000005E +:10A2E000000000040000000000000000000000006A +:10A2F0000000000000000000001000200004000426 +:10A300000000080000040004000000000004000435 +:10A31000000000000004000400000000000400042D +:10A32000000000000000000000000000000000002D +:10A330000000820400000000000000000000000097 +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A400000000000000040800100000000000080028 +:10A41000000000000000000010000000000000002C +:10A42000002000000000000000000000000000000C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A8200000000080000000000000000000000000A8 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E000000000000000488040A84D8040B84C8027 +:10A8F00040B84D8040B84C8040B84D8040B94C8045 +:10A9000040B94C8040B84C8040B1000000000000CD +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E00000000000000000000000482012200000CD +:10A9F0000000482012204820122000000000000023 +:10AA000000004820122000000000000000000000AC +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000055AA9999A5A5FB +:10AAE000C3C3C3C39999A5A5C3C3999933CCA5A5DD +:10AAF00055AA9999999933CCC3C333CC9999FFFF3F +:10AB0000FFFF000000000000000000000000000047 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD00000000000000000000000000000001588D8 +:10ABE00033330000000037CA3333CD243333000041 +:10ABF0000000000000000D5A555500000000000044 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000700013 +:10ADD00000010000900010000001000010010200BE +:10ADE00004020000080070000001000010010000D3 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000400080000000000040008000000001 +:10AFD0000000110000000000100040008000000090 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000800C000000000000800C0 +:10B0C000C000000000200820C000000000000800B0 +:10B0D000C000000000000800C000000000000000E8 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000080000000000000000F +:10B1C0008000000000000000C4000000000000003B +:10B1D00080000000000000000000000000000000EF +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000010000009D +:10B2B0000000000001020000000000000102000088 +:10B2C0000000000001020000000000020100000078 +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000800000001D +:10B3B000000000008000000000000000000000000D +:10B3C00000000000800000000000000000000000FD +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000800A4 +:10B4A000000040001002000000100020C00000005A +:10B4B00000104000000200400210000030001C009C +:10B4C0000008000000000000000000000000000074 +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B59000000000000000000000000000000000406B +:10B5A000013C0000000000400030000000000200EC +:10B5B0000030002000000040003C010000000000BE +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B690000000100000000C00C000000000000001CD +:10B6A000A0020E2002000C00200000000000140088 +:10B6B0000000000000001400000000000000000076 +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B790000000004000000000B0000000086C000045 +:10B7A00050000000007C000300000000003C00008E +:10B7B0005000000000000000000000000000000039 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B880000000000000000000000000000000800038 +:10B8900000100000800090000010400000008000B8 +:10B8A000001002000000010000180200000000006B +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000004000000000000B3 +:10B99000020000000000C0000000000000000000E5 +:10B9A0000100000000000000000000000000000096 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA70000000000000000000000000800000000046 +:10BA80001000008000004000A00200000000000143 +:10BA9000180022400040000F0000000000000008D5 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB70000000000000000000000000200A28000073 +:10BB80000000002002000000D0002800000000009B +:10BB90000000004000302C001001000000000000F8 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000120B3 +:10BC7000000020210000012000000006000001005B +:10BC800000000000A000005001380120000010005A +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD60000000000000000000000000000000008053 +:10BD70000000000000020040010000000000000080 +:10BD800000000020000000400080000020000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE40000000000000000000000000000000008072 +:10BE500000000000000008000000000000000020BA +:10BE60004080000000808000000000801000000082 +:10BE700008880898089088200008011000900000A9 +:10BE8000000000120010000200020010001000026A +:10BE90000000000000100100210000000000000070 +:10BEA0000100000000000000000000000000000091 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF60002000202000000000000020200000000031 +:10BF70002000000000000080000000000000000021 +:10BF800000100000000000000000000000000000A1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C04000000000000000000908000C0000000000D3 +:10C0500000000000080000004C4000000004000048 +:10C060002000000008680C2C08200800000C20208C +:10C07000022000000020002000200000000000201E +:10C080000020000000000000002000000000000070 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C160000000000000000000000000000900700056 +:10C1700000000000001000000000000000000000AF +:10C18000000000000070000000000000000000003F +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000001000000FD +:10C24000000000000000003031000000010000008C +:10C25000000100100000700000100010701010109D +:10C26000700080000010000000800008700070085E +:10C2700000080000000000080000000000000C00A2 +:10C280001400000000000000080000000000000092 +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C34000000000000000000020002020000000008D +:10C3500000002020000000003A000E000000003025 +:10C3600000000000000000000A00000000000000C3 +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000200008B +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000100000000000000000000000000C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000030000000000000000000000000BC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A0000008000000000000000000000000000083 +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C6000000000000000000400000000000000000EA +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C8200000000000000000000000001000000000F8 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE100000000000000000000000488040A94D8094 +:10CE200040B94C8040B94D8040B84C8040B94D80ED +:10CE300040B94C8040B94D8040B94D8040B94C80DC +:10CE400060B10000000000000000000000000000D1 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D0000000000000000000000000000000003C3CA8 +:10D01000AA555A5A6666CC33AA5566663C3C3C3CD7 +:10D0200066665A5A5A5A6666AA5566665A5A5A5ACD +:10D030005A5A3C3C00FF00000000000000000000C5 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E00000000000000000000000C0000800000073 +:10D5F0000000C000080000000000C000080000009B +:10D600000000C0000400000000000000C000000096 +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000010048 +:10D7E0000000000000000100000200000000010035 +:10D7F0000020000000000100000000000000000008 +:10D800000100000000000000000000000000000017 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000020000002000000000025 +:10D9E000200000000000402020020100000800008C +:10D9F00030000000000000000001000000000000F6 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC00000000000000002000000000010001C0027 +:10DBD000003C28000000000000001001C0000C0004 +:10DBE00000000C000000008000000C03000000009A +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000010000000200132 +:10DDC000140002000000000080000000000020009D +:10DDD0002000804000100204000000000400100B2E +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000012050 +:10DFB00003000000000001000600080810002F0008 +:10DFC00000000100100100000000400300010040BB +:10DFD000000020060000000000000000000000001B +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000002000000000000000840030001041D +:10E1B00000000200002C140FC000008000000006C8 +:10E1C0000002004000008006000000000000000087 +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E3700000000000000000080088000040000800C5 +:10E3800000888000000008800088000000002880CD +:10E390000008002000800000000000004010008005 +:10E3A000200000800030000000000000400000005D +:10E3B00000000000002000000000008000000000BD +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E56000000000000000000000000008000800009B +:10E57000000008000A0C0008000008000A0C090A44 +:10E5800008000800090C0C080800000000088A00B8 +:10E590000020000000000A08902000000000000099 +:10E5A00000000000000000A070000000000000005B +:10E5B000000000000000B000000000A0000000000B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E6700000000000000000000C0008000000000086 +:10E6800070000000000000000C0000B0000000005E +:10E69000700000000000000000000000000000000A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E760000000000010000000001000010000001078 +:10E770004010700001001010700001110130000005 +:10E780000001000010000030300070310030000047 +:10E790000000705010000000000000000010000099 +:10E7A0000000002000000000000000000000000049 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000001000100A6 +:10E870000000000000000000000000000100000097 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C000000C00000000000000000000000000003C +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E950000000000000000000000000000000003087 +:10E960000000000000000030000000000000000077 +:10E970000000001000000000000000000000000087 +:10E980000000000000000000000000000000200067 +:10E990000000000000002000000000000000000057 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA7000000000000000000000000C00000000008A +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000C5A +:10EAB0000000000000010000000000000000000055 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB50000000004000000000000000400000000035 +:10EB600000000000000000408000002000000000C5 +:10EB700080000000000000000000002000000000F5 +:10EB800000008000000000008000802000000000E5 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000100A3 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000400000000000000000000000060 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED50000000000000000000000000000000002093 +:10ED60000000000000000000000000000000002083 +:10ED70000000000000200000000000000000002053 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000080060 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000008080000080030 +:1008B00008080000800000000000000000000000A8 +:1008C0008000000020000000800000000000000008 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000040000000000000000000000000062 +:100A90000000000000000000000000000000080C42 +:100AA00000000C00040C000000000000000000002A +:100AB0000000000005000000000000000900000028 +:100AC0000E0000000900000000000D000000000002 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000003000000014 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E30000000000000000000000000300000000082 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E6000000000000000000000000430000000004E +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E900080000000000000000000000000000000D2 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:101020000000000000000000000000000000004080 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:101250000000081000000000000000000000000076 +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:101440000000000000000000000000000000FF5548 +:10145000FFFF00000000000000000000000000008E +:101460000000FFFFFFFF0000000000000000000080 +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:101580000000000008000000000000000000000053 +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000090040C0000000000000000000000FA +:1016500000000000000000008C02000000000000FC +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:101830000000488060A94F8060B94F8060B94F8038 +:1018400060B94F8060B94F8060B94F8060B94F80F8 +:1018500060B0000000000000000000000000000078 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A20000000000000000000EA77AC5D9E9FB29BC2 +:101A3000782CD837382836D9D6C696C21EE0BEEAEA +:101A4000982214510931000000000000000000003D +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000800000008000C4 +:101C2000000E0000000000000000000000000000A6 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E00000000000000000000000000000000801042 +:101E100000000001000000000000000000000000C1 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000C0000000000000000000000010 +:102010000000000000000000000000400000000080 +:1020200000C00000000000000000000000000000F0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000001000000000000000CF +:10220000000000000000000000000000000000408E +:10221000000000000040000000000000000000007E +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000011002000008D2 +:1023F00020001F1002002C0000001F1002002C0003 +:10240000000008800000000AA0000000000000009A +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E0001000000020000E800300400008020E8052 +:1025F00003000000000008A008004003A80200003B +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D000000020000A0008033C04084000006409CF +:1027E000140020000A00C0033C004A40001000080A +:1027F0004000000000000000000000000000000099 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000E8003000000A0400E8008 +:1029D000030C1003A0001E80030C140120000880CB +:1029E00000000003A0000000000000000000000044 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000C00100000A4A +:102BC000A0002EE00100100AA0000EC0010056086F +:102BD000200028A00000801AA001000000000000D2 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB00000000000000000001000101000000000E3 +:102DC0000000000000008000000000000000000083 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA00000000000000200060000000012021030C5 +:102FB0000030000002020000005000009202900069 +:102FC0000050000000000000000000000D000000A4 +:102FD0000A000000010000000001000000000000E5 +:102FE00000000000000000000000000000000000E1 +:102FF00005000000000000000000000000000000CC +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000080000000000000000000009F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000002000000000001D +:103390000000000004000000000000000400000025 +:1033A000000000000000001000000000000000000D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000040000B +:103580000100000000000000010000040000000035 +:1035900001000004000000000000004400000000E2 +:1035A00000000000000000000000000000300000EB +:1035B000000000000000000000000000000000000B +:1035C00000000000400000000000000000000000BB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D000000000000000000000000000000000806A +:1036E00002000000000000000000000000000000D8 +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000445 +:103780000000000000000004000000000000000431 +:103790000000000000000000000000000000000029 +:1037A0000020000000000040420000000000000077 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E0000008000808000800000800000000000096 +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D000000000000008000C0800080000080000A0 +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000080000080033 +:109D20000800000800000000000000000000000023 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F0000000000000000000000000000000000044D +:109F1000000000000400000000000000000000003D +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000010000000000000000FD +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A28000000000000000000030000000000000009E +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000003000000000006E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000200200004C +:10A2F000000000000000000000000000000000015D +:10A300000000000000000C00000000000000000041 +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A440000000000000000000000000040000000008 +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E0000004000000000000000000000000000068 +:10A4F0000000000800000000000001000000000053 +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700010000000000000000000000000000000CA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A000000010000000000000000000000000009A +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000001000000000000000000000006A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F000000000000800000000000000000000004F +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB00000000000000000A01228DFA472B9DFA489 +:10ACC00072B9DFA472B9DFA472B9DFA472B9DFA4CC +:10ACD00072B9DFA472B000000000000000000000A4 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000FFFF5555FF00FB +:10AEB00033330F0F5555FF00555533330F0F0F0F19 +:10AEC000FF00555533335555CCCCFFFFFFFF000035 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000010000AF +:10B0A000000100000403000044010000040300004C +:10B0B0000000000004030000200000000402000063 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B28000000000000000000000000000000040007E +:10B29000800000004000410080000000800041006C +:10B2A00080000000280041008000000040000100F4 +:10B2B000000000008000000000000000000000000E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B480000000000080000000800000C000C0C880F4 +:10B4900080C000C000C88000C40000C00080C000A0 +:10B4A000C800000000C00000C00000000000000054 +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000008000000080000002C8 +:10B680000020000280020002000080000000002074 +:10B690000002800000000002002000008000000086 +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B88000E0000000000000000000000000000000D8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA6000000000000000100000000000E0001000D6 +:10BA700000000000000000000000000700000000BF +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000200000000000C4 +:10BC60000800000002000000200010000800800012 +:10BC7000000020000008000000000000000000009C +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000C000000000000006000000000C +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C04000D000000000000000D00000000000000749 +:10C0500000000000000000000002000000000020BE +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000100000000C8 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000010000000000000000000000000000018 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000008CF +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F00000000000008000000000000000000000B7 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB50000000820000000000000000000000000053 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000001000000000000037 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D900000600000000000000000000000000000F3 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000400000000000000000000021 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000001000006D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:1015600000C00000000000000000000000000000BB +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040005F5 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA50000000000000000000000000000000005076 +:10DA600005000000000000000000000000000000B1 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC4000000000000000000000280000A00000000C +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE30000000FFFFFFFFFFFFFFFF000000000000EA +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000080240E0080240E0000008C +:10E030000000000000000080000000000000000060 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E21000000000000000000000000000000080245A +:10E2200002000000000000000000000000000000EC +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E410000000FFFFFFFF0000000000000000000000 +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000010190001C02090440000000000002C +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F000000000000000C028800000010060000050 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E00000000000000000000000808001DBE20069 +:10E9F000C103000000000000000000000000000053 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD00000000000000000000000000000008002B3 +:10EBE00040000480504800000000000000000000C9 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000081200000000000000000019 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC000000000000000000000400C0000000000F5 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000002004D +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B00000801500000000000000000000000000B8 +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A0000000000000381100000000000000000012 +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000800080400000804000049 +:10F7A0000000000000480000000000000000000011 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F98000000000000000000000000C000C0000005F +:10F9900004000000000E0000000000000000000055 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB8000006000000A0400000000000000300000D7 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD7000000000020000000400000000000000007D +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF6000000000000000000400000000000000008D +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040006F4 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:100150000000000000000000000000004002000954 +:10016000080000000800000000000000000000007F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:100340000000000000000000000000000000FFFFAF +:10035000FFFFFFFFFFFF00000000000000000000A3 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:10054000000080240E0080240E0000000000000047 +:10055000000000800000000000000000000000001B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:100730000000000000000000000080240200000013 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000FFFF3355FFFF43 +:10093000FFFF0000000000000000000000000000B9 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B1000000000000000000000000000000050087D +:100B20000001C02090C7000000000000000000008D +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D10000000C0288000000100600000000000000A +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F0000000000000000000000C7D32280E20000C3 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000002101000CE +:101100008204000000000000000000000000000059 +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000AC4800000000000000000000000000FA +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E000000022000038160000000000000000008C +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000802000000000006A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C000000000000000000000000C0000B000005C +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000010025 +:101AC00000102816000000000000000000000000C8 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB00000000800000C04000C08000000000000F8 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000C00000C00060C0C0000FC +:101EB00000000000500000000000000000000000D2 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:1020900000000000000000000000000000040C0030 +:1020A0000800000000000000000000000000000028 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000044A +:102290000000000400040400000000000000000032 +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000010000000000000100000000004A +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:1026700000000000000000000008000C0808000036 +:102680000800000000000000000000000000000042 +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:1028600000000000000000000000FFFF2727FFFF1E +:10287000BB1100000000000000000000000000008C +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000C0000000C00000000000000000000004E +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E4000000000000000FFFF330FFFFF33550000BC +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000A0200033008441 +:103810000230000000000000000000000000000076 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F000000000000000000000000000000008823D +:103A000020300018008000000000000000000000CE +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000100001000000000000000000000B4 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000850000000000800000CE +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD0000000000000000000000040200070000011 +:103FE000F0100000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000800E7 +:1041D00020040000000C00040100000000000000AA +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000C00020000000008001000000000C7 +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B0000000000000000000200000000004008C4B +:1045C00008000000000000000000000000000000E3 +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000040005 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000010400000000000000000000000002 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000400080004000000000000000005 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040007F3 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:100710000000FFFFAF05FFFFFFFF0000000000002B +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000010098 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000030076 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000010058 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000010038 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000010018 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000010097 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000030075 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000010057 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000010037 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000010017 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000C0080240E00000029 +:100910000000000000000080000000000000000057 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF0000000000000000000000080240200000050 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000FFFF06 +:100CF000FFFFFFFF7744000000000000000000003D +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D40000000F03900002004805400000000000082 +:100D50000000000000000000000000000000000093 +:100D60000000B0350000000010D6000000000000B8 +:100D70000000000000000000000000000000000073 +:100D800000003019000000011064000000000000A5 +:100D90000000000000000000000000000000000053 +:100DA0000000C0220000000000440000000000001D +:100DB0000000000000000000000000000000000033 +:100DC000000060190000000490D600000000000040 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000E431000000008054000000000000B9 +:100E50000000000000000000000000000000000092 +:100E60000000E033000000041083000000000000D8 +:100E70000000000000000000000000000000000072 +:100E80000000701500002001104400000000000068 +:100E90000000000000000000000000000000000052 +:100EA0000000C02200002004C0CF000000000000AD +:100EB0000000000000000000000000000000000032 +:100EC0000000F039000020048082000000000000D3 +:100ED0000000000000000000000000000000000012 +:100EE00000008109040280000C06000000000000E0 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F3000000000000000C028000000004087000002 +:100F400000000000000000000000000000000000A1 +:100F5000000000000000C0220000400100870000E7 +:100F60000000000000000000000000000000000081 +:100F7000000000000000C0280000400000930000B6 +:100F80000000000000000000000000000000000061 +:100F9000000000000000C4210000800108E3000000 +:100FA0000000000000000000000000000000000041 +:100FB000000000000000E028000040000087000062 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:10103000000000000000C0340000401040870000A5 +:1010400000000000000000000000000000000000A0 +:10105000000000000000C4210000400000E3000088 +:101060000000000000000000000000000000000080 +:10107000000000000000C0220000000000E30000AB +:101080000000000000000000000000000000000060 +:10109000000000000000C42100000000008B0000E0 +:1010A0000000000000000000000000000000000040 +:1010B000000000000000C0280000000040E3000025 +:1010C0000000000000000000000000000000000020 +:1010D000000000000000910000000001102000004E +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000001300AC +:10113000C300000700050303D3830007000303EB8C +:10114000810300000000000000000000000000001B +:10115000000000000000000000000000000080C748 +:10116000CBC30000000000000000C005C7C8E003BA +:101170000080000003C7C385CBC10013A003C4CB0C +:1011800083C50000000000000000001300030300FE +:101190000000000303D30100A30700230083030121 +:1011A000D30700000000000000000000000303005F +:1011B000CB00000300039323E10300070023039304 +:1011C000A303000000000000000000000000000079 +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:10122000000000000000000000000000000000C8F6 +:1012300003C000000003C085F3C300000000E3C347 +:10124000A1D300000000000000000000000000002A +:1012500000000000000000000000C40080C8C0C3FF +:1012600000C00000000000000000E023C380001365 +:101270000383C00303D3C9C3038300010303F32320 +:10128000C1C30000000000000000000000030300D4 +:10129000CB000003000103E3F30500030005030195 +:1012A000CBC300000000000000000000C8C0E00048 +:1012B0000000C400A3C0C00700C9C00001C080CBAB +:1012C00000C70000000000000000C003C300C3030B +:1012D000C423000000000000000000000000000027 +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000A000000000000408010300000D +:1013300000080200A0010000000000000000000002 +:10134000000000000000000000000000000000009D +:1013500000008002022800000000000000001000D1 +:101360000200000100020000082041020405000004 +:1013700000010080A010000000000000000000003C +:101380000001200000000008402040000200000092 +:101390000084080180000000000000000000000040 +:1013A00000082000400000010008800020800000AC +:1013B0000000080202010000000000000000000020 +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000400400000001100200A00000C5 +:101430000000044140100000000000000000000017 +:10144000000000000000000000000000000000009C +:101450008000108200040000000000000000000076 +:1014600012020000400501010204202804030001CB +:101470002008000021110000000000000000000012 +:1014800000012000080000080001404000000008A2 +:1014900000000401204100000000000000000000E6 +:1014A00000020000000000000210800000010100A6 +:1014B00020208008000200000000000000008008DA +:1014C000504050010000000000000000000000003B +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:10150000000000000000000000000007043014008C +:101510000000001000000000000000100000082083 +:101520000000001000010000000000000400CE00D8 +:1015300000000000040021200000000004000C0056 +:10154000000000000000000000004018000008003B +:101550000000001000000800000000000430080037 +:1015600008000000003100200000000500280020D5 +:1015700000000010003908000000000004001420E2 +:101580000000000000000000000080200440302027 +:1015900000000000003000000000000000280000F3 +:1015A0000800000000000020000000000000000013 +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:10160000000000000000000000004018000008205A +:101610000000001000000000000000200410020084 +:10162000080000100000000000000000040000009E +:101630000000000004002C200000000004002C200A +:1016400000000000003000000000401800012C00E5 +:101650000800001000792C00080000000430000091 +:101660000800000004300000080040180070082046 +:101670000000001000081600040000100400B00074 +:1016800008000000007800000000401800112C0045 +:1016900008000020040014200000001000000000DA +:1016A00008000010043814200000000000000000B2 +:1016B0000000001000880D00000000000000000085 +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F0000000000000000000000000000000008763 +:10170000030000060400000020008000000000002C +:101710002000022601000000E3000001000000009C +:1017200000000C06000000000000000000000000A7 +:1017300000000C06000000002000800400000000F3 +:101740002000022301000000E0002A2001000080A8 +:101750000500828001000000200000E60000800DEE +:101760002740002601000000E0000220010000A048 +:10177000010000C605000020230000030000000057 +:1017800000000026010000A0218000E7000000000A +:10179000E740808401000000E00000060000000037 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000020002A2601000000620100030000000001 +:10181000020080840100000063018C0400000000CD +:1018200000000000000000000000000600000000B2 +:101830000000000600000000600000010000000041 +:101840002000808401000000200028810100008029 +:1018500023009084010000000000108001000000BF +:10186000E0002A2601000000600128C600000000F8 +:1018700020002843000000006001800400000000F8 +:10188000200000800100000002003CE60100000092 +:10189000E30080830100000003001E06000000003A +:1018A000000000000000000C0240110000000000D9 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F000000000140C10CA00000000000001382095 +:10190000000000000003050000000000000080004F +:1019100000000000000000000000000000000000C7 +:1019200000000000000098040000000000012004F6 +:1019300000000020080580000000000000818000F9 +:101940000000000000100100000000000010040072 +:1019500000000002000100040000000000108000F0 +:101960000000000008006800000000000003C00044 +:101970000000000108042000000000001002000820 +:1019800000000000000740000000000000032010DD +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F0000000000010802000000000000800F0201F +:101A000000000000001200000000000000020200C0 +:101A10000000000000009800000000000000010429 +:101A20000000000000006400000000000011C00081 +:101A3000000000020080241400000000000080006C +:101A40000000000004101000000000000010180842 +:101A50000000002800030000000000000890800043 +:101A600000000000008000100000000000020000E4 +:101A70000000000008108000000000000002480084 +:101A800000000000000160000000000000102200C3 +:101A900000000000000000000000000000A0014065 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE000000000000000808C81011C20000000002C +:101AF0008001802600000000E60C8801050000A09F +:101B0000000000000000800C8001A0000000000028 +:101B10008001A00000000000800120000000000003 +:101B20008039000000000005C3290003050000A063 +:101B30000010002300000000C6110907050000A0E6 +:101B4000C001000700000007E60C1DC301000000F3 +:101B5000E60C14C7000000808101140101000000A0 +:101B6000630000000000808C81310EC00100002065 +:101B7000820000C401000000E6081CC00100000053 +:101B8000E6001EC40100000000000000000000008C +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE000000000000000802C8329822600000000F5 +:101BF000820110230000002063000107050000A0FF +:101C0000E02C80000000800C8001A000000000009B +:101C100080010100000000008001000000000000C1 +:101C200080011C030000000CC63000010000000011 +:101C300026108CC0010000A083119C0000000080D1 +:101C400080019E0000000087E73C802400000080A7 +:101C500080399CC0000000808729AC800100000012 +:101C6000E62C1CC00100808C830116670000000078 +:101C700066002123000000A080011507050000A0D8 +:101C800080019C00000000000000000000004024D3 +:101C900000300C0000000000000000000000000008 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD00000000000000000000000000400000100FF +:101CE00000000010000000000000000000001400D0 +:101CF00000000000040000200000000400000000BC +:101D000000000000000000000000000000000000D3 +:101D10000000000000020000000000080000800039 +:101D20000000000004008000000000000002082005 +:101D300000000000000010200000800700011100DA +:101D400008000000000140200400000000002800FE +:101D500004000020000000000000000400000C202F +:101D600008000000000080000800000000003D00A6 +:101D70000800000000001420000000000000000027 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD00000000000000000000000000400001400EB +:101DE000000000000000000000000000008008204B +:101DF00000000000000000000000000400000000DF +:101E000000000000000000000000000000000000D2 +:101E100000000000000001000000000204000000BB +:101E20000000000000814E000800000000022100B8 +:101E30000800002000000D000000000700003C002A +:101E40000000000000000100080000000000000089 +:101E500000000000000001200800000400005020E5 +:101E6000000000000000000000000000000008006A +:101E70000000000000003C00000000000000000026 +:101E80000000000000080080F100000000000000D9 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC00000000020002000000000000000000000D2 +:101ED00000000000000000020010000000000000F0 +:101EE0000000100040000000001000000010200062 +:101EF0000010004010000100000010100000001051 +:101F000000300008000000000208124020000020FD +:101F10000808822012000050100034141810101805 +:101F200000300030002032080030884418100028AB +:101F30002210002000020000903080202012002A91 +:101F4000000800100A00020C00382808203802009F +:101F50000838081004180018001002100810800833 +:101F60002800009020001908383C080008002000D4 +:101F700010002800000020201020140000000000A5 +:101F800010000000200000000000000080000000A1 +:101F9000000000000000000000000000000004003D +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000020000080000000000040000000000031 +:101FD000002000000000020000000080000000005F +:101FE0000000100000100010080000100019100080 +:101FF000080010100000000808001C10181100084C +:102000000000000810000028000008000000100078 +:1020100018000400100000000020000040104020C4 +:1020200000800000002018008000002104000A2029 +:102030003820410044000880208831009090000042 +:10204000002012083000002004202000100090180A +:10205000800000101008004C1002040A308C002090 +:102060008010010000081000002028301038000007 +:10207000000000000000190C040A01120208000010 +:102080000000000400080000000000000000000044 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000008000018 +:1020C0000000000000000000000070300010000060 +:1020D0000800000008000059B00000500009080086 +:1020E0000030000000100008100070000000101008 +:1020F00000000C10002000040000700000081850C0 +:1021000008000619000400001900002610003030F5 +:10211000242A3C20782099109E0030509020000006 +:102120003410095C903D0C500059B80A1920500039 +:1021300000197A1C9908003C080AB014BC1808045D +:102140000018BC0CB43C00057808B0300000000A50 +:10215000040000000800000500B030003C080C003E +:102160007408700010000000000A09000D000D0046 +:102170000000000E00000000000000000D0C000A2E +:10218000090000000000000009000000000000003D +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B0000000000000000000000000000009000016 +:1021C0000A00000E000009000009000000000000E5 +:1021D0009A09000D0009080E0010901004700A10F2 +:1021E0000A142000740000080C000074080030007D +:1021F000240000040000000410700C040C00000215 +:10220000000900502400005D30000C000C0000406C +:102210007D1030090C000A0000002C5E00000059FF +:102220000C500C503C0D9059900D900A900C300DB4 +:102230003030000000053D0C2900000A05050E0D98 +:102240001500302C7505090A2508550809080000F5 +:10225000250C08161D3C09A20E0806060C0E0032BD +:1022600000240000000000000000241440080020AA +:102270000060000E000000000050000000000000A0 +:10228000000000000000000000000000000000004E +:1022900000000000000000000000004000400000BE +:1022A00000000000000000000000003000300000CE +:1022B0000001400040000000000000000000018814 +:1022C00000000E008100000001010000300001004C +:1022D00080000100000020800000019100800800C3 +:1022E0000180000000000100002000008000000AC2 +:1022F0000C000900010000A00000181008004210A6 +:102300000000040C00500100011000000010040047 +:102310000010120400000E1E280001100008010029 +:1023200030001010100800B40000800F4800048036 +:1023300001303000301005010010000005000000E1 +:102340000040080000003000200000202000640051 +:102350002024000A000130000000100000001020BE +:102360000030040000000000000000001000000029 +:10237000000100002000000000000000000000003C +:102380000000000000000C00000000000000000041 +:10239000000000000000000000000000000000003D +:1023A00000000000000000000020000110000040BC +:1023B00000001000000040000130000100000C008F +:1023C00000008030000040000000014000000000DC +:1023D00000000000000C008000800001018000006F +:1023E0000000000A0E0C00000000000000000120A8 +:1023F0000100400080000000000004000000010017 +:102400000F1100003000A0008F200080000000406D +:102410009A0140180500440010003900385000208F +:1024200031A01C003031400101100C801000003040 +:10243000003000000000100010000A000000001C26 +:102440000009C058202001A0905104000050000055 +:1024500001100030003000000000000000000CD827 +:1024600004080C0C0C00000000000008000E000026 +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C00000608000000000000200000080000000AA +:1024D00002000000000010001000000000000000DA +:1024E00000002000000000406008000006480000D6 +:1024F000000020203000000000300000020050608A +:102500000040000210005020100000000000402099 +:102510002001010000000000000000000040000059 +:1025200000042000300010000000000000001440F3 +:1025300000012040000020006004000000000204B0 +:102540000004300002000200000000000020020031 +:1025500020002000000000000000000000000040FB +:1025600000400000004000000000000000000000EB +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:1025900000000000000000000000400000200000DB +:1025A000000000000000000000000000000000002B +:1025B00000000000000000000000000040000000DB +:1025C00020600000400040200020400040300060BB +:1025D000200000400000000000002000000010402B +:1025E00020000020002000400000200042000000E9 +:1025F0000000001042000230400000504240020043 +:1026000000540004020042200000000002004220AA +:102610000000000000002000200000000001000079 +:102620000C002000007080004200000010000040FC +:102630000200004010801080800030000080148074 +:102640000071000010800200004200000000000045 +:10265000000038002000508004000000000000004E +:102660000100000000000000000000000000000069 +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B0000010003000802000000000000400000036 +:1026C00020000000040000000000000000000000E6 +:1026D00000000000000000000000002020010000B9 +:1026E00000210000000000020030000000B0203097 +:1026F0000400201000300004003020000000000022 +:1027000000000000000404000000000000000000C1 +:102710000020000000023000000000000000000067 +:102720000000003000020030000000001000000037 +:102730000000040000000400040004000000040085 +:1027400000000400400040000000000000100000F5 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000200029 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B00000000000000008000000200000003000C1 +:1027C0000000002000000820000000000000400081 +:1027D0000000402000000000000000200000400039 +:1027E000340000300000000034000400100000201D +:1027F0003420040000300001040006000000000046 +:1028000006000600000000000000000000000000BC +:10281000000400000000040000A0200034000400B8 +:102820004000002004000020402000202000800004 +:10283000002040200000000040200400002800008C +:102840000000000000008010402040200000000038 +:10285000000000000C00000000000000000000006C +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A00000000000002000200000000000000040A8 +:1028B0000200000000000000000000000000100006 +:1028C00010000000000000000000100000000000E8 +:1028D000100040000A00000000001010102000004E +:1028E0000020202000001810000000001020101010 +:1028F0001000000000000010101000100000080080 +:10290000000000000000000000003000120018006D +:10291000000000000010180000001000100010005F +:1029200010080000000000080008140080020001E8 +:102930000000040000101000000000000000100063 +:102940000020000000001000000200000000000055 +:102950000002000000000000000000001000000065 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000100000000000000000000037 +:102990000000000000000000000000000000000037 +:1029A00000000000000000401210040000000010B1 +:1029B000009100000010001010000400000000084A +:1029C00000000000100000001000001000101000B7 +:1029D00000000000000000200000001000000010B7 +:1029E0000002001800000010001800000000001095 +:1029F0000012000000100010001000000000100085 +:102A0000100000000000000008001400000000009A +:102A100000000400000000000000000000001000A2 +:102A20000000000002000800001000000008000084 +:102A30000000000000000000000008280120000144 +:102A400088410000000000000000000000000000BD +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000051807E +:105160000C000180000000500C000180000000C015 +:10517000040000000400000004000000040000001F +:10518000040000000400000004000000040000000F +:1051900004000000040000000400000004000000FF +:1051A00004000000040000000400000004000000EF +:1051B00004000000040000000400000004000000DF +:1051C00004000000040000000400000004000000CF +:1051D00004000000040000000400000004000000BF +:1051E00004000000040000000400000004000000AF +:1051F000040000000400000004000000040000009F +:10520000040000000400000004000000040000008E +:10521000040000000400000004000000040000007E +:10522000040000000400000004000000040000006E +:10523000040000000400000004000000040000005E +:10524000040000000400000004000000040000004E +:10525000040000000400000004000000040000003E +:10526000040000000400000004000000040000002E +:10527000040000000400000004000000040000001E +:10528000040000000400000004000000040000000E +:1052900004000000040000000400000004000000FE +:1052A00004000000040000000400000004000000EE +:1052B00004000000040000000400000004000000DE +:1052C00004000000040000000400000004000000CE +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000C000180000000A00C0005807B +:10537000000000000C0000800000FAEA0C00018030 +:10538000000000B004000000040000000400000061 +:045390000400000015 +:00000001FF diff --git a/04-Lissajous/Board/ise/lissajous-XC3S500E.bit b/04-Lissajous/Board/ise/lissajous-XC3S500E.bit new file mode 100755 index 0000000..117f66e Binary files /dev/null and b/04-Lissajous/Board/ise/lissajous-XC3S500E.bit differ diff --git a/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs b/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs new file mode 100755 index 0000000..0ea471f --- /dev/null +++ b/04-Lissajous/Board/ise/lissajous-XC3S500E.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000080000000000000000D5 +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:100290000000000000020000000000080000000054 +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000002000DC +:100500000818023100510000000000000000000047 +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:100530000000000000002000081802000000000079 +:100540000000000000000000280000022000000061 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000020000000000000000000000000004A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000002000000000001A +:1006C0000000000000000000000000000001000029 +:1006D0000200000000000000000000000000000018 +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000001001000000000000000000000000D7 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000100100000000A7 +:100840000000000000000000000010010000000097 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000001000000000000000066 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000136 +:1009C0000000000000000000000000000000000126 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000400000000000000000000000000000007F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000100000000000000000000003D +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000100007B +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A0000000000000000000C00200000000000079 +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F000A00000000000000000000000000000004B +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000008000000000000000000000071 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000800040000000000000019 +:101BC0000000000000000001000000000000000014 +:101BD0000000000000000000000000008000000085 +:101BE0000000000101000000000000000000800073 +:101BF00000000000000001000000000000000000E4 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000010000A3 +:101C40000000000000100000000000000000000084 +:101C50000000000100020000000000100000000071 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D3000000000000000000000000C000000000097 +:101D40000000000000000000000000000000000093 +:101D50000000001000000000000000000000000073 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000200010000000000033 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000001000000000000003 +:101DD0000000000000000000905000000000001013 +:101DE00000000000000000000000000000000050A3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000A00000000000000000CFC +:101ED0000000000000000080000000000000000082 +:101EE00000000000100000000000000808000000D2 +:101EF00000000000000010000000000080008800CA +:101F000000000000000006000000000000000000CB +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F400000000000000C0000000000000000000085 +:101F5000000000000E5000000000000C000C00000B +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000800000000000000000000D8 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000020000000000005C +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:102500000000FFFF1D1DFFFF1D1DFFFFFFFFFFFF61 +:10251000FFFFFFFF7722FFFFFFFF00000000FFFF2C +:10252000F3030000000000000000000000000000B5 +:10253000000000000000000000000000000000009B +:102540000000666666666666666666666666CC33C4 +:102550003C3C666666663C3CCC3366666666666690 +:102560006666AA556666CC33AA55000000000000D6 +:10257000000000000000FFFFFFFF0000000000005F +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000C00000000000000000000008E +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:1026800000000000000000000C0000000C0080248E +:102690000E0080240E0000000C0080240E000000BC +:1026A000000000000C00000000000000000000001E +:1026B000000000000000000000000000000000001A +:1026C00000000000000048804CA84C804CB84D80B1 +:1026D0004CB84C804CB84D804CB84C804CB84D80B8 +:1026E0004CB84C804CB84D804CB84C804CB100007C +:1026F0000000000000000000000080240E00000028 +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B00000000000000000000C000000000000000D +:1027C0000000000000000000000000000000000009 +:1027D0000000000000000000000000000000800475 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:102810000000000000000000000000000000802414 +:102820000200000000000000000080240200000000 +:102830000000000000000000000000000000000098 +:1028400000000000000000000000488040A94D800A +:1028500040B94D8040B84C8040B84D8040B94C8064 +:1028600040B84D8040B94D8040B84D8040B94C8053 +:1028700040B0802402008024020000000000802478 +:1028800002000000000080240200000000000000A0 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000400000000057 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000FFFFFFFF000000000000000000006B +:102970000000000000000000000000000000000057 +:10298000000000000000FFFFFFFF00000000FFFF4D +:10299000553300000000FFFF3355FFFFF303000035 +:1029A0000000FFFFFFFFFFFFAF05FFFFBB11FFFFB1 +:1029B000FFFF000000000000000000000000000019 +:1029C00000000000000000000000000000000FF008 +:1029D00055AA999955AA55AAC3C333CC33CC999912 +:1029E000999933CC33CCA5A555AAA5A533CCA5A5DB +:1029F00055AAC3C39999FFFFFFFFFFFFFFFF000028 +:102A00000000FFFFFFFF00000000FFFFFFFF0000CE +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000100001001000000000000D4 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B10000000000000000000000000130000C020C2 +:102B20000092200100018000400B00000000000026 +:102B3000000000000402000020000000000000006F +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B7000000000000000000000002200040300002C +:102B80002401200100018000400B2400000100000E +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C600000000000000040008000000000000000A4 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000802193 +:102CA000000000010004E0028000000100000000BC +:102CB0000000000000000300000000000000000011 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF000000000000000000000000000000047008D +:102D0000800000004000E002800000010000400060 +:102D1000800000000800000000000000000000002B +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000130000000013BD +:102DF00013000000000000000000000000000000C0 +:102E000000000000000000000000000000000000C2 +:102E1000000000C000000000C00000000000000032 +:102E20000100020000C00000030000801300000049 +:102E30000300000000000100140000000000030077 +:102E4000C4000000000000000000000000000000BE +:102E5000000000000000000000000000000004006E +:102E6000000000000000040000000000000004005A +:102E70000000000000000400000000020000080044 +:102E8000000013238323C123D3000001D3000100DA +:102E9000D30000130001000000000000000000004B +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000000000000400000000001000000F0 +:102FA00000000800200004000004040009000002E2 +:102FB00000000200180000000000200000000000D7 +:102FC00000004000000000000000000000000000C1 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF000000000000000000000000000000000A031 +:103000000000000000000000C000C00080000001BF +:1030100010804000100000000001000000000000CF +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:1031200000008100009000000110200000000C0051 +:10313000C000000000300000010001000000300E5F +:1031400000380000007000000000000000000000D7 +:10315000000000000000000000000000000000006F +:10316000000068008480144001F00E600000004000 +:1031700001F040A00000004001F06000200010407D +:1031800001F10000001000A00382000000C02C002C +:1031900000000000003C00000000000000280000CB +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A0000000000000000200C008000002800EA024 +:1032B0000010290000000007007420000200002018 +:1032C0000200400000000000000C000000000000B0 +:1032D00000000000000000000000000000000000EE +:1032E000000000000000880002001C21017881C756 +:1032F000000000480078808008001CC004788C0022 +:10330000E2391C20C178A20500801C062070000054 +:103310000000000000000000001028000000000075 +:10332000008000000000000000000000000000001D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000020001100006B +:103430000000200008400001800000100000000093 +:1034400002000000000000004001000000800000B9 +:10345000000000000000000000000000000000006C +:1034600000000000000000000000044004008A0189 +:1034700000000010020000200004000082000A008A +:103480000000000044088A000400001000010280CF +:103490004001000000000400000000000060000087 +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A0000000000000000000000000000000080310 +:1035B000C08100000000008001401D0000000000EC +:1035C00000802800000000008301140000000000BB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F0000000000040012680E001000400300D8042 +:103600000000280C000000800000000C000000C03A +:10361000000082E001640000006C14000000000063 +:10362000000010000000000000401000000000003A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:10373000000020000000000F0000000000380D0A0B +:1037400000000000002800000200002000004A1ACB +:10375000A060000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000008001000008000100A0010080009E +:10378000000022A07100800000480020000080009E +:103790000000006001000814A04000000008400084 +:1037A0000000000000B040000000000000084000E1 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000010000000047 +:1038800000000010400000000000000001000000E7 +:103890000000000000000000000000000000000226 +:1038A00000000001000000020800100000000200FB +:1038B00002000001100002408100980010108400F6 +:1038C0000000000210000050001220011000000251 +:1038D0008200000000100040000000000040800056 +:1038E0002000000000100220100001000000202035 +:1038F00000002000001000800000100000400020A8 +:1039000000000010000010100002021000010080F2 +:1039100011019000000014000101001000081000C7 +:10392000100A200010000002020101000400000142 +:10393000001000000000002902100000000000023A +:103940001000001000000000100000000000000047 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A00000000000000000020000000000000000096 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000400100082 +:103A3000000000000000000030000000000018003E +:103A40001030000000100000100000209020000046 +:103A50001000A000000000000010000000000000A6 +:103A60000000000000000000001000003000000016 +:103A700000000000000000000030000000003006E0 +:103A8000000000000000002600502010005000261A +:103A9000B000000010503006900020100000902070 +:103AA00090080070200C00100000000000000000D2 +:103AB0009000000000300000000000000020000026 +:103AC0000000000030000020000000003000000076 +:103AD00000000000000010000000000000001000C6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000C000000000000000030000000F9 +:103B900000000000080000000000000000000040DD +:103BA000020000000000004C02020E080000000CA1 +:103BB000000200000A000800440002080E500C1029 +:103BC000185012000000100A0E800004000A801233 +:103BD0000208B00C000A008C18000080800E021051 +:103BE00000000000801032003E000000000008309D +:103BF0000000040A00003010000010000000002047 +:103C000040000000001040300000000000000E00E6 +:103C1000400808000E08822048081A0000000080B2 +:103C200008080000000000500F08A0800000000CF1 +:103C30008808880004000008000000000000000858 +:103C4000080080000000000C0000000000000000E0 +:103C500000000000000000000000800000000000E4 +:103C600000008000000000000000000000000000D4 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000100000000B2 +:103D1000000800000000000000000000000000009B +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000030000000000000000000000000000043 +:103D50000000304010000000000000401080000013 +:103D60000000004000400000004000003000300033 +:103D700000400000300030000000000000000000A3 +:103D800000000000200400000004A0002004004007 +:103D900020040000000400002000000000040000D7 +:103DA00000140000000400000004000000002000D7 +:103DB0000000000020000000000020000000004083 +:103DC0000000000030000000000010003000000083 +:103DD00000000000000000000000000030001000A3 +:103DE0000000000030001000000000000000000093 +:103DF00000000000000010000000000000001000A3 +:103E000000000000000000000000000000001000A2 +:103E10000000000000001000000000000000000092 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E8000000000000000000000000000000000082A +:103E90000000000000020000000000000000000020 +:103EA00000000000000000000000000000003000E2 +:103EB0000000000000000000000000000000000002 +:103EC00000000000008000000000000000800000F2 +:103ED0000000000000000030000000000000003082 +:103EE0000020000000000010001000000030000062 +:103EF0000002000000300000000000000000000090 +:103F000000000000000080018021840000016001A9 +:103F100080210410800180010021000080008001C8 +:103F20000001840080810001000184008001000004 +:103F30000000000000000000000000000000000081 +:103F40000000002000000000000000000000400011 +:103F50000000000000000000000000000000000061 +:103F600000004000000000000000400000000000D1 +:103F70000000000000000000000040000000000001 +:103F800000004000000000000000000000000000F1 +:103F900000004000000000000000400000000000A1 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000008200000040010000000000000001DC +:10402000000000000041000000000000000000004F +:104030000000200000000000000000000000000060 +:104040000000001000000000000000000010000050 +:104050000000000000000000000010101000000030 +:104060000000000010000000000000000000000040 +:1040700000000010100010000000000010001000F0 +:1040800000000000000000000000002000200400EC +:104090000000002000200400000000200020040098 +:1040A00004000020000004000000002000000400C4 +:1040B00000000000000010000000000010000000E0 +:1040C0000000100000000040400000001000000050 +:1040D00000000000100000000000000000000000D0 +:1040E00000000000100000000000000010000000B0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000DF2A599C39996 +:10419000A599C399A599A599C399A599C399C399B7 +:1041A000A599C399A599A599A599C399959A939C01 +:1041B000939C939C939CC399A599A599939C939C3C +:1041C000959A939C939C959A93C693C665A965A965 +:1041D00093C695A693C665A993C663C97788778861 +:1041E000778877888787778887877788878787879B +:1041F000878787877788FFFFFFFF00000000FFFFAA +:104200007722272747470000000000000000000039 +:10421000000000000000FFFFFFFF000000000000A2 +:104220000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10423000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10424000FFFFFFFFFFFFFFFFFFFFFFFFFFFF00007C +:1042500000000000000000000000FFFFFFFF000062 +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000005F5FFFFF000072 +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:104300000C00000000000000000000000000D8A425 +:104310005EA9DCA45EB9DCA45EB9DDA45EB8DDA450 +:104320005EB8DCA45EB9DCA45EB9DDA45EB9DCA431 +:104330005EB9DCA45EB8DCA45EB8DCA45EB9DDA422 +:104340005EB8DCA45EB9DCA45EB8DDA45EB8DCA413 +:104350005EB9DDA45EB8DDA45EB8DDA45EB8DCA401 +:104360003EB8DAA43EB8DAA43EB8DAA43EB8DAA47D +:104370003EB8DAA43EB8DAA43EB000008C020000D9 +:10438000000000000C0000000C0000000000000015 +:104390000000000000000000000000008C0200008F +:1043A00000000000000080240E0080240E00802405 +:1043B0000E0080240E0080240E0080240E00802435 +:1043C0000E0080240E0080240E0080240E00802425 +:1043D0000E0000000000000000000000000080242B +:1043E0000E000000000000000000000000000000BF +:1043F00000000000000000000000000000000000BD +:104400000000000000000000000000000000900418 +:104410000C00000000000000000000000000000090 +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000400C0000000000000000000000E0 +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000A01228DCA452B8DCA408 +:1044D00052B8DCA452B1000000000000000000004F +:1044E00000008024020080240200000000008024DC +:1044F00002000000000000000000000000000000BA +:1045000000000000000000000000000000000000AB +:1045100000000000000000000000000000004880D3 +:1045200040A84D8040B94D8040B84D8040B84C8087 +:1045300040B84C8040B84C8040B84C8040B84D806A +:1045400040B84C8040B080240200802402008024C7 +:104550000200802402008024020000000000802469 +:104560000200000000000000000000000000000049 +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:10460000000000000000004000000000000000006A +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:104640000000FFFF3F30FFFFFFFFFFFFC3C333CC7E +:1046500099999999C3C333CC9999FFFFF303FFFF4D +:104660003355FFFF0F33FFFFFFFFFFFFFFFFFFFF8C +:10467000DD11FFFFFFFFFFFF2727FFFFF505FFFF0E +:10468000F303FFFF0F33FFFF0F33000000000000B4 +:10469000000000000000000000000000000000001A +:1046A00000005A5A66665A5A66665A5ACC3366668B +:1046B0006666CC333C3C3C3C3C3C3C3C6666CC3384 +:1046C00066665A5A666666663C3CFFFFFFFFFFFF60 +:1046D000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000E8 +:1046E0000000FFFFFFFF00000000000000000000CE +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:10479000000000000000000000008000000C00008D +:1047A0000000C020005400000000C0200064000091 +:1047B0000000C02000A200000000C0200092000005 +:1047C0000000C02000CE00000000C02000D6630022 +:1047D0000403C0208CE76B000403C020C84C000019 +:1047E0000000C020005420000001C02080640A00A6 +:1047F0000402C02004A202000402C020249200008F +:104800000000C020008A000000000000000000003E +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000800305 +:1048300000008000002680350000C020004C803140 +:104840000000C020005400130000C02000642A159E +:104850000403C02004A326110403C02024930000F5 +:104860000402000004000800040280000020000090 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:10489000000000000000800100008000000C00000B +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000080000000000116 +:104920000000802000000001000480200000000141 +:104930000010802000000001001080200000000115 +:104940000004802000000001000880200000000119 +:104950000004C720800000012810E1208000000131 +:1049600080088020000000010004C42080000001B5 +:1049700008108120000000012010892000000001A3 +:10498000000480200000000100080000000000007A +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000008000000000010000802200000001D3 +:1049C0000008803400000001000480210000000184 +:1049D0000010E122800000012810C934800000018D +:1049E000400403000000000080008102000000017C +:1049F0002000000000000000000000000000000097 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000080020000000113 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A9000000000000000000000000000000000C056 +:104AA00000000300000000C00300030344000000F6 +:104AB0000043C200E0C000C003030022470000C062 +:104AC00000000307440000C000004600C30000C00F +:104AD0000000C007470000C010E313E2E00100033C +:104AE00044C0E3C9C2C300C000C00003470000E8DF +:104AF00000E000C1470000C30200C70700E2C0C0D9 +:104B000000C0C022E3004400E0C000C30022000057 +:104B1000400202004200000000000000000000000F +:104B2000000000000000000000000000000004027F +:104B30000002020042C00010C0C0000003000807CD +:104B4000C043030302000BA2C207014003C7C0C356 +:104B5000084003074603E201C353C2071323460379 +:104B600081C0D3D303C00003002300C003000003AF +:104B700000E30000C0C000000000000000000000D2 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000030012 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000001000000900020000102000210810 +:104C300002000040002814800004009020080100B9 +:104C4000200000100000090002000004020004809F +:104C50003000000402005000088000040010011021 +:104C6000040101080090200105210404001003083C +:104C700020000000041005112080000A0400080034 +:104C80000104102001048200080000034011400CC0 +:104C90000300000005800200030000000000000087 +:104CA0000000000000000000000000000000000004 +:104CB000000000808080020003400000011002001C +:104CC000084000000328200804802004054020201C +:104CD0000910011802A020000408040188208400A3 +:104CE00001400408C082102041840001000000201F +:104CF000400002010320000010100000000000002E +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D2000000008000000000000000000000000007B +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000048000000070400AC5 +:104DB000047800C00170400880382CC00938400ACF +:104DC000047A340008F04008043810C00570400030 +:104DD000F4001CC009B84000640200C001600080FB +:104DE000F4401C490100404B812C00840100802FBD +:104DF000780300840260000F6052000E0A00001D5C +:104E000080021C0000004000800800800380002415 +:104E1000842A148442E00000000000012000800089 +:104E2000180300C001100000000000000000000096 +:104E30000000000000000000000000200000000052 +:104E40000048000000000E0000F01406E00000C062 +:104E500001000A00000000000080880040000000FF +:104E600000100C00000000000030300000000000C6 +:104E700000802C0000000000000200000000000084 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000F00000000000000012 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000008010078 +:104F3000001C0000000000000008000700382800E6 +:104F400000700000003828C0006800000000020067 +:104F5000004C00002000008001302C072010402071 +:104F600001700007000000C000300000C80041C010 +:104F70000134060482081C2803142C0B100028801E +:104F80000108008B033000100400000060B1000035 +:104F90000038089B007801D804B10E80030000009F +:104FA00000000004E0080C00008000000000000089 +:104FB00000000000000000000000000000001406D7 +:104FC0000000080000008C06000010000038680097 +:104FD00028000000000008070000000000380E064E +:104FE00008000000003011000000000000900000E8 +:104FF0000000000000000000000000000028200069 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B000C002020000000002400008200008002892 +:1050C000801F0000000800024000000001000028CE +:1050D000E01000000000000600000850000400047A +:1050E00040A20000000A009600040820408B0068DF +:1050F000002100300102222000B010101002000038 +:1051000080050002014100000081285000C2000417 +:10511000284408B0800002200800040000011000AC +:10512000040000002000000000000600000800004D +:10513000000000000000000000000000000000006F +:105140000800100408001000000022001000810078 +:1051500000000C0008008100010010081000000091 +:1051600002A0110400000000005010000000000028 +:10517000002C2200000000000000000000000000E1 +:1051800000002000000000000000000000000000FF +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000040000000000000000000000000000EB +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:1052300000000000E000000000040007E08000C063 +:1052400001700007E08001C001040007E08000C099 +:1052500001040007008000C001780007E00C0EC0C8 +:1052600000680004E03000C001782607EA0000D0A2 +:1052700005702F042301149101710E1436381C801F +:1052800001F0081C0028012000600000E63000C08A +:1052900001300007020802C00111001500003C00A7 +:1052A0000000262002000000000000006000000056 +:1052B00002000000000000000000000000000000EC +:1052C000000022800100620310010020000010068F +:1052D000E00002800D6C1C00000002C000001C00F9 +:1052E00000000C2002080C06A002000000BC3C00DC +:1052F00000000000003800000000000000BC0000BA +:105300000000000000000C00000000000000000091 +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000030000000000000000000003D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000006000000000488007BE +:1053C00078012CC00BF80007700000C00B78800734 +:1053D000780100C00B78000770002CC00BC080075C +:1053E000780115800260410C90382CC00BC08027DA +:1053F00078010080437002A70800C080C2B8000096 +:10540000700140C021802004005001C001740000E0 +:1054100004000000D200400000000C00A08000004A +:10542000F0001C07007000000000000000000000F9 +:1054300068011400000000000000000000000000EF +:1054400000000000000000000000000A0000014011 +:105450000334800000020C000038110000000CA092 +:10546000000C7C14A00001C001383C1800000000B2 +:1054700000080E000000000000081E0000000000F0 +:1054800000083C00000000000002000000000000D6 +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B0000000000000000000680000000000000084 +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:1055400000010000101000400000000000001000EA +:1055500000001000100000400000000100000240A8 +:1055600000001000100000000018000010002000D3 +:1055700080000010904000000440100040501000D7 +:1055800000445008180008008040580810103000EF +:10559000201030280820102000002420081030405F +:1055A000000010180000202000080020001000005B +:1055B0002000202000100000220000210000001028 +:1055C0000000000001200010000000200008000082 +:1055D00000000000801110200200400000000000C8 +:1055E0001011100004001010001010002000000026 +:1055F000001C100000402004040002008000200075 +:10560000000000001000000000200010000000005A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C0000000000000000006103000000000000094 +:1056D0000000300000001006100000100000000064 +:1056E00000000000500030063000000000180006E6 +:1056F00010000000000000101000600200401000C8 +:105700007020100000000004340D0430000010046C +:105710002010300900301000000010000000B00020 +:1057200004101000ADA5003000009000000400003F +:105730000030600000007000003000A00000000099 +:105740000000B03000009D000000003000100D008F +:105750006C04000000120C0E4C222A000050A00025 +:105760004C0200A5251020524C1D052E062A2000B3 +:10577000404E004000200006000000000E500000D7 +:10578000000000400000007020000000B000000099 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000020000000000000B9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:10584000000002000000000000080000000000301E +:1058500000000000000001000000000000000080C7 +:1058600000000008000008000000400000000040A8 +:105870000000000000000000100000001000000008 +:1058800000000E0002000000000430800040808014 +:105890001000300000000000200020200000002246 +:1058A0000000202200000000020042000000003042 +:1058B0000002000A0000000010003000000000009C +:1058C0001C00023800000000000A000A0C10000052 +:1058D000008100300100000000800100110800106C +:1058E00008003000030001500058000001D0000003 +:1058F00000000000100000000004000080302004C0 +:105900000000040010002000000000000000000063 +:105910000000000000008000000000000000000007 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:1059400000000000000000000000000000800000D7 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D0000000000000000200000000000230000093 +:1059E00000000000020000000000020000000000B3 +:1059F0000000000002003000000000000200000073 +:105A0000000020040200000000001000020000005E +:105A10000000002000000000000000000030200016 +:105A200000000080000000000000000000000000F6 +:105A3000000000000000400010007030000000086E +:105A400000000000000000000000000080000000D6 +:105A50000000004000008000000000003000000056 +:105A600000000000014002080000000030000000BB +:105A70000000020000000000000000000010000410 +:105A800002040200014400040200000000000000C3 +:105A90000000000000000000300020000000300086 +:105AA00000000000000000000000000000000000F6 +:105AB0003000000000003000000000000000000086 +:105AC00000000000000000000000100000000000C6 +:105AD00010000000000000000000000000000000B6 +:105AE00000001000000000000000000000000000A6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000004000000000041 +:105B600004B0000000000000040000000000040079 +:105B700000000000000000000400B0000000000071 +:105B800034800400000080000400040000000000D5 +:105B90000400000000000000000000000000000001 +:105BA00000B08000000000200000040000000000A1 +:105BB0003000000000000000000004000000008031 +:105BC0000000000100208000000000000000000034 +:105BD0002000001000000010000020100000000055 +:105BE000000000000000000004200032000000005F +:105BF0003000000000000000000000000000000075 +:105C000000900400000000000400040004020000F2 +:105C10000000000000020000000000000000400042 +:105C20000000000000000000000000000000000074 +:105C300000000000000000000000B00000000000B4 +:105C40000000000000000000000000000000400014 +:105C500000000000800000000000000000000000C4 +:105C600000300000000040000000000000000000C4 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE0000000004040200000000000000000820191 +:105CF0000000000000000000000000000000200084 +:105D00000000000020000400000000080000040063 +:105D10000000100000000000000000100000000063 +:105D20008002000000A1000000000000000004004C +:105D3000800200002000000080020000000004003B +:105D4000920210000000002000300000000000005F +:105D500000000000000000200000000000000030F3 +:105D600000000000100010104000000000000020A3 +:105D70001000400130000000000000000000100092 +:105D8000400850011020C409000800200008C40980 +:105D9000000000800200100000000000000000C2AF +:105DA00010000000000010000000000000000000D3 +:105DB00000000000000000001000000000002000B3 +:105DC0000000000000000000000000000000C00112 +:105DD00000000000000000000000000000000000C3 +:105DE0000000000000200000000000000000000093 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED0000000FFFF7722000000000000000000002B +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000004000000CD +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:1060500000000000000000000C0000000000000034 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D0000000008000284D8040B94C8040B94C80C0 +:1061E00040B94D8040B94D8040B94C8040B94C8099 +:1061F00040B94D8040B94C8040B94D8040B100005D +:1062000000000000000000000000802402000000E8 +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:1063200000000000000000000000FFFF47470000E1 +:10633000000000000000000000000000000000005D +:1063400000005533DD110000000000000000FFFFD9 +:10635000774400000000AF0555AA55AA9999C3C318 +:10636000999933CC9999A5A5999955AA9999C3C397 +:10637000999933CC9999A5A5999933CC9999A5A5C3 +:106380009999FFFFFFFF0000000000000000FFFFE1 +:10639000FFFF0000000000000000000000000000FF +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000002000402000008000000000000006B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000003000000000020000000D7 +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000004000000000000000000D5 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E0000000000000000000000000000020100079 +:1067F000000000000020000008000000002003004E +:106800000000000000000300040000000000000081 +:10681000000000000000000000000000C0C00300F5 +:10682000C3000000000000000000000000000000A5 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000001000000000000000016 +:106980000000020000000000000002000000800281 +:106990008002000000000000000000000000000075 +:1069A00080200400C0000000000000000000000083 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000080000CE +:106AC000D0000000000000000000000000000000F6 +:106AD000000000C0030000000000000000000000F3 +:106AE000000020000000000000000C8001000000F9 +:106AF0000000404000001080020000400000000044 +:106B000000000080000001000000004000080100BB +:106B1000000000000008000000000000000000006D +:106B200000000000008030000000000000000000B5 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000040000000000000000000000000000004 +:106C500000000000000000800000000000000000B4 +:106C60000000000000000C000000000000001C00FC +:106C700000002C0100000040000001110000004055 +:106C800000000001000000000000100100000040B2 +:106C900000001001000000000000000100000000E2 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD000000000000000000000000000062000008D +:106DE00000000000000000000000000000000000A3 +:106DF00000001000010000080000200002208000B8 +:106E0000000020000000800000002000032080001F +:106E10000000200002108004000020000018800400 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F4000000000000000002000000200000000001F +:106F500000000000000000000000000000000080B1 +:106F600001300000E00000000000000000000C0004 +:106F7000000000080000008001008000E0001E808A +:106F80000100001000000E8001800007E0001E805C +:106F90000100000000010E800180000600010E00CB +:106FA000008000060000000000000000000000005B +:106FB000003C000000000000000000000000000095 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000800B8 +:1070D0003000000000000000000000000000000080 +:1070E000000000A0013A0000F002000000000000D3 +:1070F0000000200000000006000000200004000F37 +:10710000F0020CA00130008FF10000200038002FA9 +:10711000F00202600008000F000000600000000F95 +:10712000000000000000000F000000000000000050 +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000200000202E +:10722000000000000108000200000000040000004F +:10723000000000000000000000000000000000004E +:107240000000000000000000000008000000000432 +:1072500000000000000100201000000000000000FD +:10726000000000010000100000001000000000807D +:10727000021000001000001010000000104000007C +:10728000021A00000000140002121000080410840A +:10729000028000000080008800800000008000085C +:1072A0000004000000000800004010000000000082 +:1072B0004012400000000000000000100004000028 +:1072C00000000000040400000000000000010000B5 +:1072D00001000000000000000000000000000000AD +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000100000000000000017B +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000008000000000000D5 +:1073B00000000000000000000000000800000000C5 +:1073C00000000000000000000000000000000400B9 +:1073D000000000000000000000000000300000007D +:1073E0000000000E0000000000003000020030002D +:1073F00000000000B010000030000030300000003D +:107400003000000000380000007030000030300014 +:1074100008003000909000500000000890000050DC +:10742000B000000898000C50000004589000005074 +:10743000060C000090000058000000000000003022 +:10744000000000000000000000000000000000003C +:10745000007000000000000000000A0000000000B2 +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000002000040000D8 +:1074900000000020000000000000000000000000CC +:1074A00000000000200000000000000000000000BC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:107520000000000020000020000000000800000C07 +:10753000000000000C00000000000000000000013E +:10754000000000000000000000000000000000003B +:1075500000000000000000040E00000000080020F1 +:107560000000000000000000000000080000000013 +:1075700000000000400000300C0000000A00000085 +:10758000000000000010000000080000000008805B +:107590000C00000000080018041E7A000010001003 +:1075A0000010700000100000010801000000000140 +:1075B00000300A0000010001300C300B0000000018 +:1075C000000000000008000000000000080C0A0095 +:1075D0000000000002040000040A00000200008A0B +:1075E000000A000002000000000000000000060089 +:1075F00000000000000000000000000000000A0081 +:107600000000000600000000000000060000008CE2 +:1076100000010000000000880000000600000000DB +:1076200006000000000000008600000000000000CE +:107630000000000600000000000000000000000044 +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000004020400000000000000000000D0 +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D0000000000000000000000000400004000066 +:1076E000000000008000000000000000000000001A +:1076F000000000000000000000000000800000000A +:107700000000000000300000000000000000200029 +:10771000000000000004000040000004020400001B +:10772000003480040204000460000024000000040F +:107730000000000000000004000000000000000045 +:1077400000000040000000000000010000000000F8 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000400400000030000040040051 +:1077800000000000000000002000000000000400D5 +:107790002000004000000400200000000000000065 +:1077A00000000000000004000000000000000400D1 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000001018 +:1078600000020000000000002000000000000000F6 +:107870000000000000000000000000000000000008 +:107880002000000000000000004000000001002077 +:10789000000180000000000004000400200000003F +:1078A000040000000080200004000001240000000B +:1078B00000010001000000000400000100000000C1 +:1078C00000000000000000200000000000100C304C +:1078D0000000000000000000000000100000000098 +:1078E0000000000000000200000000000000003066 +:1078F00000000000000000000020000000008010D8 +:107900000020000000000000000000000000000057 +:107910000000000000000020000000000000000047 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000800080000C7 +:1079B00000000000000000000000C0000000000007 +:1079C00080800000000000000000000000000000B7 +:1079D00000000000000000008080000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000004000083 +:107A00000000000000000000000000000000000076 +:107A1000000000200000000000000000040804082E +:107A20000000000800080008000800080008000026 +:107A30001400001800000000000000000400000016 +:107A40000000000000000000000000000000000036 +:107A50000020002000000000000000000000006086 +:107A600042000000000000000000000000000000D4 +:107A700000000020000000000000000000000800DE +:107A800000000020020008000000010000000000CB +:107A900010000000000008001000000000000800B6 +:107AA00010000000020000000000000000000800BC +:107AB00000000100000008000000000000000000BD +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000FFFF5F50FFFF4A +:107B90002727FFFF3535FFFF5533FFFF1D1D4744E6 +:107BA00077470000000000000000FFFF1B1B0000E3 +:107BB00000000000000000000000000000000000C5 +:107BC0000000000000000000000000000000C3C32F +:107BD0009999999933CC999933CC33CCC3C355AA8D +:107BE000999955AA33CCC3C333CCA5A59999999932 +:107BF000C3C3FFFFFFFF0000000000000000000003 +:107C00000000000000007158333393C255556938A5 +:107C1000333300000000278CFF000000000000004C +:107C2000000000000000494B0F0F211355550000C4 +:107C3000000000000000695B0F0F3DF35555000088 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000400000000000000E0 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000C0000000C0000000C0000000C00000033 +:107D20000C0048201E2000000000000000000000A1 +:107D30000C00000000000000000000000000000037 +:107D40000000000000000000000000000000000033 +:107D5000000048804CA84D804CB84D804CB84C80F9 +:107D60004CB84D804CB84D804CB84C804CB84D80D0 +:107D70004CB94D804CB000008C02000000000000A7 +:107D80000000000000000000000048201E204820E5 +:107D90001E2048201E200000000048201E20000059 +:107DA0000000000000000000000048201E204820C5 +:107DB0001E20000000000000000048201E20482077 +:107DC0001E20000000000000000000000000000075 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000400000000022 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000008000284D804D +:107EC00040B94D8040B94D8040B84D8040B84D809C +:107ED00040B84D8040B84D8040B94C8040B048809B +:107EE00040A94C8040B84D8040B84C8040B94D808E +:107EF00040B84D8040B84D8040B84D8040B84D806E +:107F000040B84D8040B000000000000000000000BC +:107F100000000000000000000000482012200000C7 +:107F2000000000000000482012200000000048204F +:107F3000122000000000000000000000000000000F +:107F40000000000000004820122000000000000097 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F9000000000000000000000000000000000C021 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000F554D +:107FD0005F500000000000000000000000000000F2 +:107FE00000000000000000000000FFFF335500000B +:107FF0000000CF030F55FFFF0F330000000000000B +:108000000000FFFF1D1D0000000000000000000038 +:10801000000000000000FFFF1B1B00000000FFFF2E +:10802000DD11FFFF5F0AFFFFF505FFFFF303FFFF11 +:108030003F3000000000FFFF3535FFFFF303FFFF77 +:10804000CC336666AA556666AA5566663C3CAA5558 +:1080500066665A5A3C3C666666666666AA553C3C4D +:1080600066665A5A6666666666666666CC333C3CE9 +:10807000AA55666666665A5A666666666666666685 +:108080006666AA55CC335A5A6666000000000000A6 +:1080900000000000000000000000000000008581DA +:1080A000FF000000000000000000B599FF00000084 +:1080B000000061600F0F00000000000000000000E1 +:1080C0000000000000000000000043220F0F00002D +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B000000040200000000000000000000000005F +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:1082100000000000000080240000C02000CE4420A8 +:108220000001402080D100300000402000C00B0041 +:1082300004020000080083310402C020285400001A +:1082400000000000000000150000C02000A2020095 +:1082500004020000240000000000000000000000F4 +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:108330000000002000000000000800000000000015 +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:10839000000000000000000000008022000000013A +:1083A0000008402480000000400000210000000080 +:1083B000001001000000000080008934000000016E +:1083C0000004000000000000000080220000000106 +:1083D0000010090000000000000000000000000084 +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800004000000000000000000000000000000E8 +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000005000000000000C7 +:1084B0000000000000000000000000004400000078 +:1084C00000000000000000000000000000000000AC +:1084D0000400000000000000000000000000000098 +:1084E0000000000000000000000000020000C000CA +:1084F00000000000A00200000000000400020000D4 +:108500000000000400020000000000002002000043 +:10851000000000000000000000000000000000005B +:108520004400000000000000448000000000000043 +:108530004400000000000000C40000000000C4006F +:1085400044000000000000000000000000000000E7 +:108550004400000000000000C40000000000000013 +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000004000000000000000000000804A +:108670002008010000000004088000000000000045 +:1086800008800000000000000880000000000000DA +:108690000880000000000000000000000000000052 +:1086A0000000000000400000000000000002000088 +:1086B00000000000000000000000000000000000BA +:1086C0000000000002400000000000000000000068 +:1086D000000000000040000000000000000000005A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:1087600002000C00C001000000000000000000003A +:1087700000000000000000000000000000000000F9 +:10878000000000000000282000508016400000007B +:1087900000000000000000000000001800000000C1 +:1087A000000000000000000000000000A0004000E9 +:1087B000040010400060410000001081A26000022F +:1087C00000000096C500000004000E00C0602000FC +:1087D000005000010000002000000000000000C068 +:1087E00001100008000000000004500A00004000D2 +:1087F000F00030000AE0000F0008000002E0602FE7 +:1088000020680F0002620000F4082C000210000F24 +:108810000008000002000C00000001000001000040 +:10882000000000000000000220000000020000A381 +:10883000013C0000020000020000000000001000E7 +:108840000080800000000802208000180000000066 +:10885000000000000000000770010000000002009E +:108860000090003800000000000000000000000040 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E000000000A001000200C00100000000000024 +:1088F0000000000000000000000000000000000078 +:1089000000000E0000000000000008800034000697 +:108910000001000000000000000000000000000056 +:10892000000000000000000000000000E000000067 +:10893000000000A703000100000002A023001600B1 +:1089400008000E8205000080010000000000000009 +:1089500000080EA0000000000000088003000000D6 +:108960000000000000400C000000000000004E016C +:1089700000000C0000382850B040000000B800345F +:108980000130080002021410C000000000BC08D032 +:1089900024800000008008D00400030000001000C4 +:1089A000C00000000000000000000000600100C0E6 +:1089B000046000000200000000000010000000C081 +:1089C00004000C000038140600001000603800009D +:1089D000040000000000000000000010200220C081 +:1089E0000460000000B8000100000000000000006A +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A80000000000000001000000000000000C00016 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00010220000800000000000000000200000E4 +:108AC00010000200010203140000000083000000F7 +:108AD00008000000800001000800000000000A40BB +:108AE000000000014000018000004202100001006F +:108AF0000000010800009000020800001002004081 +:108B00000008810400020000000000001002800044 +:108B1000000010001800800000001100100002008A +:108B20000000000000000000000000000000000441 +:108B30004000249000440046010024B00C000000D6 +:108B40000000045000000A0000002A000000002C71 +:108B5000D0004018004400000000000000000008A1 +:108B60008000000000404000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF000A8020000000000000000000000000000CB +:108C000000000000000000000000280000004000FC +:108C10000000002002000000000000000000000032 +:108C2000000008000000000000000000000000003C +:108C3000000000206200000000800002C72D00003C +:108C400008000000E00100080201828C07001040CB +:108C500000000000E2810000000000800100000030 +:108C60000000000000800007A002100007000000C4 +:108C700010000C6000009000380000800030000FF1 +:108C80000000222060281603A8320100082800678F +:108C900000E00020103000071000006200280007EC +:108CA000100000000700000718000000000000008E +:108CB000000080001000144000400000000C10C0B4 +:108CC000A00080000000004000000000000C9000A8 +:108CD00000001007000C14C300600000000000003A +:108CE00000004004000022C000600100008C00076A +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000A0000000000000000000000053 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000001A00000000B9 +:108DA00000000000000020000000001A0000000089 +:108DB000000000000000000000300000F0308000E3 +:108DC00000000000A000000000000000C00000043F +:108DD00000703D000600000000300000F0000000C0 +:108DE00000000000000008C001300028A0000C00B6 +:108DF000000000002000004000000000A0000E6DF8 +:108E000000360020E01800000480085EA104220063 +:108E100000808F00C0042C0B502EBC560000AC40CC +:108E20000080AC1600002000000080000000000060 +:108E30000000000000000005500014000000008247 +:108E400000001000000000050000140000000800F1 +:108E50000000000000000C0360005600000000004D +:108E60000000000000000003600000000404060091 +:108E700000000120000000000000000000000000D1 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000100000000000000031 +:108F3000800000000000000000019002040000001A +:108F40008100000000000000000024001000000468 +:108F500004040001000400000001000000000040C3 +:108F600000000010000000000000000000000000F1 +:108F7000000410000000000000101000105000104D +:108F800010111040008000000000000004000000EC +:108F900000200040000000004000004000000000F1 +:108FA00000000244000080100000000000000000EB +:108FB0000000400000000000001000000000000061 +:108FC0000000000000000000001000000000000091 +:108FD0000010000000000000001000000000100061 +:108FE0000000000000100000000000000000000071 +:108FF000200000020000000001000000000000004E +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:10909000000000000000000000000006000090003A +:1090A000060000000000000000000000300000008A +:1090B0000000000000000000000000000000300080 +:1090C0000000000004000000005000000005000047 +:1090D00030000000000000000000000000000070F0 +:1090E0000000700000000010000000000000000000 +:1090F000007000A000000090000000000010300090 +:109100003010003010303000000000090070309046 +:1091100030903000709030903000000070000000FF +:10912000000000000000000000000030900000007F +:10913000000000009000000000000000002000007F +:10914000000000000000000000000070001000504F +:10915000000090700010000000000000903000003F +:1091600000001000005000007010000000000030EF +:1091700000009000005000000050000000000000BF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:1092100000000000000000000000700000000000DE +:10922000000000000000000000000000000000003E +:109230000000000000000000200000000606000002 +:10924000600420400C000240290000404000024021 +:1092500006003C404000020C0C000A4C404001005B +:1092600000040000010002110000000201000201E0 +:1092700000000002010002010004000101000201DF +:10928000000000020110720100080600001000003A +:109290000000F0008400800070208000800000004A +:1092A000100000000000000000004C3800003000FA +:1092B000000000000000000000003000000000007E +:1092C000800000000000000080000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000500000000000000000002E +:1092F000000000F0000050001000000C0000000012 +:109300000800000000000000000000000000000055 +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:10938000000000000000000000000000800000005D +:10939000000000008000800000000030800000001D +:1093A000000000008000000000000000000000003D +:1093B000000001000030000000000000000000007C +:1093C000000000000000000000000030000000006D +:1093D0000000000000000000000000040000000089 +:1093E0000000000000000000000402000004000073 +:1093F000000000000000000000002000000000004D +:10940000000020000000000000002000000000001C +:1094100000002000000040000000200000000000CC +:1094200000002000000000000000200000000000FC +:1094300000002000000000000000200000000000EC +:1094400000000000000000000000000000003000EC +:10945000000000000000000000000000000000000C +:1094600000000400000000000000000000000000F8 +:1094700000000000003000000000040000000000B8 +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:109500000000000000000000040000000000000057 +:10951000300000000000000030003000000000407B +:1095200030000000000000003000000000000004D7 +:109530000000000000000C0010800000000000048B +:10954000000000000000000000000000000000001B +:109550000000000000000000000000010000000109 +:1095600000000000000000000001000100000000F9 +:10957000000000000000000000010020000080202A +:10958000000000208000802000000020800080007B +:10959000000000208000800000002000800080206B +:1095A000000000208000802000000020800080203B +:1095B000000000208000802000000020800080202B +:1095C000000000000000000000000000000000009B +:1095D0000000B000000000000000000000000000DB +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000B0000000000000BB +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000001012000000004000000B4 +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B0000000000400000000000000002000000086 +:1096C0000000000400000000000000000000000096 +:1096D000040000100000000004000000040000006E +:1096E0000400000004000000040000080000000066 +:1096F000040800000008000004080000000000202A +:1097000000080020000000200000002000000020D1 +:109710000000000000000020002000000000000009 +:109720000000002000000020000000600000002079 +:1097300000000020000000200000002000000020A9 +:1097400000000020000000000000000000000000F9 +:1097500000000000000020000000000000000000E9 +:1097600000000000000010000000080000000000E1 +:1097700000000000000000000000000010200000B9 +:1097800000000800100000000000000000000000C1 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:10980000000000000000CF03CF03FFFFFEFFFEFFBC +:10981000FF7F000000000000000000000000335542 +:10982000774400000000000000000000000000007D +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000F5051E +:10985000AF05000000005F0AAF0500000000535094 +:109860005F53CD017747000000001D0C3F1D000035 +:10987000000000000000CD01DF1300000000000028 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F000000000000000D3D7333300000000000058 +:10990000000000000000000000000000000061FFF7 +:10991000555500000000000000000000000000009D +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:10996000000000000C0000000000000000000000EB +:1099700000000000000000000000000000000000E7 +:109980000000000000000000000000000C000000CB +:109990000C0000000C0000000000000000000000AF +:1099A000000000000C0000000000000000000000AB +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D000000090241E000000000090241E000000E3 +:1099E000000048201E2048201E20000000004820C3 +:1099F0001E20000000000000000048201E20000083 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A70000000000000000000000048201E20000040 +:109A800000000000000000000000000000000000D6 +:109A9000000048201E200000000000000000000020 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000040040000000000000032 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B300000000000000000000000800400000000A1 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000004820122048201220000000000000C1 +:109B7000000090241200000000000000000000001F +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C60000000000000000000000000800000000074 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA000000000000000FFFFFEFF000000000000B9 +:109CB0000000000000000000000000000000FFFFA6 +:109CC000FFFF00000000000000000F550F330000F0 +:109CD0000000000000000000000000000000000084 +:109CE000000000000000CD01DF13CD01DF130000F4 +:109CF0000000000000003535F50500000000000000 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000FF3F3F300000000000000000000076 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E400000000300040200002800000000000000E1 +:109E50000000000000000000000000000000800082 +:109E60000002000000008000000A000004038000DF +:109E700000200000000040200010000004024020EC +:109E80000000000000008000000E00000000000044 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F0000000080010000800000040000000000004C +:109F100000000000000000000000000100008000C0 +:109F2000000200000000000000000000000000002F +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000900000000000000000088 +:109FD0000000000000000000000000000000800001 +:109FE0000000000100048000000000010000C1002A +:109FF0008000000128000020000000000000012077 +:10A000000000000080088000000000010000000047 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A08000000000000000801000000001000400003B +:10A090000000000000000000000000000000801030 +:10A0A00000000001000400000000000000000000AB +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A15000C00000000000000000000000000000003F +:10A1600000000000000300030000000300000000E6 +:10A17000030000C00000000080000022000000007A +:10A18000000000230322C00000C000000000000007 +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A000000000000000000400100000000000009A +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D00000000000800000000000000000000000FE +:10A2E0000000000000000000000801080100000854 +:10A2F0000080000008800020008000008000000036 +:10A3000000000000000000004000800000200080ED +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000010000000000000000000000000C +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900001000000000000000000000000000000BC +:10A3A00000000000000000000100000000000000AC +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A4200000000000000000000060400050020880B2 +:10A4300003000000000000000000200010004004A5 +:10A4400000300000000000000000000000000000DC +:10A450000000000000340000000000800100000047 +:10A460001002000000000000000000002C000000AE +:10A4700000000000F40000000000134020BC002792 +:10A48000C08A000F000000800200600800001C0865 +:10A490000200000010042C00000400000000000076 +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000A000080000F000000000000000F4 +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A5100000000000F00000000060000000000000EB +:10A5200000000000000000000000000068000000C3 +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A000000000000000000000000000006000004B +:10A5B0000002011000140000000000000000000074 +:10A5C00090014C00000400000000000000000000AA +:10A5D00000000000000000000000000000000080FB +:10A5E000010000000000000000000000000000006A +:10A5F000E000000000000000E00000000000024059 +:10A6000026380006C000000B003428C000000200FD +:10A610000000004100000000800200000000000077 +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A6400000000000000000800174000050000000C5 +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A69000000000000000000000000000004000007A +:10A6A00000000000000000000000000000000000AA +:10A6B00080310000001400000000000000000000D5 +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A7200000000000000000000000000080000000A9 +:10A7300000460000000300000202000000000000CC +:10A7400000000000000000000020000000000000E9 +:10A750000000000000000000000000000080000079 +:10A76000000000000D0000000000000000000000DC +:10A7700000000000A00400000004000080000000B1 +:10A7800000002000CA03040030100000002020E078 +:10A7900000000000000088A6000000003020040037 +:10A7A00000A1000000000000000000000000000008 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000800000000009 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A8100000000000000000000000000080000100B7 +:10A82000000E00000000000000000000000000001A +:10A8300000000000004000000000000000000000D8 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B000800114000008000C00001000007000006F +:10A8C000000000000000020000000000000C00007A +:10A8D0000000000000000000000000000000000078 +:10A8E00000000000000000800100000000020000E5 +:10A8F0000000000000000000603000000048000080 +:10A90000E001000000301C80616C1C07D060800FEB +:10A91000003C10200000810C0040300E01000000BF +:10A9200000021C00004000000000000000000000C9 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A950000F000000000000000000000000000000E8 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000381000006000000000000000000000FF +:10A9B000000000000000000000400C0000700000DB +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000020000100000000005848400015 +:10AA400001E8000000000000000020000068000F86 +:10AA500000300000000000000000000000000000C6 +:10AA600000000000000000000000000000000000E6 +:10AA70000000000000000000000000006000000076 +:10AA80000000000070800000006C0C806128951EA2 +:10AA9000A064000400083C000200020400283C46B8 +:10AAA0000900000000080D00004000000000000048 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB200000000000600200000000000000000000C3 +:10AB30000000000000000000000000006838400035 +:10AB40000080000000000000000000000000000085 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA0000000000000000000800000000000000025 +:10ABB0000000000000000000000000000080000015 +:10ABC000000000000800000000000000002000005D +:10ABD00000000000000000000080000000000000F5 +:10ABE000000000000000000000000020080100003C +:10ABF0000000000000000010101000000000100015 +:10AC000041018020304000001090803000109400FE +:10AC100020440000400010001414000020100440E4 +:10AC20001044000100440000140000000000000077 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000001000004000500064 +:10AC5000000009800000000042000A800A0000088D +:10AC6000000008002000000424000800010000008B +:10AC700001000000000000000008000000000000CB +:10AC800000000000000000000000000000000000C4 +:10AC90000010000000000000000000100000000094 +:10ACA0000010000008000000100000100008000064 +:10ACB00000000000000008008000080000080008F4 +:10ACC000000800000000000000000000000000007C +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD4000000000020000000CB8000000000000003D +:10AD50000000000000006000000000000000000093 +:10AD60000000000000000000000008090000000AC8 +:10AD70000009000000000000000000301830000052 +:10AD8000000A1000000D0000300000001010053017 +:10AD9000B030300C3E0D00000D00300A3039080A8A +:10ADA000003008703009000D007008003009700094 +:10ADB00000000D79000970000000087D0C0970008A +:10ADC00008090090300D70000000007000397C0D03 +:10ADD0000D7010000C00309000000000300030902A +:10ADE0000C005D040000000E000A0000000C0000D2 +:10ADF000000000000E000E0000000000000000092E +:10AE0000000000000000000000000000000D08002D +:10AE1000000000000810000000000009000D0810EC +:10AE2000000000000810080D0800000010000030AD +:10AE3000000C00000010001000000C00B0000C001E +:10AE40000018000C000C00000000000000000000D2 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000100000000070010000000001 +:10AED0000000000000300000000000000000000042 +:10AEE0000010000000000000000000000000010051 +:10AEF000000000100004000000000000000000003E +:10AF000001000000000000003404200000300000B8 +:10AF10000A20202000001C01801400003050000096 +:10AF200008080B00100009100018000C0034010084 +:10AF3000080000C100000000000000000000010047 +:10AF40000100400001000000F000000000000000CF +:10AF500000000100100030000100FC1000000000A3 +:10AF6000B0008C100400000000000000100E000C67 +:10AF70003801000008000000080000000100000087 +:10AF800000000000010000000000000000000000C0 +:10AF9000000001008000000001000000000000002F +:10AFA000000001000000000001000100000000009E +:10AFB0000000000000000000008000800000000091 +:10AFC00030000000008000000000000000000000D1 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000010000000000000000000 +:10B040000000000000000000000000000000000000 +:10B050000004003080000000000000008000800438 +:10B060000000000000308000000000000000000030 +:10B0700000000000000000000000000000000000D0 +:10B08000003000304000040000000000000000001C +:10B0900000000000000000000000000000000000B0 +:10B0A000000002000000000000000000000000009E +:10B0B0000000000000000000000000000000000090 +:10B0C00000000000000000000000000000008008F8 +:10B0D0000000000000000000003000000030000010 +:10B0E0000000000080008000000000000000000060 +:10B0F0000000000000003000000000000000000020 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B1300000000000000004002000000000000000EB +:10B1400000300000000000000000000000000000CF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000040000000004F +:10B1C00000000000000000800000000020000000DF +:10B1D000000004000001004030000000000030804A +:10B1E000300030010000000000403000000000008E +:10B1F000000000000000000000020000000000004D +:10B200000100000000B0000030000000000000005D +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B25000000030010000000000000000004000007D +:10B26000004000000010000030003020000000000E +:10B27000000000000000000000004000000000008E +:10B2800000000000000000000000000000000000BE +:10B29000000000000000000000000000000030007E +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C0000000000000B000000000000000000000CE +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500020000200000004000000000000000000C7 +:10B3600000002000000004000000000000000000B9 +:10B3700000000000000000000000000000000000CD +:10B380000000000000040000002000100000080081 +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000200000000000201D +:10B3F000000000000041008000000000000000008C +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B4200000002000000000000000000000000000FC +:10B4300000000000000000001000000000000800F4 +:10B4400010000000000000000020000000000000CC +:10B4500010000000000000000000000000000000DC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D00000000000000000000000553333557744A1 +:10B4E00047470000000000000000000000000000CE +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B510000000000000000000000000000000F10139 +:10B520003F1D000000000000000000000000CD01F1 +:10B53000DF131D0C3F1DFFFFFFFF4744774700004F +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000AB56333300000000000014 +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B630000400000000000000000000000000000006 +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B660000C0000000C0000000000000000000000C2 +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000048201E2000000000000000000000F4 +:10B6B000000048201E2048201E2000008C02482048 +:10B6C0001E2000000000000000000000000000003C +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B740000000000000000000000048201E20000053 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B000000000400C00000000000000000000003D +:10B7C0000000000000000000000000000000000079 +:10B7D00000000000000000000000D8A472A9DFA44F +:10B7E00072B9DFA472B9DFA472B9DFA472B9DFA4A1 +:10B7F00072B0000000000000000000000000000027 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B82000000000A01228DDA452B8DDA452B8DDA4A7 +:10B8300052B8DCA452B9DCA452B8DDA452B9DDA4DC +:10B8400052B9DCA452B1000000000000000000006A +:10B8500000000000000000000000000000000000E8 +:10B860000000000000000000000000000000488010 +:10B8700040A94D8040B94D8040B84D8040B84D80C2 +:10B8800040B94D8040B94D8040B84D8040B94D80A1 +:10B8900040B84D8060B100000000000000000000D2 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000FF00E8 +:10B96000555555553333FF000F0F0F0F3333FF007D +:10B970005555FF00AAAAFFFFFFFF000000000000CE +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000FFFFFFFFAA5533CCA5A553 +:10B9B000C3C355AAC3C399999999C3C333CC55AA94 +:10B9C000999955AAA5A59999999900000000000098 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000CC33AA556666AA55AA55CC335A5ACC +:10BA0000CC33AA556666AA555A5A5A5ACC3366663A +:10BA100066666666CC33AA5500FF00000000000091 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000001000000010000040300002401000028 +:10BAF00004030000CC010000040200002C00000040 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000100000001000013 +:10BB300004034020448100000403000000000000D2 +:10BB40000403C0202010000004038000400800000F +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD00000000000000000200000402000400000A5 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC6000000040008000000008004100800000004B +:10BC70004000410080000000800001000000000042 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA00000000000000000000000400080000000D4 +:10BCB000400041208000000080084100800000001A +:10BCC0002800C120800000014004C1008000000164 +:10BCD00080000000000000000000000000000000E4 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000002100000000C2 +:10BD600000100000000000000000000000000000C3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE00000000000000000C80000008080C8C0C83B +:10BDF00000C08000C0C8C0C8C4C0C08080C800C027 +:10BE000000C0C000C40000000000000000000000EE +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE3000800000000000008000C04400C80300C86B +:10BE400000C0C0008000000000C0C02280130000BD +:10BE500000C8C400800300000000000000000000D3 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000400000000000000040000000000AA +:10BE900000000400000000000000C00000000000DE +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE000440000000000000000000000000000000E +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000002CF +:10BF7000800080000020800080008000002080027F +:10BF8000800000020020800000000000000000008F +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB00000000000800000000000000200200000DF +:10BFC0000001000000208000800000400020800070 +:10BFD0008000004000000000800100000000000020 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C01000000000000002000000000000000001001D +:10C0200000000000000000000020000000000000F0 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F000000000000000000010030000E0008002CB +:10C1000000000000020000000080020000000000AB +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000004205B8 +:10C1400000800000420016000000000E0000000504 +:10C1500078059C0002400000840C100E0044000092 +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C18000000000000000100000000C0000002C1057 +:10C19000000414000001084002900000C0000000EC +:10C1A00000040C00000000800100000000000000FE +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E0000000000000000002000000000600000047 +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C27000000000000000000000000000E038140092 +:10C2800000940002000000470000100000000000C1 +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C0000000121700380020E900000000001C08E0 +:10C2D00000004015E00008400048100000001D0864 +:10C2E000004000000000000000000000000000000E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000E8001000D0091 +:10C3100000001C8003000D00A000000000B82800F1 +:10C3200000000C0000001606000002400614280061 +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C36000000000000000000000000000000000804D +:10C3700001000000000000000000000000000000BC +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000001000000000020001C +:10C400004100100020002021010080A00000000059 +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C440000000000000003000001000800A00200002 +:10C45000001010000400B0A820200AA0000800006E +:10C46000020010000020000000000000000000009A +:10C4700000000000000000000000000000000000BC +:10C48000000000000000000000000000000090001C +:10C490000600020000000100000402000000040089 +:10C4A00000000000200022000800820000000300BD +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E0000000000000000000000000000000000646 +:10C4F00000000080000000000000000000000000BC +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C58000000000000000000600840004000000A07D +:10C59000010000000000000000000000000000009A +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C00000000000000000000000003A005002C01F +:10C5D000C10000A008008000E000000263021CC04F +:10C5E000008400A080001C0840C40000000000007F +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000810010000073080004A +:10C62000E00008000090000BE0000E000A80000609 +:10C63000E0011200000000071000000000000000F0 +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000002C00000000000000000F8 +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C7000000000000D0000000000000200000000039 +:10C7100000000000D0000000000000070000000042 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000004E5 +:10C75000001288000A02000000000000D000002241 +:10C7600060083DC0DA01000018158100D0420000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000EC00100000000000000CA +:10C7A0000050000000020100000280005002004022 +:10C7B0000000800000020200005000200000000085 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F000000000000000000300000800040000002A +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C870000000000000000000000000800080800038 +:10C8800000000000010008008000000000200000FF +:10C890000000000000000000000000000000004850 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000100000077 +:10C8C000800000008000000081000800014000009E +:10C8D0000100000284040000004008200022001033 +:10C8E00000000002000000440440020C00000004AC +:10C8F00000400000000000000000000000000000F8 +:10C900000000008000018000800400800001010020 +:10C910000101008000828008010100000082018086 +:10C9200000000800000001820000110000800000EB +:10C9300000008080004000000000000000000000B7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000008000000000000000000AF +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA0000000000000000000000000C00000000001A +:10CA1000000008000000000000000000000090007E +:10CA200000000018000000000000000000000000EE +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000C00DA +:10CA500000000000000000000000000000000800CE +:10CA6000000A001A00090000000000000000000099 +:10CA7000000A0070000050000000000000000000EC +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000080009000085 +:10CAA0000602000600003CA0A60A0006060030A010 +:10CAB000065500060000000002020006000000000B +:10CAC0005600000606000000000000000000000004 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF000000000000000000000000C00000000002A +:10CB000000000000000000000000003000000050A5 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000010701030000000000008000000DD +:10CB90001000000000300100000000000000000054 +:10CBA00000000000000000A00000000000000000E5 +:10CBB0000000000000000000000000000000000075 +:10CBC00000000000040000002000007020000000B1 +:10CBD00028000070083000000800000C180C00004D +:10CBE00000200030001800000000000C00000008C9 +:10CBF0000820000C0000000C0020000000000000D5 +:10CC00000000000000000000000000000000000024 +:10CC100000080000000000000800000000080000FC +:10CC20000C000000002808200000800000000428FC +:10CC30000000040000200000000030200010000070 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC80000000000000000000000000000000008024 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD000000000000000000000000000000300000F3 +:10CD1000000000000000000000000000000C000007 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000300000B3 +:10CD500000000000000000000204020000040000C7 +:10CD600000000000000000000000000000000000C3 +:10CD70000000000000000000003000300000003023 +:10CD80008030003000300030803000000000003083 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE100000000000000030000000000000000000E2 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE900000800000000000000000008C0000000086 +:10CEA0002001000020000000000000000000000041 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED00000B00000000000000000000000000000A2 +:10CEE0000000000000000000000000000000000042 +:10CEF00000000000000000000000000000400040B2 +:10CF00000000004030400040004000403040000041 +:10CF100000000040000000000000000000000000D1 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF900000300000000000000000800000000000E1 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D01000000000400000000000000000000082044A +:10D0200000000000200000002000000020000000A0 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000002000000000000000000000B0 +:10D0600000080000000800000000000000000000B0 +:10D0700000000000000000000000000800000000A8 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B00000000000000000000000000000008201ED +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D1100000000000002000000000000000000000EF +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E0000000000000000000000053505F53335562 +:10D1F000330F0000000003553F55BB110F33774438 +:10D200000F330000000000000000000000000000DC +:10D21000000000000000000000000000000000000E +:10D220000000FFFF3F0C0000000000000000FFFFB7 +:10D23000F30300000000FFFF3F300000000000008B +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000040000002A +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D360000000000000000000000000000000482055 +:10D370001E2090241E000000000048201E20902443 +:10D380001E0090241E0000000000000000000000AD +:10D39000000000000000000000000000000000008D +:10D3A00000000000000000000C0000000000000071 +:10D3B000000000000C000000000000000C00000055 +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D480000400000000000000000000000000000098 +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F0000000000000000000000000000000902478 +:10D50000120000000000482012200000000000006F +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D62000000000000000000000005F5053530000A5 +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D6800000005F0A772200000000272277270000B1 +:10D69000000000000000000000000000000000008A +:10D6A0000000000000000000000000000000FFFF7C +:10D6B0005F0AFFFF535300000000FFFF2727000011 +:10D6C0000000FFFF5F0A00000000000000000000F3 +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000C02049 +:10D8000000E6000000018000C00C00000001C02004 +:10D810008054000000000000000000000000000034 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D970000000000000000000000000000000802007 +:10D98000000000010010C000800000010000C02065 +:10D9900080000001400400000000000000000000C2 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000300004400C00000130001801367 +:10DB1000000000034480C0C003400000000000007B +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000800000000DD +:10DB40000000008000200000000000000020010014 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC800000000000000001080080000010400000BB +:10DC900000018040000001080082100420200000E4 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000008040000000004000000000000000044 +:10DCD0000000200000000000000000000000000024 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB00000000000000000000000008001000000E2 +:10DDC000A0000000000000000000000000000000B3 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE000000000000000000000000000220300000C0 +:10DE10000130006028510000007200E8F1B03400C9 +:10DE20004A38000000000000000000000000000070 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000082200000000000000A8 +:10DE500040380000000000002030000000000000FA +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000040A1 +:10DF400000000000A8020000000000000000000027 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF8000000000000000000000000000000000028F +:10DF900000BC0020036000C021000000C0780080A9 +:10DFA000038000004014000000000000000000009A +:10DFB0000000000000000000000000000000000061 +:10DFC00000000000000006000000500000000000FB +:10DFD0000000000008000020030000000000000016 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E110000000001460002000006400000000000007 +:10E1200000890080000000C03C800000000000006A +:10E1300000000000000000000000000000000000DF +:10E14000000000000000000000000220000010801D +:10E1500062000000080020001010000000002000F5 +:10E16000301200000000000000000000000000006D +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E24000000000000000002002000000200000008C +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000800C808000800108002049 +:10E2A000F0811D000802004FC63114000438000040 +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000044A +:10E2D000030000080000000082011400000000009C +:10E2E000800110000000000000000000000000009D +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000300200000000000000000000000000000B +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000400003CC0FC +:10E4200009000000703015000000004000804E0020 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E450000000002000000000C200000000001200C8 +:10E46000A03000000000000020400000000000007C +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E54000000000000000800000000000000000004B +:10E5500000000000000100000000000000000000BA +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E580008000000001000004000000000001000005 +:10E590000000200000000000040000000000000057 +:10E5A000200000000100000000040000140000082A +:10E5B0000000040000000000000000040000000053 +:10E5C00004000000100000800200000000000000B5 +:10E5D00002400000800000800042000101000000B5 +:10E5E0000200001000000000000200000001080806 +:10E5F0000000040004800000000000000000000093 +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000800D2 +:10E6400000000000000800000000000800080800AA +:10E65000000008000000080800080000000000009A +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D0000000000000000000010000000000000039 +:10E6E00000000C000000000000000000000000001E +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E72000000000000D0000000000080000000000D4 +:10E730001000008C00000000000000B0000000503D +:10E740005000A000000000003009000000000000A0 +:10E7500000900000000000000000800000000000A9 +:10E760000000000000000030000000000000000079 +:10E7700000000C040101017001B00C010101000056 +:10E78000000E900000010E00000000000E000000CE +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000080000000000000400000000000439 +:10E7D0000004080000000000000008000000000025 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000001000B8 +:10E8500000000000000000000000000000080000B0 +:10E860000000000000000100000000000000810026 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000200000000400000C58 +:10E890000000000000045000000010000000000014 +:10E8A0000800000000000000100000000C700100D3 +:10E8B000500800700000000000000C000000000084 +:10E8C0000000000C0A00000008000000000000200A +:10E8D0000C000000000000000C30000006000020CA +:10E8E0000038000C080000000C0000000A000000C6 +:10E8F00006080000000C000000000C0008000100E9 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000002017 +:10E9E00000000000000002008000000000000000A5 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA1000000000000008000000008000000000006E +:10EA20000000301000080000000000000008000096 +:10EA3000000000100008000000000000800000003E +:10EA40000000000080000000000000000000000046 +:10EA500000000000B0000000000000000000000006 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000008000000000008000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000040042 +:10EAD0000000000000000000000000020000000034 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000080000000000000080030000000ED +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000200000000100063 +:10EBA0000000000000000040000200000000000023 +:10EBB0000002000000000040000200000000000011 +:10EBC00020000000000000002000000000100000F5 +:10EBD00000000000000000006000000000000000D5 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000C00000000000000000009 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000004B0 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE00000000000200000000000000000000040C4 +:10ECF00040000000000000000000000000000000D4 +:10ED00000000000000000000000000000000000003 +:10ED10000000000000000000008000000000000073 +:10ED20000080000000000000000010000000000053 +:10ED30000080000000000000000000000000000053 +:10ED400000000000000000000000000000000000C3 +:10ED50000020000000000000000000000000000093 +:10ED600000000000000000000000000000000000A3 +:10ED7000000000000000000000000400000000008F +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD000000000000000080000000000000000002B +:10EDE000000000000000080000000000000000001B +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000FFFF34 +:10EEE0007722FFFFBB11FFFF3F0CFFFF330FFFFF38 +:10EEF0001B1BFFFF3F0CFFFF3F30FFFF550FFFFFC6 +:10EF00007744FFFF330F00000000FFFF774400004D +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000C0000000000000035 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F06000000000000C0000000C0000000C0000007C +:10F070000C0000000C0000000C0000000C00000060 +:10F080000C0000000C0000000C000000000000005C +:10F090000C00000000000000000000000000000064 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F14000000000000000000000000040040000007B +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000D8A452A9DCA452B9DCA4DD +:10F1B00052B9DCA452B8DDA452B9DDA452B8DCA423 +:10F1C00052B9DCA452B8DDA452B9DCA452B8DDA413 +:10F1D00052B8DCA452B9DDA452B8DDA452B9DCA403 +:10F1E00052B8DAA432B8DAA432B8DAA432B8DAA45F +:10F1F00032B8DAA432B8DAA432B8DAA432B8DAA46F +:10F2000032B8DAA432B8DAA432B8DAA432B8DAA45E +:10F2100032B000000000000000000000000000000C +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F260000000000000000000000000A01228DFA441 +:10F2700072B9DFA472B9DFA472B9DFA472B9DFA4D6 +:10F2800072B9DFA472B9DFA472B000000000000000 +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000C07E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F32000000000000000000000000DF259AA39CCD6 +:10F3300059AA39CC59AA39CC39CC59AA59AA59AAAF +:10F3400039CC39CC59AA39CC39CC59AA59AA39CC9B +:10F3500039CC59AA39CC63C965A965A993C665A9F1 +:10F3600065A963C993C687877788778887877788F1 +:10F370008787778877887788878777887788778877 +:10F380008787778877887788878787877788778858 +:10F39000878777888787FFFFFFFF00000000000056 +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E0000000000000000000000000000000FFFF1F +:10F3F00055553333FF0055550F0FFF005555333327 +:10F400000F0F0F0FFF00555533335555CCCCFFFF71 +:10F41000FFFF0000000000000000000000000000EE +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000040300004000000004030000CC01000031 +:10F4C00004030000AC010000040300008C010000F4 +:10F4D00004030000C800000004030000A8000000AE +:10F4E0000403000088000000040300000401000081 +:10F4F0000403000024010000040300004401000094 +:10F5000004030000000000000403000020000000CD +:10F510000403000040000000040200000C00000092 +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000100004000000004030000CC01000076 +:10F5800004030000AC010000040300008C01000033 +:10F59000040200000800000000000000000000005D +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000004100800000008000410080000000C8 +:10F640008000410080000000400041008000000078 +:10F650002800410080000000800041008000000080 +:10F6600040004100800000002800410080000000B0 +:10F6700028004100800000004000410080000000A0 +:10F680008000410080000000280041008000000050 +:10F6900040004100800000008000010000000000E8 +:10F6A00080000000000000000000000000000000DA +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F0000000400080000000000041008000000089 +:10F7000080004100800000004000410080000000B7 +:10F710002800010000000000800000000000000040 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B000000000000000008000C0CB01D30000C0AA +:10F7C00000C0CB03930000C000C00303C9010000C8 +:10F7D00000C0CB009303008000C0CB00C11300C861 +:10F7E00000C08300D70300C003008303C90000C02A +:10F7F0000000D703830000C00001DB00C503000048 +:10F800000023C0138103C40000801323C1C800C0BB +:10F810000000DB2381C3C8000000C401D3800000C6 +:10F8200000C00300C3000000000000000000000052 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000800000008000C8C1FF +:10F88000D3C381C0CBEB00C000C88000C40000C05F +:10F890000080C000C800000000C00000C0000000E0 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000200200401A0 +:10F940008000000200200401800000200002040169 +:10F95000400100000020040080010002002004009B +:10F96000C00000000002840000010020400084016B +:10F9700040000002000000018400000200010000BD +:10F980004001000000008000C001080000020000EB +:10F99000C000002000000000C003000000000001C3 +:10F9A0008002000200200400C000000000000000EF +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000008000000087 +:10FA0000800000218003C020400000020000800030 +:10FA10000000002000028000000000020020000022 +:10FA20008000000000000000000000000000000056 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB00000000000000000000000000000000C80BA +:10FAC00000000007D0020C80018000044000088084 +:10FAD0000080010440000C80019000044002088076 +:10FAE0000080000440000CD003700C27E0001E10C2 +:10FAF00002801208E0021F0000701C070000460090 +:10FB00000400149E4170004001040C00E27041C0EA +:10FB100003781200A14016C003080040E00000C0B6 +:10FB20000304A9C0A170000000080006003800000E +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB8000000000000000000000000000E000000095 +:10FB900000000000E0000000000000000000000085 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC40000000088000109C04C0001C8001389C0C3F +:10FC5000500118800038880C50011C8001B89C0CA1 +:10FC6000C001188000389C0C50011C0000001106D7 +:10FC7000500000800110940CC000002003008C0490 +:10FC80004002000008000C000808060000001000F8 +:10FC900000080600000010000001000000401000F5 +:10FCA0000000000B00000007000900006041500048 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000001000000000000000000000000007CC +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000007000051810000000300066 +:10FDD0000514100000001100051000004000B000E4 +:10FDE0000524100000003000051010000000110074 +:10FDF000082802002000100000000200200001007E +:10FE000000A8380004004000000059000101420031 +:10FE1000010058819400C12000800280002003006E +:10FE20000081020080100300008000000000C1007B +:10FE300000080200000000000000000000000000B8 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000100062 +:10FE90000000000020000000020000002000100010 +:10FEA00008008000000020000008000000000000A2 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000016000001C062E +:10FF5000100010800FB000071000106002400C036A +:10FF60001000000003300000100001A001808C048C +:10FF700010001080012C8000E001070000101C061A +:10FF8000200300000E0801070001236002000008A2 +:10FF90001800092C6A003000008000A4299000009D +:10FFA0001000102C68900C07E00100A06910800080 +:10FFB000E0000000000080000000000000000000E1 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:100010000000100000000000C00000000000000010 +:100020000000000000000000C0000000000000060A +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C000000000000000000000000000000002C06E +:1000D00001043D25100002400100002BD00000402B +:1000E0000090012F000000900330BC16D0000240A9 +:1000F0000380011AF000004003303C074002031067 +:100100000206AD0F000016400130102F0000024023 +:10011000000000C601600F00000100C001000000E7 +:1001200000010036F0380240040040E10132164080 +:10013000042C28CFF11A000000000E09003800003E +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:1001900000000000D000000000000000000200008D +:1001A0000000000700000000000000000002000046 +:1001B000000000200000000000000000000000001F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000080800000DE +:1002200000000000010200010000000000000010BA +:1002300000000000000000000000000000000000BE +:10024000001000000000000000000000808000009E +:1002500040000010808000000000040000018000C9 +:1002600004000C10041004000440040004000C08F6 +:10027000044004100410840000020200008900847D +:1002800000040204801000802000040000000080B0 +:1002900004000400209000001400020000400081CF +:1002A0004000020000200080840044000000002084 +:1002B000040042000000000404000C0000000000E4 +:1002C000040000000000000000000000000000002A +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000800E5 +:1003100000000400000800000800000000000000C9 +:1003200000000200000000000000000000000000CB +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000010000000000010000005B +:1003A0000000080000000000000000000000000045 +:1003B000000000300000000000000000000D000000 +:1003C00000000000003000000000000000000000FD +:1003D00000000000000000300000000000000000ED +:1003E00000000000000008100010000500000000E0 +:1003F00000000C0C0D0000100110000008000000AF +:10040000000C0000000D001600100001008000002C +:10041000000000000000000000300000100000009C +:10042000000C0000A000000000000090B0000000E0 +:10043000000000000001B0000000000C0000080DEA +:1004400000000000000000A0000000000000050007 +:100450000500010000000500000000000000000091 +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:100490000000040000000000000400000000000054 +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:10052000000000000000010000000000080C000CAA +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:1005500000000000101000003000000030300000EB +:1005600000000400000C3000040004000000000043 +:10057000042004000000000004200500000020000A +:10058000010C0400002C0024000C04843000002026 +:1005900010000400000000200400040030200A00C5 +:1005A000040004000011002C300004000030003072 +:1005B00004001400000000300400140000000005D6 +:1005C0000400040000000000040E00000000000011 +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000040000000000C6 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000030000000000000000000000A +:1006C000000080000000000000000000800000002A +:1006D000000080000000000000100010000000007A +:1006E00000100010080000000000001000000000D2 +:1006F000001000000000000000100010400000008A +:1007000000000010000000000000000000000000D9 +:100710000030000000100000000000000000001089 +:100720000000000000100010000000100010000089 +:1007300000000000001000000000000000000000A9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000300000000000000000000049 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:100830000000000000000000008000000000000038 +:100840000000000000003000000000000000000078 +:100850000000000000000000000000000040004018 +:1008600000000000004000400000000000000040C8 +:1008700000000000004000000000000000400040B8 +:1008800030000000000000400000000000000000F8 +:1008900000000000000000000090000000000000C8 +:1008A0000000004000000000004000400000000088 +:1008B00000404000000000000000000000000000B8 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F000000000000000000000B000000000000048 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000001000000000000087 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B00000000000000000000000008002000000B5 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E00000000000000000000000000008000000FF +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000010000000200000A6 +:100A200000000000000000000000000000000000C6 +:100A30000000001000000000000000000010000096 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000020000056 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD00000000000000000000000000000200000F6 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000FFFF47 +:100BB0001D1D00000000FFFF2727000000000000AF +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D3000000000000C000000000000000C0000009B +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F2000000000000000488060A94F8060B94F8039 +:100F300060B94F8060B94F8060B94F8060B94F8011 +:100F400060B94F8060B000000000000000000000A9 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB000000000000000000000000000000053538B +:100FC0003355000000000000000000000000000099 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:101020000000000000000000000000000000FFFFC2 +:10103000AF05FFFF353500000000FFFFF50500009C +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000007A7EAE5C3E +:1010B0003ECFB2D34A66E41F2C281EE5F4C39698AF +:1010C00036C8BAEB023C9E000D18FFFFFFFF000080 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B0000000000000000000000004000000080020 +:1014C0000000A000000004000000A00000000000D8 +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:101540000000000008000000000000000000000093 +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D0000000000000000002000000000000000008 +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000010000001000000000000000000078 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C000000001000000200A0000001004003000AA +:1017D000C030000004001200000000000000000003 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:10183000000000000000000000000010007814000C +:10184000D0001FD003381008D0002ED0017A1C041D +:10185000400017D0032C140AD002060000480027CD +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000010000000007 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:10194000000000000000000000000008000000008F +:10195000C0000000080000000000000000000000BF +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000E000000000120000E00DA +:1019D0000008BE0C500106000000B60BC000270036 +:1019E00000000006000000000000000000000000F1 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A500000000000000000000000200000008800DE +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000100006 +:101AD00030000000000000000002000020000000B4 +:101AE00000200000000000000000000000000000D6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000C00100081000010C8031D +:101B50001C0004800000010000008100008044019E +:101B600000008100002800000000000000000000CC +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000000000000000001C00E9 +:101BE000000080090000000000000000000000006C +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000700000800000000C08100000000000034 +:101C60002000000000000000000000000000000054 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC00000000000000000200000000000000020D4 +:101CD000080C10012000234006808808100008200E +:101CE000089000071000000000000007000000003E +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D600000000E000000000800000000000000005D +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000E00000000010000013 +:101DE000F000000000000000000000000000000003 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E5000A000000000003108200002400000000047 +:101E6000F000080000101C0EF00000000028202FD9 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000400040000000000A9 +:101F30000400040000000000040004000000080881 +:101F40000400040124000008040004000100000053 +:101F500004000440000000000404840000000000AD +:101F600000000400200400040400008001000004BC +:101F70000000000000020004040000000000000453 +:101F8000040000000000080000000400000000043D +:101F9000040000000000000000000000000000003D +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD00000000000000000000000000010010000F0 +:101FE00000000010001000000000000020000000B1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000070700070000000000000000010 +:102080000000000000000000000000000000000050 +:10209000000000000000000000000000050000003B +:1020A0000000000000000000000000000000000030 +:1020B00000000000000000000000000C0000000014 +:1020C000000000000000000000010000000100907E +:1020D00000000000010001000000000000000000FE +:1020E000000000000000700101000000000100007D +:1020F00000000000010000010000000000000000DE +:1021000000000000000000000000080000000000C7 +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:102150000000000000000000000000000600000079 +:1021600030007000000000000000000002000000CD +:1021700000007000060000000000000000000000E9 +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000040004000000000004000400000000018D +:1022400004000400000000000400040C280000004A +:102250000400040008000000040004100000000056 +:10226000040014000000000000000400200000042E +:10227000040000000850000400000000000C0004EE +:102280000400000000000004040000000000000042 +:102290000000040000000004040000000000000032 +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000800000000000000000000E6 +:1022F00000000000200000000000000000000000BE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000200000000000000000000000003D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A0000000000000080000000000100000000015 +:1023B0000000000200000000000000020000000019 +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:102470000000000004000000000000000000000058 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000800000000000800000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B0000000000000000000000000000000020019 +:1025C000000000000000000000000000000000000B +:1025D00000000008000000000000000800000000EB +:1025E00001000000000000000100002400000020A5 +:1025F00001000020000000000100000400000000B5 +:1026000000000004000000000000000000000000C6 +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A0000000000000000000000000000008000022 +:1026B000000000100000000000000000000000000A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000004000000000000000471 +:102760000000000000040000000000000000002441 +:102770000000002000000020000000000004000411 +:102780000000000000000004000000000000000045 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:1028400000005F503535000000000000000000006F +:102850000000000000000000000000000000FF80F9 +:10286000F5050F8F33B3FF8055D50F8F33B333B3D7 +:1028700055D50F8F33B3FF8055D50F8F33B300007D +:1028800000000F8F33B30F8F33B3FF8055D50F8FF9 +:1028900033B3000000000F8F33B3FF8055D50F8F87 +:1028A00033B3FF8055D500000000FF8033B3000034 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000C0000000000000000000000000000005B +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C00000000000000090241E0000000000000035 +:1029D00000000000000000000000000000000000F7 +:1029E000000090241E0090241E0090241E009024BD +:1029F0001E0090241E0090241E0090241E0090248F +:102A00001E000000000090241E0090241E00902450 +:102A10001E0090241E000000000090241E00902440 +:102A20001E0090241E0090241E0000000000902430 +:102A30001E00000000000000000000000000000078 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000040000000000000000000000E2 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000900401 +:102B4000000000000000488040A94D8040B84D8042 +:102B500040B94D8040B84D8040B94C8040B84C8061 +:102B600040B94D8040B84D8040B84D8040B94D804F +:102B700040B84D8040B94C8040B94D8040B94D803F +:102B800040B84C8040B94D8040B84D8040B84D8031 +:102B900040B84C8040B94C8040B84D8040B84D8022 +:102BA00040B84D8040B84D8040B84C8040B94D8011 +:102BB00040B0000000000000000000000000000025 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000040000000000000000024 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000F303FFFF0000000055AA9999A5A595 +:102CD000999999999999A5A533CC9999999933CCB2 +:102CE000C3C399999999A5A533CCA5A533CC55AA69 +:102CF000A5A555AA9999A5A599999999999955AA7A +:102D0000A5A59999C3C3999955AA55AA999999992D +:102D100033CC55AA9999999955AA33CC99999999EF +:102D200033CC55AA9999999933CC55AA9999C3C38B +:102D3000999955AA33CCFFFFFFFF00000000000067 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E4000000000000000000004020000000000007C +:102E500000008000000E00000000000000000000E4 +:102E60000000000000000000000000000000000062 +:102E70000000C020005400000000C02000640000DA +:102E80000000C02000A200000000C020009200004E +:102E900000008000000A00000000C02000200000A8 +:102EA00000004020001000000000C02000080000CA +:102EB0000000C02000CE00000000800000060000DE +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000001000000000000 +:102FD00080008000000000010000000000000000F0 +:102FE00000000000000000000000000000000000E1 +:102FF000000080200000000100048020000000018B +:10300000001080200000000100108020000000015E +:10301000000480000000000100008020000000018A +:1030200000100020000000000000802000000001CF +:10303000000880200000000100088000000000015E +:10304000000400000000000000000000000000007C +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000C00000000000C0000000000000EF +:10316000000000000000000000000000000000005F +:10317000000000000000000000C0C000440000008B +:1031800000C0C000440000000040E0000003000058 +:1031900000C0C0004400000000C0000000000000AB +:1031A00000C0E0000022000000C00000440000C099 +:1031B00000C000220000004000C0E000000000004D +:1031C00000C0C0000000000000000000000000007F +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D000000000000000000200000000021000409A +:1032E00001000000000000000000000000000002DB +:1032F000002000000000002000000000000410007A +:103300000080000000041000008000000020000089 +:103310000108004000041000000000000010000040 +:103320000100000000100000010000000004000087 +:103330000000000400100000010000200010000048 +:103340000100000200100100010000000000000068 +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:103450000000000000001200000000000000080052 +:10346000600000000010000000000000000000806C +:1034700000043400C000000000504000000001873C +:1034800070390020C0780802703B00000278008389 +:1034900060500000C9F80003280000060978080001 +:1034A000603000000078080FF01000C00310080F13 +:1034B000001000C00300080F80002DC00310080F8B +:1034C000F00000C003100D001000000000F000002C +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E0000000010010351000C082008004002C0093 +:1035F000C00200400000100008000080040010001D +:10360000C0020E48E0001CC60860010820101C40E3 +:10361000C016804870351C000B30808864355C8093 +:10362000031401A0713D2800001401A201111C2007 +:10363000019401A301B00020010041A70139082035 +:103640000194410400091C20C1960E008000000076 +:103650000038000000000000000000000000000032 +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:10376000000080000000010008201000008001001F +:103770000000000000000100002010000000010017 +:1037800000000000000020046090102000082001CC +:10379000601010A00204010020001050400420001E +:1037A0000030144000040000281000040000201025 +:1037B0000050100000808030080C00000000202025 +:1037C0008056000000802000005010000080600043 +:1037D000C08B00000080000000000000000000001E +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000028061000006EF +:1038F0001070038001009400200203800C009400EB +:103900000000032000008C0110008003000814C692 +:1039100007694010068000C605495210008000CBA0 +:10392000C068810B680114C6E549080008800003DF +:10393000C0F00047E0BA40C6A1710807083800C0CF +:10394000C1000027E83800C6117000000E0014C640 +:1039500001710000604800060070000000000000D7 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000001200000000000000000044 +:103A7000E400002FB0000220002C010F0000020023 +:103A80000030010F000002000078400F00004004E9 +:103A9000F0004E2002650000F00801AF0241000076 +:103AA000F0003CA002620203F00811200242204014 +:103AB000F00000160082804F00034066097A204F14 +:103AC000007800CB0B02004F70503CEB0B78400F9E +:103AD0002CD001E10B78000060383C200078000019 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF000000000004040000008000000000000003D +:103C00000000004000000000000000000000000074 +:103C100000000000000000004040800000000000A4 +:103C20000040800000400010005080000180000033 +:103C300000400000008000000010001000000000A4 +:103C40000040000100000000000020000000000013 +:103C500040000000800000000000000000000000A4 +:103C60000000000000400100000000000000000013 +:103C70000000000000000002000000000000000042 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D700000000100000000000000500000000000F2 +:103D800002B000B00000000001000D0A00000000B9 +:103D900001B000B001000001010A00010100900023 +:103DA00051040501000190010101013600110000DC +:103DB00000010000000000000001000000300010C1 +:103DC0000000000A000009000000000A00000000D6 +:103DD0009D000002000009009800000C0000000097 +:103DE0000000000000000000005000000000000083 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF0000000420000000000000000000000000080 +:103F00000000000000000000000000200000000091 +:103F100000000000000000000000000000000000A1 +:103F200010201000000100000020100000300000F0 +:103F3000002010000C2000000030000000200000D5 +:103F400000006000000000000020000C00000000E5 +:103F5000000030C00000000010000000110000014F +:103F600000000000000000000000000000100C0035 +:103F70000000000000000000000000000000000839 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000030000040 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:10407000000000000000010000300000000004000B +:1040800000000000000000000430000000000000FC +:1040900000300000080000000430000004000000B0 +:1040A00000000000000010000000000000100000F0 +:1040B0000000000000000000000000000000000000 +:1040C00000000004000000000000001000000000DC +:1040D00000000004000030000000000000000000AC +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000020000000000000005F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D000008000000000000000000000000000005F +:1041E00000000000000000000000000000000000CF +:1041F000000000000000000000000000004000007F +:10420000000000000000000000000000014000006D +:104210000000000000400000000000000140000419 +:10422000010000000000000000000030000000005D +:1042300000900000000000000000000000000000EE +:104240000000000004000001000000000000000069 +:104250000000000004000001000042000000000017 +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000010000000001E +:1042900000300000000000000000000000000000EE +:1042A0000000000008000000000000000000000006 +:1042B00000000000000000000000000040000000BE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000404280000000000000000000005B +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:104380000000000000000800000000000000000025 +:104390000004000000040000000000000804000009 +:1043A00000000004000000000000000000001020D9 +:1043B00000000000002000000000000000000000DD +:1043C00000000000000000000400000000000000E9 +:1043D00000000010000000000400000000000000C9 +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:10440000000000000000000000000000000000208C +:10441000000000000020000000000000000000007C +:104420000000000000000000040000000000000088 +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:10590000080000000800000000000000000000087F +:10591000080000000000000000000000000000007F +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A5000000000000000000000000C00000000003A +:105A60000000800000000000000D0E00000000009B +:105A700000A905000000000000000C00000000006C +:105A80000000A000080000000C00000C0000000056 +:105A90000000000C08000000000000000E000000E4 +:105AA00000000000050000000000000000000000F1 +:105AB00000000000000500000000000000000000E1 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000100C4 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000100A4 +:105C00000000000000000000000000000000000193 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000010000000000000000073 +:105D200000000000000000008000000000000000F3 +:105D30000000000000000000000000000000000063 +:105D40000100000000000000001000000000000042 +:105D50000000000000000000000040000000000003 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000100000000013 +:105D800000000000000000000000000040000000D3 +:105D90000000000C000000080000000000000000EF +:105DA00000000000010000000000000000000000F2 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E900000000000000000000000004000000000C2 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000040000000000000000020000CC +:105ED00000000000000000000000000000001000B2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F1000100000000000000200000000000000006F +:105F2000000000000000000004000000000000006D +:105F3000000000000000000C000000000000000055 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000010000000000000000000000000000030 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000001000000000000000000000000000 +:1060900000000000000000000000000000000008F8 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000400000000DC +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000040000A7 +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:107600000400000000000000000000000000000076 +:107610000004000000000000000000000000000066 +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000010101000001000065 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E00000000000000C000000000000000000008C +:1078F0000000000000000000000000000000000088 +:1079000000000000000000000C000000000000006B +:107910000000000000000000000C0000000000005B +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000080008000000BE +:1092A00000000000000000080000080000000000AE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:109420000400000000000000000000040000000034 +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000200B8 +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:1097200000000000000000000C000000000000002D +:109730000000000000000000000000000C0000001D +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000080000000000000000000000000020 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000001000000B7 +:1098B00000000000000000000000000000000000A8 +:1098C0000100000000000000000000000000000097 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC000000033B30F8F0000000000000000000011 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900004000000000000000000000000000000C0 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D400000000000000090241E0000000000000041 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000004000000000000000000000000002 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000902412000000DC +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F2000000000000000000000009024120000006B +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A0300000000000000000000000000000000F8F82 +:10A0400033B300000000000000000000000000002A +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A000000000000000000000000000000033B3CA +:10A0B00033B30000000000000000000000000000BA +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000100008001000000000000000000000D +:10A1D00000008000000400000000000000000000FB +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000100008000000000000000000000009D +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A340000000400080000000080000000000000045 +:10A350000000800000000001000400000000000078 +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000040008000000040000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C00000000000000000000000C8000080000044 +:10A4D00000000000000000000000C00000000000BC +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A530000000000000000001001300000000000007 +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000020000000000000000000000401000A8 +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B0000000000000000000000000010000000099 +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000008009 +:10A7D0000100000000000000000000000000000078 +:10A7E0001400000000100000000000000000000045 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A8300000000000000000000000000000000040D8 +:10A840000030000040000000000000000000000098 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A95000000000800100000028020000000000004C +:10A9600000000000E00A000000C00000000000003D +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C000000000800D482C00D001000000000000B5 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD000000000000000000007200200000000004D +:10AAE0000000000000000000804400000040000062 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB400000000000000000000040000000000000C5 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000003000000F1 +:10AC6000100000000000000000000000C0380000DC +:10AC70000070000000000000000000000000000064 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000800180000083 +:10ACD000E001000000000000000000000000000093 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD000000000000000000000000000000000D0A3 +:10ADE00001380000F000000000000000000000003A +:10ADF0007434000000F800000000000000000000B3 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE400000000000000000000000000000000060A2 +:10AE500003000000000200000000000000000000ED +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF60000000000000000000000000801000000051 +:10AF700000000000000000000000000000020000CF +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000400040000000000A9 +:10AFA000000000000000000000044000000000005D +:10AFB0000000000000000000000020000100000070 +:10AFC0000000000000000000000020000000000061 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E00000000000000000000000000000000090D0 +:10B0F00010000000000000000000500000000000F0 +:10B10000300000000000000000000000000000000F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B270000000001000000E000000000000000000B0 +:10B280000000000080080000000000000000000036 +:10B2900000000000000000000000000000000000AE +:10B2A00008000C000000000000000000000000008A +:10B2B0000008100000000000000000000000000076 +:10B2C000000030000C000000000000000000000042 +:10B2D00000003050000000000000000000000000EE +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000402D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B4100000400000000000000000000000000000EC +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B530000000020000000000000000000000000009 +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000030000000000000000000000000AB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B59000001000000020000000000000000000007B +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000003000000000000000000000000000001B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B670000000000000000000000000000000810148 +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000200000000000000000000009 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000002000000000000000000000A9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000003355FFFF117755550000E0 +:10B8B00000001177FF00117755551177FF00000048 +:10B8C0000000055F3333033FFF000000000000006D +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000090040C0048200E +:10BA30001E200000000048201E2048201E20482014 +:10BA40001E200000000048201E2048201E2000006C +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000A01228DDA43A +:10BBB00052B8DCA452B9DDA452B9DDA452B8DCA459 +:10BBC00052B9DDA452B9DCA452B9DDA452B10000CF +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC60000000004000000000000000000000000094 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000FFFF15 +:10BD3000FFFF6666999933CC999955AA55AAA5A58E +:10BD400033CC33CC999955AA999933CC9999A5A517 +:10BD50009999FFFFFFFF00000000000000000000B5 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB000000000000403800024030000040340206D +:10BEC000448100000403C02000200000040340203F +:10BED000201000000402C020000800000000000044 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C03000000000000000C100800000014004412019 +:10C04000800000008008C1208000000128104120ED +:10C0500080000000400081200000000180080000F6 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000080C4C000007B +:10C1C000C800004003C0C800800000C800C0802232 +:10C1D000C00002C800C08000C000000002C0000013 +:10C1E000C00000000000000000000000000000008F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000002FB +:10C3400000100000000000204020000080000000DD +:10C350000020800082000400002080008000020293 +:10C360000420000080000000000000000000000029 +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C00000000000280001000080020700140000A6 +:10C4D000000000842001000000000844010000006A +:10C4E00000000002000000A00100000000000000A9 +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C64000000000000000000000001000003000BCEE +:10C6500000001CC004000610600A9CC60414000000 +:10C6600000001CC00400001000000000006000007A +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000230000037 +:10C7D0000000E00001201010000030800050100028 +:10C7E0000080400401301000000000088028008014 +:10C7F00000400000000000000000000000000000F9 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000020C7 +:10C9500000000100C00402000000020010000000FE +:10C960000F0000C6066108000A00020600012E0042 +:10C97000000000A601B4000000000000000000005C +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000F00200000000080F4D +:10CAE000D0000000000021200100201000000C6F89 +:10CAF0000A0000041000212F0010000000000000B8 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000001000000000000000000000000000044 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000200020000000000000A4 +:10CC50000000800000000020002000000000008094 +:10CC60000000000020000002000000008001000021 +:10CC7000202200202001000000020000000000002F +:10CC800000000000000000010001000000000000A2 +:10CC90000000000000000000100010000000000074 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000500000000000000000000013 +:10CDD0000000000000000000000000000000000053 +:10CDE000000000060000000000000000000000003D +:10CDF0009000000000000000007000000000000033 +:10CE00000000000000000000000010000000000012 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000A00000000000000E7 +:10CF400000000000000000000000000000000000E1 +:10CF50000000000000000000000030000000003071 +:10CF60000030000000000020000000001000000C55 +:10CF700000000050100C00000018002030080000D5 +:10CF8000000C00000000000000000000000080080D +:10CF90000008000000000000000000000000000089 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000001000000000000040 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D25000000000000000000000000000008000004E +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000C000000000001D +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000100000000000000000000000004D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D54000000077225533000000003F0C1B1B000039 +:10D550000000FF7F80804D4D333311770F0F0F8F09 +:10D5600033B3FF80550FFF8055D50F8F33B3FF8046 +:10D5700055D50F8F33B3FF8055D50F8F33B30F8F32 +:10D5800033B3FF8055D50F8F33B3FF8055D5FF8060 +:10D5900055D50F8F33B30F8F33B3FF8055D5FF8031 +:10D5A00055D50000000000000000FF8033B30000EC +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000400000000000000F6 +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C00000000000000090241E00000000009024D4 +:10D6D0001E000000000000000C0048201E20482012 +:10D6E0001E2090241E0090241E0090241E009024D2 +:10D6F0001E0090241E0090241E0090241E009024E2 +:10D700001E0090241E0090241E0090241E009024D1 +:10D710001E0090241E0090241E0090241E009024C1 +:10D720001E0090241E000000000000000000902455 +:10D730001E000000000000000000000000000000CB +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000400000000039 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D84000000000000000488040A94C8040B84C8097 +:10D8500040B94D8040B84D8040B94D8040B84D80B2 +:10D8600040B94D8040B84D8040B84C8040B94D80A3 +:10D8700040B84C8040B94D8040B84C8040B94D8094 +:10D8800040B84C8040B94D8040B84C8040B94D8084 +:10D8900040B84C8040B94D8040B84C8040B94D8074 +:10D8A00040B94D8040B84D8040B84C8040B84D8064 +:10D8B00040B0000000000000000000000000000078 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000040B7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D9800000000000000000000000FF7FFF7F00009B +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000055AA9999C3C3A0 +:10D9D0009999999999999999C3C39999A5A599994B +:10D9E000C3C39999A5A59999C3C39999C3C3C3C33F +:10D9F000999955AAC3C3C3C39999A5A5C3C333CCE9 +:10DA000099999999C3C3C3C3A5A59999C3C333CCA5 +:10DA1000A5A59999C3C3999999999999C3C3C3C362 +:10DA2000A5A59999A5A59999C3C39999C3C3C3C33A +:10DA3000C3C39999C3C3FFFFFFFF000000000000AC +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB50000000800000060000000080000026000099 +:10DB600000000000000000000000C0200054000081 +:10DB70000000C020006400000000C02000A20000DF +:10DB80000000C020009200000000C020008A0000B9 +:10DB90000000C020002000000000C0200010000095 +:10DBA0000000C020000800000000C02000CE0000DF +:10DBB0000000402000D0000000008000002600008F +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD000000080000000000100048000000000013E +:10DCE0000000000000000000000080200000000193 +:10DCF00000048020000000010010802000000001CE +:10DD000000108020000000010004802000000001BD +:10DD100000088020000000010010802000000001A9 +:10DD200000048020000000010008802000000001A5 +:10DD3000000800200000000000008000000000013A +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE100000000000000000100000000000000000F2 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE50000000000000000000000000C0C32000001F +:10DE600003000000030000000000000000000000AC +:10DE7000000000000040004000C0E0000000000082 +:10DE800000C0C0004400000000C0C000440000000A +:10DE900000C0C0004400004000C0E000000000409E +:10DEA00000C0E0000000000000C0C000440000000E +:10DEB00000C00000C022000000C0000044000000BC +:10DEC00000C0C000000000000000000000000000D2 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD00000000000000000000000004000000111EF +:10DFE0001800020008000080080000000000000087 +:10DFF0000000000000000040002000200010000091 +:10E000000100004000041000000000400004100067 +:10E01000000000400004100000000020001000007C +:10E02000010000200010000001000040000410006A +:10E0300000000000000401001000000000040000C7 +:10E0400000000002001001000100000000000000BC +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000001300EC +:10E1200000000028000000000000000000000000C7 +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E16000802814000000000004300F00C0140000DC +:10E1700020020006C0E8000FF0304006C680000F05 +:10E18000700000C80380080AF40030460AF800C88E +:10E19000870080860278000884802CC6C17880C7FA +:10E1A0007B0000C6C310000F100000C60390000FD4 +:10E1B000F0000006C178000F100000D6C390000FD9 +:10E1C00000300006C10000001000000600F0000052 +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A0000000020000004006000000000000000026 +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E0000000100000011500D00000000000100028 +:10E2F000003900002012280800600000004014C807 +:10E300000000000700395C20D130000800001C48E4 +:10E310002042000800001EDE04600008E0381C28CF +:10E320002116000700151C2801944004000B1C282E +:10E3300001B0000880081C2823164004000B1C2094 +:10E3400001B10008008800282302000080020008B4 +:10E350000038000000000000000000000000000085 +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000400000000E8 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000010020400C2004094 +:10E47000A010000400000800100000008000080048 +:10E480000004018480002010401811000080240244 +:10E49000001010000000202800301040004028022A +:10E4A0000014100080002821803210008080280095 +:10E4B0000050110000802808003910008000006022 +:10E4C0008846100000802800002C000080002800F2 +:10E4D000900A000000800000000000000000000022 +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A00000000000000000000000320000005403E2 +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E0000000000000000000000006000A00000615 +:10E5F00000F0072080002006207200A0E300C00485 +:10E600001070802020100103D0040182E9110CC693 +:10E61000017900022F1000C605710007EF2900C61E +:10E6200005710007E81000C605710007E82800C65C +:10E6300003710007EE380E66E2F10000080000C624 +:10E6400005710003603080C0017080AA093800C6DF +:10E65000050000008040000600700000000000007F +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000200E7 +:10E730000000560A00000000000000000000000079 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E760000000000000000000000000000000011098 +:10E7700000700007F00003806100000100040C40FD +:10E780002B79000A00800C470700001A0000822F36 +:10E7900028363CE00B008002F07B00E003F8000725 +:10E7A000F0B8002002F88C07F079346002E80C0F12 +:10E7B00070B800A009188C0F782F102002424C0767 +:10E7C000F4B800E003F8168F61783CC70B790C02AF +:10E7D000003800E003000C0010303C2000780000FE +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000040000028 +:10E8B0000000010000000000000000000000000057 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F00000000000000000000000000020000000F8 +:10E900000000000020101220000000008000020023 +:10E910000000000000004000100010008000000017 +:10E920000000000000008000200000089100098025 +:10E930000001098881080881010001881901090284 +:10E940000002012A00000800000000001000000082 +:10E950000040002000800000000000008100000056 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000100000100000000003030000000000024 +:10EA90000104000100000000900000503000300927 +:10EAA0000000000090000C000000000000002004A6 +:10EAB0001000000000B00C04000800000D0000046D +:10EAC000140000A00000500400000000000000003E +:10EAD000100000000000000000B000000000000076 +:10EAE0008000000000000000000A0000000000009C +:10EAF0000E0C0D00000000000000000000000000EF +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000300000000000000000000025 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF00000700000000000000070000000004000F5 +:10EC00004000000000000000400040800040002064 +:10EC10000070000010010C00000000000000100057 +:10EC200000000000100000000000010000002000B3 +:10EC30001000800024000820007804302400002CFC +:10EC40000C00042008040C0C0008043C0000000028 +:10EC50000000000000000000002000300030000034 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000010000000000000000000093 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000020B3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000001000000000000000000000000000092 +:10ED800000004000000000200000002000000020E3 +:10ED90000000002000000020000000200000000013 +:10EDA0000000000000100000000000000000000053 +:10EDB0000000200020000000000000002000204093 +:10EDC0000040000000000000000000000000000003 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC00000000080000000000000000000000000C2 +:10EED0000000000000000000000000000000000032 +:10EEE0000000020000000000000000000000000020 +:10EEF0000000000000000000000000000000000012 +:10EF000000000000000020000000008000000080E1 +:10EF100000800081000000800080008000000080F0 +:10EF200000800000000000000090000000000000D1 +:10EF300000000000000000000000000000000000D1 +:10EF40000000002000200000000000000000000081 +:10EF500000000000000000000000000000000000B1 +:10EF60000000000000800000000000000000000021 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000003000000000000051 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000001010000000000000000EE +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F09000200000000000002420000000000000000C +:10F0A0002000000000000000000000000020000020 +:10F0B0000000000000000000000010001000000030 +:10F0C0000000000010001000000000000000000020 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000200000000000000000 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000200000DF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F250000000CF0C3333F3035F50553355330000B8 +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D00000000000000048201E2090241E00902401 +:10F3E0001E000000000000000000000000000000FF +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F54000000000000000000000009024120048208D +:10F5500012200000000090241200902412000000ED +:10F560000000482012200000000000000000000001 +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F00000000000000000000000004000000000CB +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C00000000000000000000000000000007722A1 +:10F6D000BB117171333300000000BB114747BB11F0 +:10F6E0004747000000007171FF00000000000000AB +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F85000000000000403000044010000000100005B +:10F86000000000000402C02020100000040240201C +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D00000000000000041008000000080004000A6 +:10F9E00080000000080081200000000100040120C8 +:10F9F000000000008008000000000000000000007F +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000C400C080C400DD +:10FB6000C000000080C880000000C000C340C0008A +:10FB7000C403C40044C044000000000000000000B2 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0008002000080000000800080000000100002 +:10FCF00084A01000000100000004000000000000CB +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE600000000E0000042C00000000800200400092 +:10FE700020000E00040040980200010400800020D1 +:10FE8000080000000000000000000000000000006A +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000003C0000000000C002000013 +:10FFF0000000000000001000200014200030480322 +:020000040002F8 +:100000000038008101000000000000000000000036 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:100160000000000000000000000001000A0044013F +:10017000000000000200000080008000000000007D +:10018000014000010000000001000000000000002C +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000012807C +:1002F00001000000C00000000600100020012200E4 +:100300009001008801440000000C2DA703000000AC +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:1004700000000CC00100000000020080010001002B +:100480000002020B1000212008181C0000008C80C4 +:10049000010000000000000000000000000000005B +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:1005700000000000000000000000000080000000FB +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000080000004000000008000000408B +:1005E0008000000000100800000000000200000071 +:1005F0000000000800000010000040080000000497 +:100600000004080880090000000020040100000028 +:1006100000000008000000000000000800000000CA +:1006200000000008000000000000000000000000C2 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000901000000000000DF +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:100740000000000000000800000000000000000D94 +:100750000000000104000088080000000A000008F2 +:10076000000000000100000400300C00090A000035 +:100770000E000000000A0D0800000920000000081B +:100780000C00700450200408A4080004007000004D +:100790000000200400000008040000000000000821 +:1007A0000000000000000008000000000000000041 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000001000000000027 +:1008D0000000000000000100010000000100001005 +:1008E00000000000010100100000000100000000F5 +:1008F000000000000C0040000600000000000000A6 +:100900000600300001000009008C00662154000739 +:100910000000360C080080010000006001000000AB +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000020000000000000000000000E4 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A6000800C0000000000000000000000000000FA +:100A70000010000000000000000000001000000056 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000004000000000000016 +:100AA0000000000000000000000010000000000036 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF0000000000000800000000000000000000075 +:100C00008000000000000000000000000000000064 +:100C100000000000000000000000000000200000B4 +:100C20000000000000000000000000000000800044 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000020000000000000000000000000002 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D6000000000000000000000080000000000007B +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000100000000000000000000000000043 +:100DA0001000000000000000000000000000000033 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F00000000000000000000000000000000FFFFE3 +:100F1000330F000000000000000055330F330000C5 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:10109000000000000C000000000000000000902490 +:1010A0001E00000000000000000000000000000022 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:101210000000000000000000000000000000482066 +:101220001220482012204820122000000000000058 +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C00000000000000000400000000000000000DE +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000FFFF272700000000000001 +:1013A0000000DD445555DD440F0F033FFF000000F2 +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:101520000000000000000000040380000020000014 +:101530000402000020000000000000000000000085 +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A00000000000000000000000C10080000001F8 +:1016B0002800010000000000000000000000000001 +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:101820000000000000000000000000000000C000F8 +:1018300000C08000C0000000C400000000000000E4 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000002000000000000000100000000007 +:1019B0000000104001208000100000000000000026 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B20000000000000000000000000004000000075 +:101B3000000000000100008061520000203C120003 +:101B40000080800000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB00008000000000000100000100008100000E4 +:101CC00000400C000038000100000000000000008F +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E30000000000010000000000000400000000052 +:101E4000400000008004820000000000000000004C +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB00000000020020000000000400400000000BB +:101FC000000001402081160FC0080000000C0C002A +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000A0000000FF +:10214000000000000200024000300D2600020800DE +:10215000000040000000000000000000000000003F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000002020000000001E +:1022800000002020000000000000202000000000CE +:1022900000002020000000000000002000200400BA +:1022A00000000008002000000000200800000000DE +:1022B000000000200020000000002020000000009E +:1022C00080002020000000000000082000210008FD +:1022D000000024200000000000000020002000007A +:1022E00000000020000000000000000000000000CE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:102410000D0A0E00000000000D0A0E00000D000065 +:102420000D0A0E00090000000D0A0E000000000059 +:10243000000A0E0A0000000000000E0A0000000062 +:102440000D000E0000000000000A0E0A000004004B +:102450000D0A0E00007000B00D2A0E007000000082 +:10246000000A0E0A000000000D0A0E000000000025 +:10247000000A0E0A00000000000A0E000000000022 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000100000000000000007A +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A0004000000000000800008000000000000063 +:1025B0000000000000000000000006000000000015 +:1025C00000000000000000001000000000000000FB +:1025D00000000000000C000000060C00008000005D +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A0000000000000000001000000000008000021 +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000004000000000000000408000000000C9 +:102710000000400000000000000040000000000039 +:102720000000400000000000000040000000000029 +:102730000000400000000000000040000010000009 +:102740000000400000000000000040000000000009 +:1027500000004000000000000000400000400000B9 +:1027600000004000000000000000400000000000E9 +:102770000000000000000C0000000000000000004D +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000800000000A0 +:102830000002000000000000000000000000000096 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000080000000000000000000000000000088 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000010000000000D7 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A00000000000000000000000000000008200A5 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000001000000066 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000FFFF0F33FFFFF303FFFF3F0CFFFF8A +:102BD0003355FFFF3F0CFFFF5533FFFF3355FFFF1A +:102BE0005F0A0000000000000000FFFF55330000F6 +:102BF0000000FFFFFFFF00000000000000000000D9 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000400000040 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D400000000000000000000C0000000C0000006B +:102D50000C0000000C0000000C0000000C00000043 +:102D60000C0000000C00000000000000000000004B +:102D70000C000000000000008C02000000000000B9 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000D8A452A8DCA452B9DCA452B8DCA427 +:102EA00052B9DCA452B8DCA452B8DCA452B9DCA4F8 +:102EB00052B8DCA452B9DCA452B8DCA452B9DCA4E8 +:102EC00052B8DCA452B8DCA452B8DCA452B8DAA4DC +:102ED00032B8DAA432B8DAA432B8DAA432B8DAA452 +:102EE00032B8DAA432B8DAA432B8DAA432B8DAA442 +:102EF00032B8DAA432B8DAA432B8DAA432B00000B8 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000040000000000000000000000000F1 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:103010000000000000000BF4C9C3C9C3A9A5C9C3BF +:10302000C9C3C9C3A9A5C9C3C9C3C9C3C9C3C9C37E +:10303000A9A5C9C3C9C3C9C3A9A5C9C3C9C3C9C3AC +:10304000A9A593C663C963C963C993C693C663C977 +:1030500063C977888787878777888787778887870F +:103060007788778877887788778887877788778859 +:10307000878787877788778887877788878777881C +:103080008787FFFFAF050000000000000000000080 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:103190000000000000000000000000000403000028 +:1031A000A8000000040300008800000004030000E1 +:1031B00004010000040300002401000004030000D7 +:1031C00044010000040300000000000004030000AC +:1031D0002000000004030000400000000403000081 +:1031E000CC01000004030000AC0100000403000057 +:1031F0008C01000004030000C8000000040300006C +:10320000A800000004020000080000000000000008 +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:10331000000000000000000000000000000041006C +:1033200080000000400041008000000028004100B3 +:1033300080000000280041008000000040004100A3 +:103340008000000080004100800000002800410053 +:10335000800000004000410080000000800041002B +:10336000800000008000410080000000400041001B +:103370008000000028004100800000008000410023 +:10338000800000004000010000000000200000005C +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A0000000008000C0C880C4C3000000C080C805 +:1034B000C8C3000000C8C4C180CB00C800C0C4C1DC +:1034C00080CB008000C0C880C0C300C80080C4801A +:1034D000C0C8008000C8C48300C800C00080C4C148 +:1034E000C8C800000013C4C1C0C801000000C4D394 +:1034F000C0C900800113C4C1C0C800C0001383EB61 +:1035000080C000800003C7C1C8C800400003C4C019 +:1035100004000000000000000000000000000000A7 +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:103620000000000000000002000200020021000073 +:103630000020800000210000000000038001000045 +:103640000020000380010002002000028021000011 +:1036500000020002800000020000000300000002DF +:1036600000020003000000000000000380002000B2 +:103670000000000280010802200000038000000218 +:1036800000008400800200020001040300000040EA +:103690000001000200000000000000000000000027 +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000009D0030000003D +:1037B00000001FD00308000000001FD00308000015 +:1037C00000001FD00300000000001FD00300000015 +:1037D000000011200084100640010CC00350800E30 +:1037E000C0020C000038008EE138000000D080C01C +:1037F000017001500510C8C00140071000310000E1 +:103800000100061000380006000006C0030A40044C +:10381000E1001E2000084007E0000000000000005A +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:1039200000000000000000000000000000000E8009 +:1039300003001C0000000E8003805C0008020E8063 +:1039400003801C0000000E800300540008020E805B +:1039500003001C000000008000385D06C00122004A +:1039600000001000C00022000800800400000000D9 +:1039700083112807400A068003000C070000068C0C +:10398000E33028004002008003B82808400200000D +:1039900000904C000200008000000C0000000000BD +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB000000004400034900228000100002010089B +:103AC000000001400024900228002000001002089D +:103AD00000002800001491022800080003041008C8 +:103AE000000004000404020280000C2002180106F9 +:103AF00095100240040001000000090001100C00B4 +:103B000000024800081820800010C100004000009A +:103B100000004110000000008000010000180000BB +:103B20003000000000000000000000000000000065 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C300000000000000018200030000718001040AD +:103C400006002000C800100002300008E00000C09C +:103C500000802808E000000006B0140610002200D2 +:103C600007806006E00009000F00A8081001262068 +:103C700080015011200027230000900810010063EC +:103C800000884007E00922806BA8101F20020CC0AA +:103C900001280008100110000FA8000F20020100E9 +:103CA00000AC2C0F0000000000000000000000002D +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB000000000000000000000002040013000274B +:103DC000A00002400180800FA00202A0000080003D +:103DD000D002166000808000D00208400300003A44 +:103DE000F00008C001000028F002220000001600C8 +:103DF0000000084000002C0822608280000034C0CF +:103E00000168884001800CE801320800000300A02E +:103E1000F17000600180002000000E00000200E050 +:103E2000F200020000002C20C00000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000002061 +:103F400000210000000000200030100000400000B0 +:103F50000000000000400000001010200040004061 +:103F60000000002000000000000000002000000011 +:103F700000200040000000000000002000000000C1 +:103F8000000000200490000000000020000000005D +:103F90000100000080000080000000208004000874 +:103FA0000100002000800000000000200000000050 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000101000D0 +:1040D00000000000000000000000000000101000C0 +:1040E0000000000000000000000000000010700050 +:1040F000000A000010000000000A0000000000009C +:1041000000007D0C000000000030000000000000F6 +:10411000040A000000000000000A0CA0900000004B +:10412000007A500C00000000000000009000000029 +:10413000000A000000000000000A0000000000006B +:10414000000A000000000000000000000000000065 +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:104240000A00000000000000000C00000000000058 +:104250000A00000000200000000000000020000014 +:1042600000000020002000100000002000000000DE +:10427000008000000000000080100000000000002E +:104280000000002000006001000000200830000055 +:1042900000000020010060000C0000001000012060 +:1042A00000000020300800000C000020003000005A +:1042B00000000020000000000000000000000000DE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000020000000000000000003B +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000012000000000000CB +:1043E00000020000000000000000000000000000CB +:1043F000000000300000000000020000000000008B +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000200000000000000000000000000008A +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000080000000000B4 +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:1045500000000000000000000000000000900000CB +:10456000000000000000000000000000000000004B +:1045700000000000000000400000000000000000FB +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000300000CB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:1046700000000000000000000000000000000040FA +:1046800040000000000000000000000000000000EA +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000200000000000000000000000000000AA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000020000000000000000000000000000049 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000550F550F0000000053535353000044 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A00000000000000000000000FFFF3F3000009B +:1048B00000000000000000000000FFFF3F3000008B +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000C00000000000000CB +:1049E0000C000000000000000000000000000000BB +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000C0000000000000000000000000000006A +:104A40000C0000000000000000000000000000005A +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD000000000000000000000000F3327277722AB +:104CE000772247477744774477447722553300004B +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000FFFF1B1B000000000000000000004F +:104D30000000FFFF7722FFFF1B1BFFFF7722FFFF13 +:104D40001B1BFFFF5353FFFF4747000000000000FD +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:105160000000000000000000000000000304000038 +:1051700000000000C1000000000000000308000063 +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B0000000080000000000000000000000A00047 +:1051C00000080400000008000000040000000800BF +:1051D000008004000000000000000000000000004B +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000002BC +:1052F0000220000000040002212000000000000045 +:10530000020000000000000000000000000000009B +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:105350000000000000040000000000000000000049 +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:1054600000000000000000000000000000001E809E +:105470006000000900000080F4008000004003008C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000600000000E6 +:1054C000000000000000010000000000106001006A +:1054D000000000001000000000000007A0480000CD +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F000000000802000000600002E80E808000661 +:1056000000140E0000000000000000000000000078 +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:105630000000000000000000000000000000000862 +:105640000000000000000000000006000000000054 +:105650000000060000000000000000000000000044 +:105660000001000000000000000000000000000039 +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000002080DE8280000000810028 +:10578000240800000002400000800001000000002A +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000100E8 +:1057C00000000000000000000000000000000100D8 +:1057D0000000200110022000000000000000010075 +:1057E0000000240000000000000000000000000095 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F000000000000000000000001E80E38800009F +:10590000E0700CA069120007E0002F00008800077B +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:10594000000008000000000000000000000000004F +:10595000000002A080011000000802A0000000006A +:105960000000080086810C00000800000000000014 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A700000000000000000000000000000000EC058 +:105A80007108000FF0FA0C806152002FF0020E0036 +:105A900000080020000000000000000000000000DE +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000001600000000C0 +:105AD000000000000000000000000008207000002E +:105AE000000000055000000000080C0F10700000BE +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF0000000000000000020000000000000000085 +:105C0000000000400000400000000000880000008C +:105C10000800000000000000000000000800000074 +:105C20000000000008000000000000200800000044 +:105C300008080840080800080000002008080008BC +:105C4000000800200808000000080000080000000C +:105C500000000020000000200000000000200020C4 +:105C600000000000000420002044000000080000A4 +:105C700000000000000C0000000000000000000018 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D8000000000000000000000000000000006A06D +:105D900090000000000000A0000A00000D0000A01C +:105DA000000000001000000008000E000000000CC1 +:105DB0000C0000000C0C0800080800080000080097 +:105DC00008080008000800000808000D0008053059 +:105DD0000C0A003000000000050D00050000000066 +:105DE0000530000005000000050A000000700E00EC +:105DF0000008000500000D00050800050000000077 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE0000000000000000000000000000070000042 +:105EF0000000000000000000000000000000001092 +:105F00000000507000005000000000000000200061 +:105F10000000007010000000000000000000000001 +:105F20000000007000000000800000000000000081 +:105F30000000003100000000000000100000000020 +:105F4000060001300000000000000030000000608A +:105F5000000000E0000000E0000000306000603061 +:105F60000000000000900030600000000000100EF3 +:105F700010340000000000000000000000040000D9 +:105F80000000000000000000000000000000000011 +:105F900000000000000000000000060000000000FB +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:10603000000000000000000000000000000C000054 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:1060600000000000000000200000000000000020F0 +:106070000000000000000020000000000000000000 +:106080000000000000000000000000000000000010 +:1060900000000000000000000000000000000020E0 +:1060A00000000000002000000000000000000020B0 +:1060B0000000800000000020000000200000002000 +:1060C0000000000000000000000080000000002030 +:1060D0000000800000000000000000000000000040 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000010000000000000000000000000000CE +:1061D00000000000000000000000000000000000BF +:1061E000000000000000000000000040000000006F +:1061F000000000400000000000000040000000001F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000020005E +:1062200000000040000000000040000000000000EE +:10623000000000400000100000000040000000408E +:1062400000000040000000000000000000001000FE +:1062500000000040000010000000000000000040AE +:10626000000010000000000000000000000000001E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000002000000000000000000000000000CD +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E000000000000000200000000000000000008D +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:1065700000000000000000000000FFFF27270000CF +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000C0000000000000000000000000000007D +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A000000000000000000000000FFFF353500001E +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000400000002C0083 +:1071A000D20000000000000000000000000000000D +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000100000000000000DB +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000400307000200000000000E +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000001000C9 +:1077B0005000000000000000000000000000000079 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000808A8 +:1078D0000000000000000008000000080000200078 +:1078E0000000000000000000000000000000000098 +:1078F0000000000008000000080000000000000870 +:10790000000000080008080800000000080008083F +:1079100000008000000008000008000000000000D7 +:1079200000080008200808000008080000080000FF +:1079300000080000000040080000000000080100EE +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A500000000C0C00000000000000080A00000CF0 +:107A60000000000000000000000000000000000016 +:107A7000000000000D0000000400000008000000ED +:107A80000000000C00000008000808080000000EBC +:107A90000400080800000000000A0800000C0000B4 +:107AA000000D0000000C000C003808000008080061 +:107AB000000800000208060000000D0C0000000095 +:107AC00000080000000000000000000000000000AE +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000002000000000000000000075 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000500000000024 +:107C10000000000000000000000030000000000034 +:107C200000000000000000000000000010800000C4 +:107C30000000000000000000000000000000100034 +:107C4000000000000000080000000000000000002C +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D0000000C000000000000000000000000000067 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D700000000000000000000000000010000000F3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000010000000C3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000100000000000000000000F1 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30004000000000000000000000000000000001 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000100000000000000000000000E0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000FFFF330FFFFF0F330000EE +:10821000000000000000FFFF5533FFFF3355FFFF54 +:10822000330FFFFF5533FFFF3F0C0000000000003D +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:108380000000000000000000000000000C000000E1 +:108390000C00000000000000000000000C000000C5 +:1083A0000C0000000C0000000C0000000C0000009D +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000FFFF2727FFFF90 +:1086A0001B1BFFFF272700000000FFFF2727FFFFFE +:1086B0001B1BFFFF772200000000000000000000ED +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E0000000FFFF33550000000000000000000004 +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B200000000000008000000000000000000000C5 +:108B300000000100000000000000000000800000B4 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000004000000000000C0 +:108CB0000000000000002000000000000000000094 +:108CC00000040000000000000000000000000000A0 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000800140000081 +:108E30000000001620004000000100160210000093 +:108E400000000016D0400000000000C0010000003B +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000020000000000000000000D2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB0000008000000000000080000002010001061 +:108FC00000800000E000000000000C000000000035 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF000000000000000000000000200000000006F +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000201F +:1091300000000040010000000800000000002000C6 +:109140000040080002102000180000C110021000AA +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000007C0010000000806800300400015 +:1092C00008000E000000000000000E050200010072 +:1092D000000806030000411000000000000000002C +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:1093000000000C0000000000000000000000000051 +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:109430000000000000000000000000800260010049 +:1094400000000008200000000000009A02604000B8 +:1094500000001086C130810000000C860200000070 +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000001000050000F000000007C +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A0000000000000000008000080000000000033 +:1095B00000000000000000000000004021108000BA +:1095C0000020200102000110080101800820011084 +:1095D0000448802200000000000A000800020A007F +:1095E000000000080000080000000000000000006B +:1095F000000000000000000000000000000000006B +:109600000000000000000008000000000000000052 +:1096100000000000000000000000000000018000C9 +:109620000000000000000000000000000400000036 +:109630000100000000000000000000000000000029 +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000080000000031 +:109730000000000000000000000000000000000029 +:109740000030060000000000B2000030040200807B +:109750009400063600540000B000B6060014000461 +:10976000B0009406001000540D000400000000003A +:109770000000000000000000000000000000900059 +:10978000000A0000000000000000000800000000C7 +:1097900000000006000000000000000000000000C3 +:1097A00000000000000000000000000000000000B9 +:1097B0000000B000000000000000000000000000F9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B000000030000A00005000000000000000001E +:1098C000000000101C0010000A10000C0800080026 +:1098D000000C080000100C000810101C0000000014 +:1098E000008C00020008040000800000000000005E +:1098F000000000700000000000000E0000000000EA +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:1099200000000000000830000000000000000000FF +:10993000000000000C0000000C000000000000000F +:1099400000000000000000000000000E0000000009 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000050000000000002000000000000000D1 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A90000000300000000000000000000000000096 +:109AA00000000000000000000000000000000000B6 +:109AB0000000000000000030000000000000000076 +:109AC0000000000020000000000000000000000076 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE000000000000106000000000000400000002E +:109BF0000000000000000000000000000000000065 +:109C0000000000080000000000000000000000004C +:109C10000000000000000000000000300000000014 +:109C20000000000000000000000000000000000034 +:109C300000000000000000000000008000000000A4 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D8000000000000000000400000000000081014D +:109D90000000000000000000000010000000002093 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000001000000083 +:109DD0000200000000000000000000000000000081 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E80000000000000000000000000000000FFFFD4 +:109E9000FFFF0000000000000000000000000000C4 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC00000001D1D553300000000FFFF7744FFFF19 +:109ED000FFFF550F550FFFFF0F33FFFF3355FFFFF8 +:109EE0003F30FFFFF303FFFF3F30FFFF3F30FFFF37 +:109EF000330FFFFF5353FFFF4747FFFF3535FFFF8A +:109F0000F5050000000000000000FFFFFFFF00005B +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F3000000000000000FFFFFFFF00000000000025 +:109F40000000000000000000000000000000000011 +:109F5000000000000000FFFFFFFF00000000000005 +:109F600000000000000000000000000000000000F1 +:109F70000000000000000000000000000000EBBA3C +:109F80000F0F0000000000000000000000000000B3 +:109F900000000000000000000000000000000000C1 +:109FA0000000FFFFFFFF00000000000000000000B5 +:109FB0000000000000000000000000000C00000095 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A01000000080240E00000000000000000000008E +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A0400000000000000000000C0000000000000004 +:10A050000C0000008C0200000C0000000C0000004E +:10A060000C0000000C0000000C0000000C000000C0 +:10A070000C0000000C0000000C0000000C000000B0 +:10A080000C0000000C0000000000000000000000B8 +:10A090008C02000000000000000000000000000032 +:10A0A00000000000000000000000000000000000B0 +:10A0B0000000000000000000000080240E000000EE +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000080240E000000CE +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000048201E2000000000000000000000A9 +:10A11000000000000000000000000000000000003F +:10A1200000000000000000008C02000000000000A1 +:10A13000000000000000000000000000000000C05F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D00000000000000000000000802402000000D9 +:10A1E00000000000000000000000000000000080EF +:10A1F00000284D8040B94D8040B94D8040B94D8018 +:10A2000040B94D8040B94D8040B94C8040B94C8038 +:10A2100040B94D8040B84C8040B1000000000000C3 +:10A22000000000000000000000000000000000002E +:10A2300000000000000000000000488040A84D80A1 +:10A2400040B84D8040B84D8040B84C8040B84D80FB +:10A2500040B84C8040B84D8040B84C8040B94C80EC +:10A2600040B0482012200000000000000000000064 +:10A2700000000000000000000000000000000000DE +:10A28000000000000000000000000000000000A02E +:10A290001228DFA472B9DFA472B9DFA472B9DFA4F7 +:10A2A00072B9DFA472B9DFA472B9DFA472B9DEA4F7 +:10A2B00072B000000000000000000000000000007C +:10A2C000000000C0000000000000000000000000CE +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000003F305F50FFFF5F50FFFFF505FFFF3C +:10A36000FFFFFFFF7744FFFFBB11FFFF1D1D000034 +:10A370000000BB1155AA55AAA5A5A5A5999955AA4E +:10A38000A5A5A5A555AA55AAA5A5A5A5999933CC76 +:10A39000A5A59999999955AAC3C399999999000026 +:10A3A00000000000000000000000000000000000AD +:10A3B0000000000000000000000000000000CC339E +:10A3C000CC336666CC336666CC335A5A66666666AC +:10A3D000CC336666666666663C3C5A5A6666CC3323 +:10A3E0005A5ACC3366662966FF0000000000FFFF62 +:10A3F000FFFF00000000000000000000000000005F +:10A40000000000000000000000000000000000004C +:10A410000000FFFF55553333FF0055550F0FFF0068 +:10A42000555533330F0FFF00555533330F0FFF00D2 +:10A430003333F0F0AAAA0000000000000000000082 +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A49000000000000000000000000000000080003C +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E0000000020004020000240000000000000040 +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A540000000000100008000000200000000000088 +:10A5500000000000000000000000000000000000FB +:10A5600000008002000080000008090004020000D2 +:10A570000C000000000000000000000000000000CF +:10A5800000000000000000000000800100008000CA +:10A59000000400000001000080000000040300002F +:10A5A0000401000004030000240100000403000073 +:10A5B000440100000403000000000000000000004F +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A6100000000000000000000000000000008010AA +:10A620000000000100040000000000000000000025 +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000009000000000000000000E1 +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C00000000000000080100000000100040000F5 +:10A6D000000000000000000000000000000000007A +:10A6E00000000000000080000000000100000100E8 +:10A6F00000000000800000000000000000000000DA +:10A7000000000000000000000000000000008010B9 +:10A71000000000010004400080000000080041002B +:10A72000800000002800410080000000400041003F +:10A730008000000080004100800000002800000030 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000003000000000000000000A6 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000020020000057 +:10A7E0000000000000040000040000000100000060 +:10A7F00003C0000000000000088000050000000009 +:10A8000000000800C00000000000000008C00000B8 +:10A810000000000000C0000000000000C0000000B8 +:10A8200000000040000200000000000000000000E6 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000030000000302 +:10A8500000000000000000000000000000000000F8 +:10A86000000000000000000000000000C300000025 +:10A870000000002300230000000000000000000092 +:10A88000C000000000000000000000000000000008 +:10A8900000000000000000000000000100000000B7 +:10A8A000800000D303C38123CB0000C000C8800018 +:10A8B000C40000C000C88000C40000C000C0C800C0 +:10A8C0008000000000000000000000000000000008 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A92000000000000000000000000800020000001D +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A950000000000000000000000000000000008077 +:10A960000000400800000004000008000000000093 +:10A97000200804000402000000000400000400009D +:10A9800000000000100000000100000010000000A6 +:10A990000040000010000000104000000000000017 +:10A9A00001000000000000400080000000000000E6 +:10A9B0000000000000000000000000000000000097 +:10A9C000000000000000000000000000000000087F +:10A9D00000000008018000000000000000000000EE +:10A9E0000000000000000000000000000000000067 +:10A9F00018400000000000000000000000000000FF +:10AA00000000000001000000000000000000000045 +:10AA100000000000000000800000000000000001B5 +:10AA200000000000800000200403C000400000027D +:10AA30000000800000000002000080000000000212 +:10AA40000020000080000000000000000000000066 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA0000000000000000000000000000010010095 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000004501000000A000000000000096EA +:10AAF000C1000024000A00000038000000280040C7 +:10AB0000120000800000000001000C00000000871F +:10AB100001604C100408101A011048000000309A1F +:10AB200001021800003000000000020000000000D8 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000001F10005000000000000000780000FE +:10AB60000000000000500000000000000050001035 +:10AB700000020EC001000007000008000080002055 +:10AB800000000000000000000000000000000000C5 +:10AB9000000000000000000000000000F000400085 +:10ABA00000000000000000000000000000000000A5 +:10ABB000E000000000000000E000000000000000D5 +:10ABC000E0000000000C0000000000000000000099 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC300000000C0000000000000000000000000008 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000040350B0014C0AC0206825A +:10AC700003000000000040140070000000004202C9 +:10AC8000008000000008008000000010000010009C +:10AC90000000000020001000824C01102080000005 +:10ACA000800000004000000000502800000000006C +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000E800300100000000E00C5 +:10ACE00000000000A0022C00000000000000000096 +:10ACF00000102800C000100000102800000008000C +:10AD00000038000600000000000000000000000005 +:10AD10000000000000000000000000000000000033 +:10AD200000380800001400000000000000000000CF +:10AD300000000000000010000000000000001000F3 +:10AD4000000000000000100000000000E000000013 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000100000000000000000000083 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE00000000000000000000000000000000280E1 +:10ADF0001000021004000001C300002000800000C9 +:10AE000002100300000000004201000007000000E3 +:10AE100040120000080004800A2000000002000028 +:10AE200008004000100000000101800008600002DE +:10AE30001000240008300002100000000000000094 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000010002041100DA +:10AE60000000190000001000000001000000400078 +:10AE70001000010000280000000000C00040000099 +:10AE800000000600000005000000000000000000B7 +:10AE900000000000000000000000000000000000B2 +:10AEA0000000000080080000000400000000000016 +:10AEB0000000100000000000200000000200000060 +:10AEC0002000000002000000200020000000000020 +:10AED000080000000000000000000000000000006A +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF3000000000000000000000801C000000000075 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700008000000020000000000000000000003C4 +:10AF800026000D8009000000860114000000000C5E +:10AF9000800110102000008CE30108000000400038 +:10AFA0008000800ED0010085C500000000000080F8 +:10AFB00003000008E00008C003008006E000000075 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000100061 +:10AFE00000381400A000000007008000A0001040FE +:10AFF00007000C00A000100006002207A00010802F +:10B0000003801D0008000100001000010000000086 +:10B010000000000000000000000000000000000030 +:10B0200000000000000000000010200000400000B0 +:10B03000000000000000100000000000C000000040 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000170079 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000004800000000000000000000000008 +:10B10000A200000800014C80053000000000409AB9 +:10B110002260004000000180C242000000001121B6 +:10B12000121A000000000006C000400004000021C8 +:10B130001062004003300000C00200C00130000077 +:10B14000C00200000000000000000000000000003D +:10B1500000000000000000000000000000000000EF +:10B1600000000CD0010000000001080000000000F9 +:10B1700010010C400000400020010C0000380C20A1 +:10B1800010010CA000280D1A20000200000200206F +:10B1900000000000000000000000000000000000AF +:10B1A0000000000000000000000000007402000029 +:10B1B0000000000000000000D000000000000000BF +:10B1C000000200000000000700000000000000076F +:10B1D0000000000000000000D0000000000000009F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000010D +:10B2400001000000000000100000000000000000ED +:10B2500000000000000000000010000000000020BE +:10B26000000000010000200000000000000000209D +:10B270000000000180000000200080020020042067 +:10B2800000201002300092400050280011010010F0 +:10B290000030000000003908801400121001101066 +:10B2A00000300100000002911002921028103010AE +:10B2B000321002102020100020101000002000008A +:10B2C0001012100000000000101011000000000813 +:10B2D0000001010000000001001200000000001148 +:10B2E000001000000000000000100002000000003C +:10B2F00000100010000181200030100080009182B9 +:10B3000000100000001010021010000002000010D9 +:10B3100001100000020110110000000000000000F8 +:10B3200000000000100110001000000000000000EC +:10B3300000000000000014001000000000100000D9 +:10B3400000100000000004000000000004000000E5 +:10B3500000000000000002000000000000000000EB +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000030000000004D +:10B3D00000000050000000000000000000300000ED +:10B3E000000000000000000000000E0C0000000043 +:10B3F00000000000000C000500009000000000406C +:10B4000000000000000010003000300C003E040579 +:10B41000300000100010060500003804053090359B +:10B4200030013932023200050000001012021510FE +:10B4300004103015321200180000300800121006F7 +:10B440000000000516301006960018051030100593 +:10B450000000100000000000000000050E100000B9 +:10B46000000000200020000000000000002000403C +:10B47000006000009020003000001000002020003C +:10B48000000020000020003090301000202000003C +:10B49000000010200020000000003020900000007C +:10B4A000900000A0000000003000300020000000EC +:10B4B000000000000000000000000000200000006C +:10B4C000002000000020000000000000000000003C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B54000000000000000000C0000000E00000000E1 +:10B5500000000000000000000000000000000000EB +:10B5600000000A00000000000000000C00000001C4 +:10B570000040008200400E300001000C300000024C +:10B58000100030080030000000100E0430003011B0 +:10B59000021290500C0C00000210805200803C02FD +:10B5A0009000000850000200503004005070082C39 +:10B5B0005A0C280A30701E00380008013030008113 +:10B5C000B00002800EB00E800004508000008F7228 +:10B5D0000000580000008A008A0C88800000008C5F +:10B5E0008A088A800A00000800000A0000000000A3 +:10B5F000000000004000400000000A004008A800D1 +:10B60000000000001E70282C000000F00000000C5C +:10B61000000E000E0C0E80000C0000000C08000C48 +:10B620000000000000000000000000000008000012 +:10B630000000000000000E000000000000000000FC +:10B6400000000000000000000000000000000400F6 +:10B6500000000000040000000000000000000000E6 +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000300000000000006A +:10B6B000000000000000003000000000000000005A +:10B6C0000000000000000000000000020000400038 +:10B6D00000000002000240000000400200004000A4 +:10B6E00000003000000040000000000100004400A5 +:10B6F00000000000000040000000000100004000C9 +:10B7000000000002000040000012400200000000A3 +:10B710000C000002000000000000400200000002D7 +:10B720000000400200404000004000000000000017 +:10B7300000004002000000000000000000000000C7 +:10B74000000080011080140000083000900190007B +:10B7500000808042100094420400906200000000CB +:10B7600000000002000000000430000000000000A3 +:10B770000000000004002000000000000000202461 +:10B7800040000000000020004000000000002000F9 +:10B790000000000000002000000000000000000089 +:10B7A0000000300000000000200020000000000029 +:10B7B0002000000000000000000000000000000069 +:10B7C0000000000000000000001002000000000067 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000080000098 +:10B830000000000000000000000000800000000088 +:10B8400000000000000000000000000000000004F4 +:10B8500000000000000000040000000000000004E0 +:10B86000000000000000B000000000000000000C1C +:10B870000000020000000000000000000000000CBA +:10B88000000000000000000400000000008400042C +:10B89000000000000200000400000000000000049E +:10B8A0000000000400000000013000200030000013 +:10B8B0000000000000000000000000080000000080 +:10B8C00000000004000020084120000000020000E9 +:10B8D00051001030002010200000101400001054FF +:10B8E00000000000000000040000000000800000D4 +:10B8F0000000000000000020800000200000002068 +:10B900008000802210000020800080201000002095 +:10B9100080008020000000208000802000000000C7 +:10B92000000000000000B000000000000000000067 +:10B930000000000000000000000000000000000007 +:10B940000000000000000000000000000090040063 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000004057 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000100000000057 +:10B9E0000000001000000000000020100010000007 +:10B9F000000008000000000000000010000000002F +:10BA000000000010001000000000001000100000F6 +:10BA1000000000000000000000000000000008001E +:10BA200000000010000000000000000000000020E6 +:10BA300000000000000008000000002000000804D2 +:10BA40000000000400000004000082000000180054 +:10BA5000000010000000102000000000100018007E +:10BA600008001000000008000000000000000000B6 +:10BA70000800000000000000000000200800102066 +:10BA800000200030021000300010002000000020D4 +:10BA90000000102000000020000000200000002016 +:10BAA0000000000000000000000020000000000076 +:10BAB0001000100000000000100000000000000056 +:10BAC0000000000000000000000000000000000076 +:10BAD0000020000000000000000000000000000046 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB50000000000000000DF2A599C399959AC399C1 +:10BB6000A599C399A599C399A599C399A599C3996D +:10BB7000A599C399A599C399A599A599C399939C8A +:10BB8000959A939C959AC399959AC399939C959AE3 +:10BB9000939C939C93C693C663C963C993C693C68B +:10BBA00065A993C695A695A67788778877888787AD +:10BBB0007788878777887788878777888787778860 +:10BBC0007788FFFFFFFFFFFFFFFFFFFF5F500000D1 +:10BBD000000000000000FFFFFFFF00000000FFFF6B +:10BBE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF65 +:10BBF000FFFF000000000000000000000000FFFF49 +:10BC0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF44 +:10BC1000FFFFA5A5C3C3C3C333CC99999999C3C3E7 +:10BC2000999955AA9999C3C355AA999933CC9999C9 +:10BC3000C3C355AA999987D3555500000000AD5646 +:10BC4000555500000000000000000000000000004A +:10BC500000004D2C55550000000000000000FFFFC3 +:10BC6000FFFF0000000000000000000000000000D6 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000C00000000000000A8 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD00000000000000000000000D8A45EA9DCA461 +:10BCE0005EB9DCA45EB9DCA45EB9DCA45EB9DCA4F8 +:10BCF0005EB9DCA45EB9DCA45EB9DCA45EB9DDA4E7 +:10BD00005EB8DCA45EB9DCA45EB9DCA45EB9DCA4D8 +:10BD10005EB8DDA45EB8DCA45EB8DCA45EB8DCA4CA +:10BD20005EB8DCA45EB9DCA45EB9DDA43EB8DAA4DA +:10BD30003EB8DAA43EB8DAA43EB8DAA43EB8DAA433 +:10BD40003EB8DAA43EB000008C0280040C00000073 +:10BD50000C00000000000000000080240E00000025 +:10BD6000000000008C0280240E0080240E0080243D +:10BD70000E0080240E000000000000000000000003 +:10BD8000000080240E0080240E0080240E008024F9 +:10BD90000E0080240E0048804CA84C804CB84D808A +:10BDA0004CB84C804CB84D804CB84C804CB94D8050 +:10BDB0004CB84C804CB84D804CB048201E20000040 +:10BDC000000048201E2000000000000000000000CD +:10BDD00000000000000048201E20000000000000BD +:10BDE000000000008C0200000000000000000000C5 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000C0040000006E +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000A01228DCA452B8DDA4BD +:10BEA00052B9DCA452B00000000000000000802461 +:10BEB0000200802402008024020000000000000034 +:10BEC0000000000000000000000000000000000072 +:10BED00000000000000000000000008000284D80ED +:10BEE00040B94D8040B84D8040B84D8040B84C803E +:10BEF00040B84C8040B84D8040B94D8040B0000003 +:10BF00000000000000008024020080240200802441 +:10BF1000020080240200488040A84C8040B84C8039 +:10BF200040B84D8040B84D8040B94C8040B94D80FC +:10BF300040B94C8040B94D8040B84D8060B1482038 +:10BF4000122048201220000000000000000048805D +:10BF500060A94F8060B94F8060B94F8060B94F8051 +:10BF600060B94F8060B94F8060B94F8060B0000009 +:10BF700000000000000000000000000000000000C1 +:10BF8000000000000000000000000000000000C0F1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C0000000000000000000000000FFFFFFFFFFFF36 +:10C01000553300000000FFFF3F0CFFFF55AAC3C3CC +:10C0200033CCA5A5999933CC33CCFFFFF303FFFFA5 +:10C03000F505FFFFFFFFFFFFFFFFFFFFFFFFFFFF14 +:10C04000BB11FFFF2727FFFFDD11FFFF0F33330F6A +:10C05000BB1100000000FFFFDD1100000000FFFF2A +:10C060006666AA555A5AAA553C3CAA55CC335A5A28 +:10C07000CC33CC3366663C3CCC335A5AAA55666600 +:10C0800066660000000000000000FFFFFFFFFFFFEA +:10C09000FFFFFFFFFFFFFFFFFFFFCC33CC336666E0 +:10C0A000CC333C3CCC335A5A6666AA555A5ACC33E8 +:10C0B0005A5A6666AA553C3C5A5A5A5ACC33AA5523 +:10C0C00000FFFBC33333015A33330000000000008C +:10C0D000000000007C7E4A7BFEA58EC76A58E21FE6 +:10C0E0004A4836CB9E9C1AE19299F2F9C2300E0969 +:10C0F0008D100000000000000000000000000000A3 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000C02000100000DF +:10C170000000C020000800000000C02000CE000029 +:10C180000000C02000D600000000C02000E6000033 +:10C190000000C020004C00000000C02000544100FE +:10C1A0000403C020886449000403C02004A30000E5 +:10C1B0000000C020009263000403C020448B0B00E9 +:10C1C0000402C020002000000000C0200010000079 +:10C1D00000004020000000140000402000C00000CB +:10C1E00000000000000000000000402000C000002F +:10C1F00000008000000C00000000C020005400205F +:10C200000000402000400000000000000000C520A9 +:10C210000403C0202493E3330403C020448B003480 +:10C2200000004020000000000000000000000000AE +:10C2300000000000000000000000000000000000FE +:10C240000000000000000000040340208CC1000436 +:10C2500000004020004000000000000000008002BC +:10C26000000080000024000000000000000000002A +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E00000000000000000000000802000000001AD +:10C2F00000048020000000010008802000000001F0 +:10C3000000088020000000010004802000000001DF +:10C3100000108020000000010008802000000001C3 +:10C320000004C720800000012810E12080000001E7 +:10C3300028108020000000010004C72080000001B8 +:10C3400080088120000000012010802000000001F2 +:10C350000004002000000000000800200000000091 +:10C3600000080000000000000000002100000000A4 +:10C370000010800200000001000080340000000175 +:10C380000004002100000000001000000000000078 +:10C390000000C934800000014004C7218000000172 +:10C3A00080080020000000000010000000000000D5 +:10C3B000000000000000000000000000000000007D +:10C3C0000000000000000000000047218000000085 +:10C3D00028100020000000000008000000000000FD +:10C3E00000008000000000010000000000000000CC +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C46000000000000000000000000000000000C00C +:10C4700000C000C0444000C000C000C0444000C034 +:10C4800000C000C0444000C000C000C7444000C01D +:10C49000000044C0C0C000C003C003C7474000C084 +:10C4A000020000E00047D75010C0E0C00041C400C7 +:10C4B00000430087C3CF004000C000C0C06000033D +:10C4C000CAC0C422E0C1E00000C00003E2E20022D2 +:10C4D000E00044C000C000040004440020C000008C +:10C4E00000000000E0000000000000000000000765 +:10C4F000E0220240030000C00300420342C00022C9 +:10C5000047C3C000000000220347000000C0000035 +:10C51000000000000000CA5383C0C3C1C713C21388 +:10C52000C507CB9383C3002220400B0002202000CC +:10C53000008008000020000020000800000000002B +:10C540002000080000200000208008000000C40136 +:10C5500080620000000000004600000000000000B3 +:10C5600000000000000000001000000000000000BB +:10C57000000000001000004000000000000000006B +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F00000000010000401100020001000040110D1 +:10C6000000200010000401100020001000040110A0 +:10C6100000200004004000841010001002040310E9 +:10C620000220001004000110002000200010002053 +:10C6300005210000012801024100002100054110F0 +:10C64000502001010404004000110000001001010D +:10C65000042000000000000401100004000020007D +:10C6600020040000000000000000000000000000A6 +:10C670000000000000000420200000900880034813 +:10C6800003100100080C120001000000022000004D +:10C690000004000000000000000004208882302117 +:10C6A000404014004040400284210000002020014E +:10C6B0000400000010040001000000000000000160 +:10C6C0000000000000000001000010000004000055 +:10C6D00000000001802000000000000004000000B5 +:10C6E00000000000000000000000008001000000C9 +:10C6F0000000000040000000000000408000040036 +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C77000000000000000000F000000C00570400F26 +:10C78000048000C00170400F040000C00170400F21 +:10C7900004000CC0017040008400128007C0400FEC +:10C7A000000112C00570400404801C00290420030D +:10C7B000F4081480021540A8842E10200964010793 +:10C7C0007C0030C7D1384007E4F00CC00A40800438 +:10C7D00060B834C009B8000FE48000070108608425 +:10C7E00000D00181020000200000080000000000CD +:10C7F00000000000000008400000080900000820B8 +:10C800000078000000001E00004848000000000002 +:10C810000000080000000000000000000000000010 +:10C8200000781400000000000050200000000220EA +:10C830000002080000702000044820C001710E4072 +:10C84000043013CA5170400000000000D0722083F1 +:10C85000010000C001709ECF038414000000800816 +:10C860000002140000000110023E1004400022608B +:10C870001800000EE00017D0032C140AD00222602A +:10C880000000000440000000000000000000000064 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000040036030004025 +:10C90000001C0000000001200108000000000000E1 +:10C91000000800000000018005380000E000004031 +:10C9200000300080E700004000480007031000804E +:10C9300003400C30038000C0C308000000081C81C5 +:10C9400003C04024E3001CE000400003600034808A +:10C95000017800043641008001300003623000207D +:10C96000036008A70138143001000000223C0200D7 +:10C97000000000000000000000000000003802007D +:10C980000000028001001000C0020080053816007F +:10C99000C002080000301600000000000000000087 +:10C9A00000000000003400000000000000081C002F +:10C9B00000002C80100802000008080010300C0154 +:10C9C000C11C41021080500001082C07100000001B +:10C9D00041480870320000030108000700001441BC +:10C9E000200000070010102001000E80030810082E +:10C9F000500102000201800FF07506000000B60B26 +:10CA0000C0000200022C800C500100000000000059 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA80005044100000000002402400000040002834 +:10CA9000C006082000080002402400000040002CCE +:10CAA0008006008000200028C8060050000C00010D +:10CAB0004C04084020020038A0040820008000A296 +:10CAC000A0001D00008000010030140002080003D7 +:10CAD00000200C400008000400A0004000000010EE +:10CAE000040400000044018400000040080000002D +:10CAF00000010000100000000000000000000480A1 +:10CB000000080000800043000800700000002000C2 +:10CB1000000C000000001000004090010000000028 +:10CB2000000000000000000000001D0000000000E8 +:10CB3000000C100000004000000000000000010098 +:10CB400000409120000000000100002000000008CB +:10CB50000000112400080020100020280000200000 +:10CB60000000B820080000080000181000000000B5 +:10CB700000003800040024808A00200400800000A7 +:10CB8000008024000000048000300000000000004D +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC000000000012E00000C004F00007E00000C0D7 +:10CC100001700007E00000C001700007E00000C0E4 +:10CC200001700007E00000C001700087E10000C053 +:10CC30000068008CE1381DC001780087E80000D052 +:10CC400005700200EE000C0000F0C200903800C039 +:10CC500009B00000000C2C80010C000006020C0042 +:10CC60000008080FE6783080057002A009B00020A7 +:10CC700000000080200000000000000006000C0002 +:10CC800008000000074000000002008001001406B8 +:10CC90000002092008481D00000000800840000331 +:10CCA00018000000000000000000000000280C0038 +:10CCB0000000000000700C0000002740070000008A +:10CCC000A000818000001410100080000800800681 +:10CCD00000110100083C140602092E0700001C0781 +:10CCE000C0668FC00300120441000000000002C0B3 +:10CCF000000022000E00100820011C8001005C04CE +:10CD00004812232008901C071000234006000C073F +:10CD1000E000000000000000000000000000000033 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD8000000000000000800428792CC00380800788 +:10CD900078392CC00BF8000770782CC00BF880078E +:10CDA00078392CC00BF8000770782CC00BF80007FE +:10CDB00070502C80F260000C907909000AC00027A6 +:10CDC0007068008F0338204071001CFA05000107CD +:10CDD0002003148009800002000810800970000FF1 +:10CDE00060383D005270200004081E0FD000008003 +:10CDF00001802C80F300002000010000C0380000FA +:10CE000000004000A000000000383C3A0000000094 +:10CE1000000000000000064000280D10000000A0E7 +:10CE20000138BC08C0000000000000000000000045 +:10CE300000B410000000000000382100000006408F +:10CE400009010E000001021700501E80F1000007CA +:10CE500000001E160080024400001616C0400000AC +:10CE600000501E2000000264008000200802000321 +:10CE700000000000000000000080000000000C80A6 +:10CE8000610000044000020000101C2FF00002406E +:10CE90000028402FF0020000000000000000000009 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF100000000000000000000010000010000000F1 +:10CF200000000000100000000000101010000020A1 +:10CF3000000000001200300000000020103000103F +:10CF40008040104008502000200C0000505030401D +:10CF500020482028080820104040202014000050BD +:10CF60000020004090000040102030000000002011 +:10CF700000200200000440000030004000000000DB +:10CF800000000011000080001114002020000000AB +:10CF9000000050004040200200001004401001102A +:10CFA0001201000000100100010084400100801007 +:10CFB000041010040000004028008480090008804C +:10CFC0002040808020000030880080802000800089 +:10CFD000208080004440000000202020400000000D +:10CFE0000010000000000000000000100010000011 +:10CFF00000000000140000100010100000120012C9 +:10D0000000001000000200100010000002100000DC +:10D0100000000020020004020000000000000000E8 +:10D0200000000000000200000000000000000000FE +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D0900000000000000D0000000000000030000053 +:10D0A00010000000000000003000700000000030A0 +:10D0B000100000000000000030001000000000061A +:10D0C00010100000000A16000430687000400000D4 +:10D0D0001020000D00007000900400300000000DD2 +:10D0E00010000000050D5000300000700D70300081 +:10D0F00050000000054D00A8A00C60000010000DBD +:10D100004000700E0C00001A00007000303000B0BB +:10D1100000000000090030706000000000000060A6 +:10D12000003000303005900090200000705000006A +:10D130000000900000200850000000A00809000036 +:10D14000080878000079084000B800300809480055 +:10D15000000500000009404A0800005C005D4840EE +:10D160000800000C002040000240A0A008A50030EC +:10D17000A0300000000000001000001000100000AF +:10D180004810000000000000400000000000000007 +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000080008E +:10D22000000000010000000000004E0000000000AF +:10D2300000000000000000200000000004000000CA +:10D2400000000000000000001010001080000140ED +:10D25000100000400000001030200030005000009E +:10D26000300020000900011100020290210001118C +:10D27000000210000100012100100801010D014011 +:10D28000003A401000000E000100000840001000AD +:10D290004C00003E100E0070004030002A102000AC +:10D2A0000040000030000C000878000000000C0076 +:10D2B0000C4030300400100000000100400000303D +:10D2C00020002420080100201020A12000010020BF +:10D2D00020702120000010003120100001300001DA +:10D2E00000303131110000010000000A0000000090 +:10D2F00001000000000000000000000000000A0023 +:10D30000000000000B00000400000000000000000E +:10D3100000000000000000000000002004000404E1 +:10D3200000000000000000000000000000080000F5 +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D37000000000000030000000000000000000007D +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000040202D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000020000000300D +:10D3D0004000200040100040000000000000A000BD +:10D3E000000000200000000000000000000000001D +:10D3F000000000200000000040000000400000008D +:10D400000000000000000C00000000000000000010 +:10D41000000000100001400000002020000040003B +:10D42000000020008041400080002C00002000000F +:10D43000000020000030000000000000000030006C +:10D44000200040008020000040000030004000002C +:10D45000000000300080000000000000000000001C +:10D46000000000000040000000000000000000007C +:10D47000200000000000000000000000000020006C +:10D48000000000000000000002000000000000009A +:10D49000000000000000000000000000000020006C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F00000000000000000000080000000000000AC +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000002000000000000000000000000000DB +:10D53000000000000000000000000000000000806B +:10D5400000000000000000000000000000000000DB +:10D55000000000001000800000900020000000008B +:10D56000000060000000000000000000000000005B +:10D5700000000000000000000000000000000000AB +:10D5800000000000800000200000020000000000F9 +:10D59000000000000100008000000020800080608A +:10D5A00000300020800080201020000090008220A9 +:10D5B000004000008000802000B00000000000005B +:10D5C0000000B000400020802080000000000080AB +:10D5D000002000000000008000200000000000008B +:10D5E00000000000002000000000000000200000FB +:10D5F000000000000000000000000000000000002B +:10D60000000080000000000000000020000000007A +:10D6100000000000000000000010002000000000DA +:10D6200000004000000000000000000000000000BA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D67000000000000000000000000080000000002A +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000001000000000000000006A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000020000000000000003A +:10D6D0000000001000000010000000000024000006 +:10D6E000000000000000000000000010000000002A +:10D6F000001000000000000000000010000000000A +:10D7000000000000000000100010002000000030A9 +:10D710000000000000000000000000404000113048 +:10D720000000002000201130000000200000001048 +:10D730000000002000000000000000200020100079 +:10D74000000000000000E00100008200100000C2A4 +:10D7500000000000000000000000000000000000C9 +:10D760000000000000000000002000000000000099 +:10D770000020000000000000100000000000000079 +:10D780000000000000000000000000000000002079 +:10D790000000000010000000000000000020002039 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000FFFFFFFF000000000000000000009C +:10D8900000000000000000000000FFFFFFFF99995A +:10D8A0009999A5A533CCC3C399999999C3C3C3C307 +:10D8B00033CCA5A5C3C39999C3C3999955AA33CCB1 +:10D8C0009999A5A5A5A5FFFFFFFF00000000000096 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F00000000000000007C03333000000000000FB +:10D9000000006B66555500000000000000000D0689 +:10D91000555500000000FDC3FF000000000000009E +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000040000000000000000000000C3 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA000000000000000080240E0000000000000064 +:10DA10000000000000000000000000000000802462 +:10DA20000E0048804CA94D804CB84C804CB84D80BD +:10DA30004CB84C804CB84D804CB84C804CB84D80A4 +:10DA40004CB94C804CB84D804CB180240E00000085 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA70000000000000000000000048201E20000000 +:10DA800000000000000048201E20000000000000F0 +:10DA9000000048201E200000000048201E2000003A +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD00000000000000000800000000000000000C6 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB50000000000000000000000000000000802421 +:10DB600002000000000000000000000000000000B3 +:10DB70000000000000000000000000000000802401 +:10DB8000020000000000488040A94D8040B84C8051 +:10DB900040B94D8040B84C8040B94D8040B84D8070 +:10DBA00040B94C8040B84D8040B94D8040B148806C +:10DBB00040A94C8040B84D8040B94D8040B84D8060 +:10DBC00040B94C8040B84D8040B94D8040B94C8040 +:10DBD00040B94D8040B0000000000000000000008F +:10DBE0000000000000000000000000000000000035 +:10DBF000000000000000000000004820122000008B +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC50000000000000000000000000C00000000004 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000FFFFFFFF0000000000000000000038 +:10DCF0000000000000000000000000000000000024 +:10DD00000000FFFFFFFF000000005A5A5A5A5A5AFB +:10DD10003C3C3C3CAA555A5ACC333C3CAA555A5A36 +:10DD2000CC335A5A6666CC333C3C5A5A66666666B1 +:10DD3000AA553C3C6666CC336666AA5566665A5A56 +:10DD40006666AA555A5A3C3C666666665A5AAA5591 +:10DD500066666666AA55AA553C3C000000000000B5 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000001DE0A6 +:10DD8000333300000000000000000000000000002D +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000400000100000001000000000000AC +:10DE700000000000000000000000000000000000A2 +:10DE80000000600000010000000000000000000031 +:10DE9000000000300000402000C000000000000032 +:10DEA0000000000000000000000000100000402002 +:10DEB0000040000000000000000000000000000022 +:10DEC0000000000000000000000000000000000052 +:10DED0000000800000008000000A00000000000038 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF000000000A01040280000C260000000000004E +:10DF100000000000000040200050000000008000D1 +:10DF2000002400000000800000220000000000002B +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000004000800000000800000069 +:10DFF0000000000000000000000000000000000021 +:10E00000000000000000440080000000400000000C +:10E0100000000000000000240000000000080000D4 +:10E0200000000000000000000000000000000024CC +:10E0300000000000000800000000000000000000D8 +:10E0400000000000000000000000000000000000D0 +:10E05000000000000000800200000001000000003D +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E0800000000000000081020000000120000000EC +:10E0900000000000000000210000000000008002DD +:10E0A00000000001000080100000000100000000DE +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E16000000000000000000000000000000000802F +:10E1700000C80000000000000000000000000000D7 +:10E180000000000000000000000000000000C88047 +:10E1900000000400000000000000E0000000440057 +:10E1A00000C00000000300000000040000030000A5 +:10E1B000000000000300E0E200400000000000005A +:10E1C0000000032000000000000003200000000009 +:10E1D00000000320000000000000A300148000C025 +:10E1E000A00310000000000000000000000000007C +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000030000000B +:10E2100000000000000000000000000002000000FC +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000020000000000000000000000001C +:10E30000000000000000000000000000000000000D +:10E3100000000002000000000002000000000000F9 +:10E320000400000000400000048000200000000005 +:10E3300000800020000000002000004000200401B8 +:10E3400000000000000002000000000000000200C9 +:10E3500000000000000002000000000000000201B8 +:10E360000004019000080000000000000000000010 +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000002008B +:10E390000400000000000000000000000000000079 +:10E3A0000400000000000200000000000000020065 +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E470000000000000041000000000000000000088 +:10E48000000000000000000000000000000000008C +:10E490000000000000280000000000000000000054 +:10E4A000A000002000B808080000000000000000E4 +:10E4B000000101000000000000011E00A0800C0609 +:10E4C00000160005003800C0011002C50100400020 +:10E4D00008100085100800C0C1104005000C0000A5 +:10E4E000003C022300800000000016000008000825 +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000E00F0F0000000000000000000000D +:10E520000000000700000000000000008080540090 +:10E5300000000000800210000080000000000000C9 +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000040000000000000BA +:10E62000000014000002008003780201200008802E +:10E6300001000001A000020000000001C000000075 +:10E64000C010220000900600604010002042000030 +:10E65000000014000040000000402C0308400007A8 +:10E66000E00C94270110008503082800000000003A +:10E67000004040000000000000000000000000001A +:10E68000000000000000000000000000000000008A +:10E690000000000000001000000000010040000029 +:10E6A0000000000000000002003010200100000007 +:10E6B000203800000014000000382800000000008E +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000280071 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000001000300000400000C00227 +:10E7B000180003400400C0001000010000004008E1 +:10E7C000100008000001200210000A0412200600B8 +:10E7D00000280484002000810028010C41008404EA +:10E7E00000288004020110002400241C0000000105 +:10E7F00000000100000040000000000000000000D8 +:10E800000000000000000000000000000000000008 +:10E810000000000000000000000020000000980040 +:10E82000000A0000000000000000001400081400AE +:10E8300000000000800108000000000080000800C7 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E9000000401000000000000000000000000000B7 +:10E9100000000000000000000000000000000000F7 +:10E920000040000000000000000000001000000097 +:10E9300000A8000000000600000000000000060023 +:10E94000060028000002000006280E0000020090C9 +:10E950000300000F0001278314000000E001000FF6 +:10E9600000000001E00106000008420020150C4FE5 +:10E970000000AC04C800000000000001000000001E +:10E980000000000000000000000000000000000087 +:10E9900000000000000000000000000000000E0069 +:10E9A000008220070040000000000000000000007E +:10E9B00000000020000000000038100000040000EB +:10E9C000004020000078000000000000000000006F +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA8000000000000008000000000000000000007E +:10EA90000000000000000000000000000000000076 +:10EAA000000000000038000000000000000000002E +:10EAB000200001C001803C00200000C001000000D7 +:10EAC000200000000000000020000F000080000077 +:10EAD00020000660E0101066000086007008000844 +:10EAE000000A0004042C1016000201C001801C441E +:10EAF0000200008401002C08C0010000000000009A +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000001000008000200080000000000000B5 +:10EB3000000000080000000000000000600201006A +:10EB400000000000701000000080000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC000000000000000000200000000000000000E4 +:10EC10000000001000100000000000402000002054 +:10EC200020000000000420000000000000000000A0 +:10EC30000000000020002000200000042010202000 +:10EC40000000523030103224101020101410012017 +:10EC500030200040301000204000405230100008AA +:10EC600030300200500000001004000020100000AE +:10EC700080400000000101001200100000100000A0 +:10EC8000001000010000000004000400001000104B +:10EC90000000000000000000000000000010000064 +:10ECA0000000000000000001000000010001101041 +:10ECB00010041010000000011010100000001010CF +:10ECC0001000008010010010000000000000001083 +:10ECD00000100010100000000000000040000000C4 +:10ECE0000000008000000000000000002000000084 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000E00000000000000000000000065 +:10EDA000000000000000000E0000000E0000000047 +:10EDB00000000D0000000000000000000000000046 +:10EDC00000300000000010103030005030100010F3 +:10EDD0003030004C30004040303040400060402037 +:10EDE000103040441010406010404040000060600F +:10EDF00060007040606000606040A0700EB0007005 +:10EE0000003000600E00000000107A0000000A9040 +:10EE10000A1000300000000000000A00000000009E +:10EE20000030000000B00000000000000000000002 +:10EE300000001030100030100000000030103000D2 +:10EE40000000303030B000B03000003000B0500072 +:10EE500050000030703050101000300000B0000042 +:10EE60000000000000B000B05000000000000000F2 +:10EE700000000E0000000000090A0E000000000063 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF000000000000000000000001000100000120DE +:10EF100000010000000101000001010000000000EC +:10EF20000001013020000021200001000004200029 +:10EF30000000000000000000004000402000200011 +:10EF40002040000020002020004018303000382CE5 +:10EF5000400010404C400C31103000303000003088 +:10EF60001050303C1000000030100C001000000069 +:10EF7000000C0000104002002010020003040C00EE +:10EF80000C000000000002000000008C0080000067 +:10EF90000800040000000000000000000000000065 +:10EFA0000000000000000000000000000000000859 +:10EFB0000A00000C00080000000C00000000000C1B +:10EFC0000000000000000000000000300008000009 +:10EFD00000000000000000000000000000008000B1 +:10EFE00000000000300000000000003000000000C1 +:10EFF00000000000300000000000000000000000E1 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000010000000000000000000000000000060 +:10F0A00000000000000000000000A00000000000C0 +:10F0B0000000000000000000000000000000000050 +:10F0C0000400202000000000040020004400200074 +:10F0D000440040000400A0000400000000002000E4 +:10F0E0000000000004002400000020028000004016 +:10F0F000003000028000000000000000004000001E +:10F1000000000000200000000000300000000000AF +:10F1100000000000000000000000080000000000E7 +:10F1200000010000000000000000000000002000BE +:10F130002000380000000000000000000000004037 +:10F14000000000000000000000003000000000008F +:10F15000001030000000000000000000000000006F +:10F1600000008000000000000000200000000040BF +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F21000000000000080000000000000000000006E +:10F22000000000000000000000000000000060007E +:10F2300000000000000000000000000000000000CE +:10F24000000000200100800001000000010080009B +:10F2500021008000210020000100A000010000200A +:10F260000100800400000000010000000000000018 +:10F270002020002000B0000020200000000000003E +:10F280000000000000000000800000008020B000AE +:10F29000000000000000000000000000000001006D +:10F2A0000000000000040000000000080030000022 +:10F2B00000000000000081000000000000000000CD +:10F2C00000000010000000000000000000008000AE +:10F2D000000000000090800000000000000000001E +:10F2E000000000000000300000000000000070007E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F33000000000000000004042000000000000004B +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C00000000000002000200000001000200000CD +:10F3D00000240000002000000004000000200000C5 +:10F3E0000004002000040004430000000000180096 +:10F3F0000004180000200800002008000020000081 +:10F4000000000000000000000000000000000020DC +:10F410000020A1000000000000000000000000002B +:10F4200000000000000000000000000000000004D8 +:10F430000020100000001000100000C200000000BA +:10F4400000001000000000000000000000000000AC +:10F45000000000C200000000002000C20000000008 +:10F46000000000000000000000000000000000009C +:10F47000000020000000000000000000000000006C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F570000000FFFFFFFFFFFFFFFFFFFFFFFF000097 +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F00000000000000080240E0080240E00802402 +:10F700000E000000000000000000000000000000EB +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F87000000000000000802402000000000080243E +:10F8800002008024020000000000000000000000D0 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C000000000000000482012209004000000000A +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000C0000000000000000017 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F00000000000000000000000FFFFFFFF00000B +:10FA00000000FFFFFFFFFFFFFFFF000000000000FE +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000478AFF007777F8 +:10FA5000FFFF0000000000000000000000000000A8 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000283 +:10FB800004028000280408040403C02088640000E4 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC00000000000000000000000000000002A000B +:10FBD000040300008C010000000000000000000091 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000008300000000010004E12280000001E7 +:10FD100028100000000000000000000000000000AB +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD5000000061008000000028000000000000009A +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE8000000000000000C0030000C00300C0C40365 +:10FE900083C844C8C3C30000000000000000000085 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED000000000000000C800C40000000000000096 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000100100408048D7 +:100010000020000184000080840C0000000000002B +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:1001900000046C0000000000000A150000000000D0 +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D0000000000000000000000000000000080017 +:1001E000007A800000000000000000000000000015 +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:100310000000000000141000000000000090150014 +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:1003600000001C0000380001000000000000000038 +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:1004900000000000000000000080000000000000DC +:1004A0000024000000000000000000000000000028 +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000820000200000000000006A +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000001E00BC +:100620000000000000301400000000000000000086 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000080000047E +:10067000E00000000000000000000000000000009A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A00000340D0000000000003870000000000060 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000020007 +:1007F00000300020000200000000000000000000A7 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000400000000000000000A7 +:100910000000004000000000402000001000000027 +:10092000000000201000000004000020040000046B +:1009300000040000000000000000000000000000B3 +:1009400000000000000004000000000000000000A3 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000100000000000000000000000000057 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A8000000000000000000000000000000000095D +:100A90000000000000000009000000080000000045 +:100AA0002000000000000000200000000000000600 +:100AB000000900020060000050700000000000000B +:100AC000000A7000000000000000000000000000AC +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000500000001 +:100AF00000000000000000080000000000000000EE +:100B000000700000000030700000000000000000D5 +:100B100000000000000000003000000000000000A5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000001000000000000000100000000000003 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000030B4 +:100C100000000000000000000000003000000001A3 +:100C200030100000000000000A000030000000004A +:100C30000000001008000004000C0000000000008C +:100C4000000000000000000000000000000008009C +:100C50000000000000000000000000000000000094 +:100C60000000000200000000000000000000000082 +:100C70000000000000000000000000010000000073 +:100C80000000000000000000000000000000000064 +:100C900000000000000000000000000080000000D4 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D900000000000004000000000000000400000D3 +:100DA0000000000000000000000000000000000043 +:100DB0000000000200000000000000200000000011 +:100DC0000000002000020000000000000000000001 +:100DD00000000000000030000000000000000000E3 +:100DE0000000000000000000000000000001000002 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E10000000000000000040000000000000000092 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000000000000080000000000000000022 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F40000030000000000000000000000000000071 +:100F500000000000000000000000B00000000000E1 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000100000000041 +:100FA0000010000000000000000000000000000031 +:100FB0000000000000000000000000000000000031 +:100FC00000000000000000000000003000000000F1 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000040000000000000000000000000A0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B00000000040420000000000000000000000AE +:1010C00000000030082000000800001000000000B0 +:1010D00000000000000000000000000000002000F0 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000000000000020000000000000000000009F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B00000000000000000000000000000004000DB +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E000000000000000008000000000000000006B +:1025F00000000000000008000000001000000000C3 +:1026000000000000000000000000000000000010BA +:10261000000000000000008000000000000000003A +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:10275000000000000D00000000000000000000006C +:1027600000000000000000090C00000008000E003E +:10277000700000000000000D70000800001A0C102E +:10278000000D080000003A00009D0C000C0000B095 +:102790000CB0A030B0000000AC0008000000000D3C +:1027A0005000000E00B000B00000007000000000FB +:1027B0000000000000000000000000000000000019 +:1027C0000000000900000000000000000000000000 +:1027D00000000000500000000000000000000000A9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C00000000000000010000000000000000000F8 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000001000030B7 +:1028F00001000000000000000000000000000000D7 +:1029000000800100000001000100800000000100C3 +:102910000100000001000000000000000100013083 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A500000000000000000000000000000008000F6 +:102A600000000000000000000000800000000000E6 +:102A700080000000000000000000000000000000D6 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000001000000000000000000016 +:102AB0000000000000000000000000001000000006 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000030000000000000000000C6 +:102AE00000000000000000000000000030000000B6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000003000B5 +:102BF00000000000300000000000000000000000A5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000040000000000034 +:102C60000000000000000000000000000000000064 +:102C70004000000000000000000000000000000014 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000100013 +:102DB0000000000000000000000000000000000013 +:102DC00000000000100000000000000000000000F3 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000004B3 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC9000000000000000000C000000000000000098 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C43000000000000000000000000000000000807C +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A00000000000000000000000482012200000F1 +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C7200000000000000000000000000000004123A5 +:10C730003333000000000000000000000000000093 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B00000000000040200000C0000000000000066 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000011000000000010000000D5 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000300000072 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400004000000000000000000000000000000DF +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC00000000800003480000000000000000000A6 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000100000C000100000000D2 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000000000000000800000000004DB +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000002800B5 +:10D3500000000001000000000000000000000000CC +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000008000000000000000000C2 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D000000000000000000000000C00000000003D +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000400000000000000000000000032 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000010000000000000000B3 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A0000000000000000C000000000000009000C0 +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000010000000000D9 +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000030000000000000000000000000094 +:101950000000000000000000000000000000000087 +:101960000000010000000000000000000000000076 +:101970000000000000000000000000000000000067 +:101980000000010000000000000000000000000056 +:101990000000000000000000000000000000000047 +:1019A0000000010000000000000000000000000036 +:1019B0000000000000000000000000000000000027 +:1019C0000000010000000000000000000000000016 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD000000000000000301900000004C0CF000027 +:101DE00000000000000000000000000000000000F3 +:101DF000000000000000F039000000048000000036 +:101E000000000000000000000000000000000000D2 +:101E1000000000000000F039000000048082000093 +:101E200000000000000000000000000000000000B2 +:101E30000000000000003019000000048054000081 +:101E40000000000000000000000000000000000092 +:101E500000000000000090390000000090820000A7 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F500000000000000000000000C0280000800019 +:101F6000008B0000000000000000000000000000E6 +:101F700000000000000000000000C02800008000F9 +:101F800040E300000000000000000000000000002E +:101F900000000000000000000000C02800008000D9 +:101FA00040E300000000000000000000000000000E +:101FB00000000000000000000000C02800008000B9 +:101FC000408700000000000000000000000000004A +:101FD00000000000000000000000C0280000800198 +:101FE00000E300000000000000000000000000000E +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F000030080C0D3C30000000000000000C8053A +:10210000C1C0C00003C0E000C9C0039383C3C000C6 +:10211000CBC0C080C08300000000000000000000B1 +:102120000000C8C0000780000000C4C3C1D3000085 +:10213000C5C0C0C300930000000000000000000004 +:1021400000030300CB000003000313C3E1010005FB +:10215000002303C393070000000000000000E0001C +:10216000C00000000013C000C5C5030000D3A000DC +:1021700080C0C003E0EB0000000000000000000091 +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:1022700000000000200080408028000000000000D6 +:102280000000000041041000402000002002200453 +:1022900084900100202010041003000000000000C2 +:1022A0000000000000000002000080000000000CA0 +:1022B000210200004004010A0004000000000000A8 +:1022C00000000000000820004000000100800028FD +:1022D000200100000000021180000000000000004A +:1022E000000000008000000000008000401002009C +:1022F0000004000080408008000000000000000092 +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00004002C200000000004002C20000000004D +:1023F0000000000000000000003400200000000584 +:102400000428002000000000040830000000000044 +:10241000047820200000000004782020000000053F +:10242000043012000000001000011C000000001029 +:102430000448002000000000040000000000000527 +:102440000408080000000000003100000000000047 +:10245000040000000000000004000000000000056F +:102460000000002000000000000000000800001034 +:10247000042C000000000000042800000000000000 +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000600000000000000065F +:102570000000000020008004000000002000000394 +:102580000000800D674000C60000002002808044EB +:1025900000000000020028E601000000000028E61C +:1025A0000000800D0700800100000000E00002E054 +:1025B00001000000030001E4000000002700800487 +:1025C0000000800D078096C10000008085009040CB +:1025D00000000080070001E6010000000000810407 +:1025E0000000800D270000C60500002023000081A8 +:1025F00001000000030000E100000000C74080036C +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E0000000000000000000000064000000000086 +:1026F0000000010400000000000160000000000074 +:10270000000300000000002208024020000000003A +:1027100000002020000000000C002000000000004D +:102720000002000000000000001010200000000067 +:102730000800000800000000000000000000000089 +:102740000080600000000000080010200000000071 +:1027500008101020000000002000C1000000000050 +:102760000004001400000002080100040000000042 +:102770000001C000000000000002C80000000000CE +:1027800020004000000000000000000000000000E9 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:1028600000000000000000000000800C800100005B +:102870000000000080010100000000008015000140 +:10288000000000008000000700000083810000C0FD +:1028900001000080843914C30100000082159400F7 +:1028A000050000A0200080000000408727000027CE +:1028B00000000080E0010006050000A080019C03EC +:1028C000050000A0803900060000800C83011C2652 +:1028D00000000080E30100270000000086000803DC +:1028E000050000A0E03800C601004003E30000003E +:1028F00001000000830109C104000000E6001CC1C2 +:102900000100000026001C0700000000000000007D +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000004E3 +:1029F00000000000000000000000000000000000D7 +:102A0000000000000000000000000020000000079F +:102A10000000340008000000000200200800000050 +:102A200000003C000000000000803C000000000AA4 +:102A300004000020000000000000800000000000F2 +:102A40000000010000000000000000000000000481 +:102A50000080810000000000000000200000000055 +:102A6000000000000000000000010000000000075E +:102A700000010E000400002000001500000000000E +:102A8000000001000800000000000100000000003C +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B800010000000008000000000401000800000E5 +:102B900008101030080840004020000244800088DF +:102BA0000000001018100890080000002800000025 +:102BB000205800200000001000100002000010004B +:102BC0000044000020000800205010400800800051 +:102BD00002202030200211203014010010001000CB +:102BE000004008201010101000001010000010000D +:102BF0001000002010001000000808021001100052 +:102C0000000100000408041010190018100C101026 +:102C10000818000000010010001800000010041443 +:102C20000014000000040000000000040000000088 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000100000000000000000000C3077 +:102D100000000D0050301C3000040000000060B0C6 +:102D20000DB0000C590EB036101000020000060065 +:102D30005000000D0030500050B00030093050708D +:102D400000B0000000000EBA00000800001010B92A +:102D50000009A000000000300000100030307000BA +:102D60003000300000000870003A100000003D30D4 +:102D700000001000380000000A0A00B0050808052D +:102D8000001900000060B5B670080010351400345A +:102D90000008001200100000000000000000700099 +:102DA0000A00000000000000000000000000000019 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E800000000A00400000000000000000300000C8 +:102E900000002100003000000000012000001000B0 +:102EA0003000000C34300030000000000000003022 +:102EB0000000000020000000002000204041000031 +:102EC0000000000C0000000000200A00300000415B +:102ED00000310030004020000C002010300C083A77 +:102EE000300C08000000000000300010000000005E +:102EF00000000000000000000100002000000000B1 +:102F000000800A0C00880000000400000500040A8C +:102F1000000C00000004000000000000000C000095 +:102F20000000000000000400000400000004000095 +:102F30000000000800000000000000000000000089 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00002000200000000000000000000000000CD +:1030000002040000000400000000020000040004AC +:10301000020000000000000002400200000000006A +:103020000004020000040000000480000000000012 +:10303000020002000044000012400A0000040000E8 +:1030400000000200800000000200000000040000F8 +:10305000020002000040000000400200402000008A +:103060000000002000000000000000080008000030 +:1030700030400000804000000040000002000000DE +:103080000000004002400004024002000100000075 +:1030900000400000000400000004020000000000E6 +:1030A000024002000000000000000040000000009C +:1030B000000002400040000000000000000000008E +:1030C00030000000000000000000000000000000D0 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000001000000000000000000000000000C0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:103170000000000004000400000000000000000047 +:103180000000000004000000000000000000040037 +:103190000000000004000000000000000400040023 +:1031A00000000000000004000000000000002000FB +:1031B000000000000400040000002000440004009F +:1031C00000000000000004002000000004000000D7 +:1031D000000000000400048000002000080004003B +:1031E000208000000000000000000000000000013E +:1031F00000010000801000002000040000000030EA +:10320000040004000000001004100000040004008A +:1032100004000000000000000000000000000400A6 +:103220000000000004000400000000000000000096 +:10323000000000000000040000000000000000008A +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000400000000000000000000E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000008000000080000AD +:10331000000000000008000800000000000200009B +:103320000000000000020000000800080008000083 +:10333000000800000000000000000000000820005D +:10334000000008000008000000000000000200006B +:103350000000000000080000000000000000200045 +:103360000400000000000000000000100000000049 +:103370000000000000000000000000000000040049 +:103380000000002000000400000000000008000809 +:103390000000000000000000000000000008000025 +:1033A00010080008000000000000000800020000F3 +:1033B000000000000000000000000000000000000D +:1033C00000000000000200001000000000000000EB +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:103640000000000000000000000000000000030077 +:10365000000000000000000000000000000000006A +:103660000000000000000000000000000000010059 +:10367000000000000000000000000000000000004A +:103680000000000000000000000000000000010039 +:10369000000000000000000000000000000000002A +:1036A0000000000000000000000000000000010019 +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000100F9 +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:1037600000000000000000000000000000000080D9 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D0000000000000000000000000000000482080 +:1038E00012200000000000000000000000000000A6 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A6000000049593333000000000000000000004E +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE0000000F03900000004C0CF0000000000001A +:103AF00000000000000000000000000000000000C6 +:103B00000000B03900000004800000000000000048 +:103B100000000000000000000000000000000000A5 +:103B20000000B039000000048054000000000000D4 +:103B30000000000000000000000000000000000085 +:103B40000000301900000004100000000000000018 +:103B50000000000000000000000000000000000065 +:103B60000000E03900002001108200000000000089 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE0000000600000010000000000000000000074 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C6000000000000000C02800008000008B000061 +:103C70000000000000000000000000000000000044 +:103C8000000000000000C0280000800040E30000A9 +:103C90000000000000000000000000000000000024 +:103CA000000000000000C028000040004087000025 +:103CB0000000000000000000000000000000000004 +:103CC000000000000000C0280000800000E30000A9 +:103CD00000000000000000000000000000000000E4 +:103CE000000000000000C4280000000000E3000005 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000004400800000000800000087 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF0000000000000000000000000000000C1D32F +:103E000003C30000000000000000C0000080C4C127 +:103E1000C0D3A007CB03C7CBE383C000C58383CB4C +:103E2000CBC30000000000000000000000001300F1 +:103E3000C3000000001303C3C78100070003018310 +:103E4000D703000000000000000000000000E0C0F8 +:103E500000CB010003C0C8C300C1130003C0A0E32E +:103E600000C10000000000000000001300C31300A8 +:103E70000000000781C3C013002300C5C301030075 +:103E8000CB00000000000000000000000000000067 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000100000000D1 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000504004050000000000000000010097 +:103F9000000400058010000002010208400A010030 +:103FA0002003A001080C0000000000000000000039 +:103FB00000000000A000000000002090400500006C +:103FC0000001200A00800000000000000000000046 +:103FD0000000001000012000040200840011000015 +:103FE0000840000200050000000000000000000082 +:103FF0000005000000000000A01101000000000406 +:104000001201040040000000000000000000000059 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:10406000000000000000000000000000000000014F +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000004001000BC +:1040F0000000000000790000000000000000000047 +:104100000000000004000E0000000005002900006F +:10411000000000000001000000000000003000006E +:104120000000000004001620000000050028000028 +:104130000800000004306C00000000100000DC00EB +:104140000000001000010000000000050428080025 +:1041500008000000003000200000000004380020AB +:1041600000000000042800000000000500280000F6 +:1041700000000000000000200000000000390000E6 +:1041800000000000002C0000000000000000000003 +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F0000000004002080000000200000000000073 +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:104270000000100100000000E0000003000000004A +:10428000E000100100000000000000010000800DAF +:104290002740002001000080250000200100008050 +:1042A000870000E001000000000028E60000800D0B +:1042B00027403086010000800540004600000000D5 +:1042C000600102E301000000830000030000800D94 +:1042D0000740028601000080654000060000008063 +:1042E0000740142601000000C04080040000800D3B +:1042F0002740002101000080250000C305000020A8 +:1043000083408027010000806500800300000000DA +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:1043700000000000000000000048280020000000AD +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000098000000000000008000A5 +:104400000000000000019000000000000000C0005B +:10441000000000200804800400000000080480144C +:1044200000000000181080000000000000040000E0 +:104430000000000028018000000000000800C020EB +:104440000000000000000100000000000002C000A9 +:104450000000002808820008000000000801402039 +:104460000000000000001C000000000020042010DC +:10447000000000080805800000000000080300009C +:10448000000000000080010000000000100020007B +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F0000000000000000000000000000840000074 +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000800C8001A000000000008E +:1045800080011C07000000008001A0000000000066 +:10459000C001000300008005C32914C10100008090 +:1045A000C339004100000080C1010007050000A0E0 +:1045B0006030820000004014830080C001000000D1 +:1045C000820120C601000000E6018800050000A06D +:1045D0006000000300000004832800C00100000008 +:1045E000E20002C601000080013080C0010000002E +:1045F00026281CC70100000C63280CC40100000021 +:104600006200008301000000832908C601000080C9 +:1046100087011C07000000000000000000000000EF +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:104680000A00000010000000000000000000000010 +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000400000000B6 +:104700000000000000000120000000000000000088 +:104710000000000000000000000000040000400055 +:104720000800000000000000000000000000002061 +:1047300000000000040010000000000400003C0025 +:104740000800000000002800080000000000000031 +:1047500000000000000000000000000400003C20F9 +:10476000080000000001140008000010048014007C +:1047700008000000000000200000000304004020AA +:10478000080000000000002004000020000080005D +:1047900008000000000000200000000000000000F1 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:104800000000008001000000000000000000000027 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000001000000028 +:104880000000000000000001000000101000000007 +:1048900000000000000000000000000000002020D8 +:1048A000200000200008090A000000001810400045 +:1048B0003000008400104800001004000008203080 +:1048C000002000080048410A4000000800004000A5 +:1048D0000080081000202000004000004020200838 +:1048E0002030000000200100000008140810091208 +:1048F00000042410000000081418140100102022E5 +:104900000030001020100412000000108001241458 +:1049100020101C0404000118001000900000840006 +:1049200000900010000080000010008000000020B7 +:1049300000000880000000002000000000080000C7 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000040000000000000043 +:104970000000000001000080002040000000000056 +:10498000000000000000040204000000000000001D +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000C00000000000000AB +:104A00001000000000000000000000000000001086 +:104A100010000000000000000000000070000050C6 +:104A200050000000000000000C000000090090028F +:104A30000030060000009002000A045609009000B1 +:104A4000000000200DB00004000000000D00000474 +:104A5000000000B00E0000000000090000000E0C75 +:104A60000000000C0D300000000000000D000000F0 +:104A700004000010000D5000000D000C0038000074 +:104A800000300070000900000000080008B00010AD +:104A900000090030003000400060003000000000DD +:104AA00000007D000000000000000500000E000076 +:104AB000000A05000D00080E0E00050E00000E0C89 +:104AC00000080000000000000E0A080D05000D009F +:104AD000000000A000000000000008A0000000008E +:104AE0000000000000000000000000B00000000016 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000010000000100000033 +:104B8000000000000000000000000000000000081D +:104B90000000000000000000000000000000800095 +:104BA0000100000000002020000000A00100084CCF +:104BB0000000000000002000200000340000200061 +:104BC00000000C4000002020002000000030380CC5 +:104BD00010000040000010000010024000300000F3 +:104BE00000300001100020001020000000300800FC +:104BF0000000000000410C080000100E00000A0038 +:104C00000000000C0000202800200A001A00010CFF +:104C100001000000100430001000080400000C0027 +:104C20000000002000002400002000000000200000 +:104C30000000002000000020000000200000000014 +:104C40001000000100000000000000000000010052 +:104C50000000000000000000000000000000010053 +:104C60000000000000000000000000000000000044 +:104C70000C000000000000000000000004000030F4 +:104C800000203000000000000000000000000C0CBC +:104C90000400000000000000000000000000000010 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000100000000000000000C4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D00000000000000000000001000000000000093 +:104D10000000000000000000000000000000000093 +:104D20000000000000400000000000000000000043 +:104D30000000000000000000000000100000000063 +:104D40000040000040400000000000000020402023 +:104D500000002000000000000000000000000040F3 +:104D60000040004000000020400000320000000031 +:104D7000020000020000404000000002000040006D +:104D800000000000004040600000400000000260A1 +:104D900000040000000000000000000000100000FF +:104DA00000000000000000004040002000004030F3 +:104DB0000000003000000000400000000000000083 +:104DC00000000000000000000000000000000010D3 +:104DD00000000000000002000000000000000000D1 +:104DE00000000000000000000000000002000000C1 +:104DF0000030000000000000000000000000000083 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000400000000012 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE000000000200000002000000000200004005E +:104EF000000000000400000000000030020020005C +:104F00000000200000002000000020000000200021 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000001000000071 +:104F30000000308000000080000000001000000031 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F700000000000008000000000000000000000B1 +:104F800000000000000030000000000000000000F1 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD0000000000000000000008000000000000051 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000010000000000000000000000000000080 +:105020000000000000000000000000000000000080 +:105030000000000010000000000000000000000060 +:105040000000001000000000000000000000000050 +:105050000000000000100010000010001000000010 +:105060000000000000000000000000000000001030 +:10507000000004100000000000000000000000100C +:105080000041E001001000000010E00100000010ED +:1050900000100000000010100018000000000000C8 +:1050A00000000000001000000000001000000000E0 +:1050B00000000010000000000000000000000000E0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000100000000000000000C0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000002000000000007F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000B8900C00018019 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/04-Lissajous/Board/ise/lissajous.dwf3work b/04-Lissajous/Board/ise/lissajous.dwf3work new file mode 100755 index 0000000..3e80dcc Binary files /dev/null and b/04-Lissajous/Board/ise/lissajous.dwf3work differ diff --git a/04-Lissajous/Board/ise/lissajous.xise b/04-Lissajous/Board/ise/lissajous.xise new file mode 100644 index 0000000..076ab77 --- /dev/null +++ b/04-Lissajous/Board/ise/lissajous.xise @@ -0,0 +1,331 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit b/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit new file mode 100644 index 0000000..117f66e Binary files /dev/null and b/04-Lissajous/Board/ise/lissajousGenerator_circuit.bit differ diff --git a/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/.hdlsidedata/_lissajousgenerator_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf b/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf new file mode 100644 index 0000000..aa82986 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/_lissajousgenerator._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd b/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd new file mode 100644 index 0000000..997ee19 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/lissajous@generator/struct.bd @@ -0,0 +1,4708 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_sinY" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 2053,0 +) +(Instance +name "I_sinX" +duLibraryName "SplineInterpolator" +duName "sineGen" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 2090,0 +) +(Instance +name "I_dacY" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2162,0 +) +(Instance +name "I_dacX" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 2187,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajousGenerator" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:47:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajous@generator\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous\\hds\\lissajousGenerator\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:47:09" +) +(vvPair +variable "unit" +value "lissajousGenerator" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "33000,30625,34500,31375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "34500,31000,35000,31000" +pts [ +"34500,31000" +"35000,31000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28200,30300,32000,31700" +st "clock" +ju 2 +blo "32000,31500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7800,9900,8800" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "91500,30625,93000,31375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "91000,31000,91500,31000" +pts [ +"91000,31000" +"91500,31000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,30300,97800,31700" +st "yOut" +blo "94000,31500" +tm "WireNameMgr" +) +) +) +*4 (Grouping +uid 51,0 +optionalChildren [ +*5 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*10 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*15 (PortIoOut +uid 429,0 +shape (CompositeShape +uid 430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 431,0 +sl 0 +ro 270 +xt "91500,20625,93000,21375" +) +(Line +uid 432,0 +sl 0 +ro 270 +xt "91000,21000,91500,21000" +pts [ +"91000,21000" +"91500,21000" +] +) +] +) +tg (WTG +uid 433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,20300,102100,21700" +st "triggerOut" +blo "94000,21500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 441,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 442,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9600,10400,10600" +st "triggerOut : std_ulogic" +) +) +*17 (HdlText +uid 443,0 +optionalChildren [ +*18 (EmbeddedText +uid 456,0 +commentText (CommentText +uid 457,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 458,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,20000,82000,23000" +) +oxt "0,0,18000,5000" +text (MLText +uid 459,0 +va (VaSet +) +xt "68200,20200,82000,22600" +st " +triggerOut <= squareY(squareY'high); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 3000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 444,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,19000,83000,24000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 445,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 446,0 +va (VaSet +) +xt "67400,24000,70000,25200" +st "eb1" +blo "67400,25000" +tm "HdlTextNameMgr" +) +*20 (Text +uid 447,0 +va (VaSet +) +xt "67400,25000,68800,26200" +st "1" +blo "67400,26000" +tm "HdlTextNumberMgr" +) +] +) +) +*21 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10500,10100,11500" +st "xOut : std_ulogic" +) +) +*22 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "91500,52625,93000,53375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "91000,53000,91500,53000" +pts [ +"91000,53000" +"91500,53000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "94000,52300,97800,53700" +st "xOut" +blo "94000,53500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11400,10100,12400" +st "yOut : std_ulogic" +) +) +*24 (Net +uid 617,0 +decl (Decl +n "sineX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +declText (MLText +uid 618,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14100,24200,15100" +st "SIGNAL sineX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*25 (HdlText +uid 1324,0 +optionalChildren [ +*26 (EmbeddedText +uid 1329,0 +commentText (CommentText +uid 1330,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1331,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "19000,46000,35000,50000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1332,0 +va (VaSet +) +xt "19200,46200,33100,49800" +st " +stepXUnsigned <= to_unsigned(stepX, stepXUnsigned'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1325,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "19000,45000,35000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1326,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 1327,0 +va (VaSet +) +xt "19400,51000,22000,52200" +st "eb2" +blo "19400,52000" +tm "HdlTextNameMgr" +) +*28 (Text +uid 1328,0 +va (VaSet +) +xt "19400,52000,20800,53200" +st "2" +blo "19400,53000" +tm "HdlTextNumberMgr" +) +] +) +) +*29 (Net +uid 1631,0 +decl (Decl +n "sineY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 16,0 +) +declText (MLText +uid 1632,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15000,24200,16000" +st "SIGNAL sineY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*30 (HdlText +uid 1637,0 +optionalChildren [ +*31 (EmbeddedText +uid 1642,0 +commentText (CommentText +uid 1643,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1644,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "19000,24000,35000,28000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1645,0 +va (VaSet +) +xt "19200,24200,33100,27800" +st " +stepYUnsigned <= to_unsigned(stepY, stepYUnsigned'length); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1638,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "19000,23000,35000,29000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1639,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1640,0 +va (VaSet +) +xt "19400,29000,22000,30200" +st "eb3" +blo "19400,30000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1641,0 +va (VaSet +) +xt "19400,30000,20800,31200" +st "3" +blo "19400,31000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (Net +uid 1652,0 +decl (Decl +n "squareY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 19,0 +) +declText (MLText +uid 1653,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15900,24700,16900" +st "SIGNAL squareY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*35 (SaComponent +uid 2053,0 +optionalChildren [ +*36 (CptPort +uid 2025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,30625,43000,31375" +) +tg (CPTG +uid 2027,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2028,0 +va (VaSet +) +xt "44000,30400,47400,31600" +st "clock" +blo "44000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*37 (CptPort +uid 2029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,32625,43000,33375" +) +tg (CPTG +uid 2031,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2032,0 +va (VaSet +) +xt "44000,32400,47300,33600" +st "reset" +blo "44000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*38 (CptPort +uid 2033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,24625,59750,25375" +) +tg (CPTG +uid 2035,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2036,0 +va (VaSet +) +xt "52800,24400,58000,25600" +st "sawtooth" +ju 2 +blo "58000,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*39 (CptPort +uid 2037,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2038,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,30625,59750,31375" +) +tg (CPTG +uid 2039,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2040,0 +va (VaSet +) +xt "55200,30400,58000,31600" +st "sine" +ju 2 +blo "58000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*40 (CptPort +uid 2041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2042,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,28625,59750,29375" +) +tg (CPTG +uid 2043,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2044,0 +va (VaSet +) +xt "53500,28400,58000,29600" +st "triangle" +ju 2 +blo "58000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*41 (CptPort +uid 2045,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2046,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,26625,59750,27375" +) +tg (CPTG +uid 2047,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2048,0 +va (VaSet +) +xt "53900,26400,58000,27600" +st "square" +ju 2 +blo "58000,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*42 (CptPort +uid 2049,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2050,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,24625,43000,25375" +) +tg (CPTG +uid 2051,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2052,0 +va (VaSet +) +xt "44000,24400,46900,25600" +st "step" +blo "44000,25400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 2054,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,21000,59000,35000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 2055,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +uid 2056,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,34800,54000,36000" +st "SplineInterpolator" +blo "43600,35800" +tm "BdLibraryNameMgr" +) +*44 (Text +uid 2057,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,35700,48100,36900" +st "sineGen" +blo "43600,36700" +tm "CptNameMgr" +) +*45 (Text +uid 2058,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,36600,47400,37800" +st "I_sinY" +blo "43600,37600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2059,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2060,0 +text (MLText +uid 2061,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,38600,62200,40600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*46 (SaComponent +uid 2090,0 +optionalChildren [ +*47 (CptPort +uid 2062,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2063,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,52625,43000,53375" +) +tg (CPTG +uid 2064,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2065,0 +va (VaSet +) +xt "44000,52400,47400,53600" +st "clock" +blo "44000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*48 (CptPort +uid 2066,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2067,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,54625,43000,55375" +) +tg (CPTG +uid 2068,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2069,0 +va (VaSet +) +xt "44000,54400,47300,55600" +st "reset" +blo "44000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*49 (CptPort +uid 2070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2071,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,46625,59750,47375" +) +tg (CPTG +uid 2072,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2073,0 +va (VaSet +) +xt "52800,46400,58000,47600" +st "sawtooth" +ju 2 +blo "58000,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*50 (CptPort +uid 2074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,52625,59750,53375" +) +tg (CPTG +uid 2076,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2077,0 +va (VaSet +) +xt "55200,52400,58000,53600" +st "sine" +ju 2 +blo "58000,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +) +*51 (CptPort +uid 2078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,50625,59750,51375" +) +tg (CPTG +uid 2080,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2081,0 +va (VaSet +) +xt "53500,50400,58000,51600" +st "triangle" +ju 2 +blo "58000,51400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +) +*52 (CptPort +uid 2082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,48625,59750,49375" +) +tg (CPTG +uid 2084,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2085,0 +va (VaSet +) +xt "53900,48400,58000,49600" +st "square" +ju 2 +blo "58000,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*53 (CptPort +uid 2086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,46625,43000,47375" +) +tg (CPTG +uid 2088,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2089,0 +va (VaSet +) +xt "44000,46400,46900,47600" +st "step" +blo "44000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 2091,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,43000,59000,57000" +) +oxt "32000,16000,48000,30000" +ttg (MlTextGroup +uid 2092,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 2093,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,56800,54000,58000" +st "SplineInterpolator" +blo "43600,57800" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 2094,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,57700,48100,58900" +st "sineGen" +blo "43600,58700" +tm "CptNameMgr" +) +*56 (Text +uid 2095,0 +va (VaSet +font "Verdana,9,1" +) +xt "43600,58600,47400,59800" +st "I_sinX" +blo "43600,59600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2096,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2097,0 +text (MLText +uid 2098,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,60600,62200,62600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*57 (SaComponent +uid 2162,0 +optionalChildren [ +*58 (CptPort +uid 2146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,34625,67000,35375" +) +tg (CPTG +uid 2148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2149,0 +va (VaSet +) +xt "68000,34400,71400,35600" +st "clock" +blo "68000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*59 (CptPort +uid 2150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,30625,67000,31375" +) +tg (CPTG +uid 2152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2153,0 +va (VaSet +) +xt "68000,30400,74200,31600" +st "parallelIn" +blo "68000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*60 (CptPort +uid 2154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,30625,83750,31375" +) +tg (CPTG +uid 2156,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2157,0 +va (VaSet +) +xt "76601,30400,82001,31600" +st "serialOut" +ju 2 +blo "82001,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*61 (CptPort +uid 2158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,36625,67000,37375" +) +tg (CPTG +uid 2160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2161,0 +va (VaSet +) +xt "68000,36400,71300,37600" +st "reset" +blo "68000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 2163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,27000,83000,39000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 2164,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 2165,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,38800,82300,40000" +st "DigitalToAnalogConverter" +blo "67600,39800" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 2166,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,39700,70300,40900" +st "DAC" +blo "67600,40700" +tm "CptNameMgr" +) +*64 (Text +uid 2167,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,40600,71700,41800" +st "I_dacY" +blo "67600,41600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2168,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2169,0 +text (MLText +uid 2170,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,42600,85400,43600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 2187,0 +optionalChildren [ +*66 (CptPort +uid 2171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,56625,67000,57375" +) +tg (CPTG +uid 2173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2174,0 +va (VaSet +) +xt "68000,56400,71400,57600" +st "clock" +blo "68000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 2175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,52625,67000,53375" +) +tg (CPTG +uid 2177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2178,0 +va (VaSet +) +xt "68000,52400,74200,53600" +st "parallelIn" +blo "68000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 2179,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2180,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83000,52625,83750,53375" +) +tg (CPTG +uid 2181,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2182,0 +va (VaSet +) +xt "76601,52400,82001,53600" +st "serialOut" +ju 2 +blo "82001,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 2183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2184,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66250,58625,67000,59375" +) +tg (CPTG +uid 2185,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2186,0 +va (VaSet +) +xt "68000,58400,71300,59600" +st "reset" +blo "68000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 2188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67000,49000,83000,61000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 2189,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 2190,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,60800,82300,62000" +st "DigitalToAnalogConverter" +blo "67600,61800" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 2191,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,61700,70300,62900" +st "DAC" +blo "67600,62700" +tm "CptNameMgr" +) +*72 (Text +uid 2192,0 +va (VaSet +font "Verdana,9,1" +) +xt "67600,62600,71700,63800" +st "I_dacX" +blo "67600,63600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2193,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2194,0 +text (MLText +uid 2195,0 +va (VaSet +font "Verdana,8,0" +) +xt "67000,64600,85400,65600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*73 (Net +uid 2339,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 20,0 +) +declText (MLText +uid 2340,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8700,9900,9700" +st "reset : std_ulogic" +) +) +*74 (PortIoIn +uid 2367,0 +shape (CompositeShape +uid 2368,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2369,0 +sl 0 +ro 270 +xt "33000,32625,34500,33375" +) +(Line +uid 2370,0 +sl 0 +ro 270 +xt "34500,33000,35000,33000" +pts [ +"34500,33000" +"35000,33000" +] +) +] +) +tg (WTG +uid 2371,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2372,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "27900,32350,32000,33750" +st "reset" +ju 2 +blo "32000,33550" +tm "WireNameMgr" +) +) +) +*75 (Net +uid 2510,0 +decl (Decl +n "stepYUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 10 +suid 21,0 +) +declText (MLText +uid 2511,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,17700,25700,18700" +st "SIGNAL stepYUnsigned : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*76 (Net +uid 2512,0 +decl (Decl +n "stepXUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 9 +suid 22,0 +) +declText (MLText +uid 2513,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,16800,25700,17800" +st "SIGNAL stepXUnsigned : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*77 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "35000,31000,42250,31000" +pts [ +"35000,31000" +"42250,31000" +] +) +start &1 +end &36 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,29600,38800,31000" +st "clock" +blo "35000,30800" +tm "WireNameMgr" +) +) +on &2 +) +*78 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "83750,31000,91000,31000" +pts [ +"91000,31000" +"83750,31000" +] +) +start &3 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,29600,89800,31000" +st "yOut" +blo "86000,30800" +tm "WireNameMgr" +) +) +on &23 +) +*79 (Wire +uid 435,0 +shape (OrthoPolyLine +uid 436,0 +va (VaSet +vasetType 3 +) +xt "83000,21000,91000,21000" +pts [ +"91000,21000" +"83000,21000" +] +) +start &15 +end &17 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,19600,94100,21000" +st "triggerOut" +blo "86000,20800" +tm "WireNameMgr" +) +) +on &16 +) +*80 (Wire +uid 450,0 +shape (OrthoPolyLine +uid 451,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,21000,67000,27000" +pts [ +"59750,27000" +"63000,27000" +"63000,21000" +"67000,21000" +] +) +start &41 +end &17 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 455,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,19600,66900,21000" +st "squareY" +blo "61000,20800" +tm "WireNameMgr" +) +) +on &34 +) +*81 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "83750,53000,91000,53000" +pts [ +"91000,53000" +"83750,53000" +] +) +start &22 +end &68 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,51600,89800,53000" +st "xOut" +blo "86000,52800" +tm "WireNameMgr" +) +) +on &21 +) +*82 (Wire +uid 579,0 +shape (OrthoPolyLine +uid 580,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,53000,66250,53000" +pts [ +"59750,53000" +"66250,53000" +] +) +start &50 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 581,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 582,0 +va (VaSet +font "Verdana,12,0" +) +xt "60750,51600,64950,53000" +st "sineX" +blo "60750,52800" +tm "WireNameMgr" +) +) +on &24 +) +*83 (Wire +uid 583,0 +shape (OrthoPolyLine +uid 584,0 +va (VaSet +vasetType 3 +) +xt "63000,57000,66250,57000" +pts [ +"63000,57000" +"66250,57000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 588,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,55600,65800,57000" +st "clock" +blo "62000,56800" +tm "WireNameMgr" +) +) +on &2 +) +*84 (Wire +uid 589,0 +shape (OrthoPolyLine +uid 590,0 +va (VaSet +vasetType 3 +) +xt "63000,59000,66250,59000" +pts [ +"63000,59000" +"66250,59000" +] +) +end &69 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 594,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,57600,66100,59000" +st "reset" +blo "62000,58800" +tm "WireNameMgr" +) +) +on &73 +) +*85 (Wire +uid 1335,0 +shape (OrthoPolyLine +uid 1336,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,47000,42250,47000" +pts [ +"42250,47000" +"35000,47000" +] +) +start &53 +end &25 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1340,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,45600,48100,47000" +st "stepXUnsigned" +blo "37000,46800" +tm "WireNameMgr" +) +) +on &76 +) +*86 (Wire +uid 1341,0 +shape (OrthoPolyLine +uid 1342,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,25000,42250,25000" +pts [ +"42250,25000" +"35000,25000" +] +) +start &42 +end &30 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1347,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1348,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,23600,48000,25000" +st "stepYUnsigned" +blo "37000,24800" +tm "WireNameMgr" +) +) +on &75 +) +*87 (Wire +uid 1613,0 +shape (OrthoPolyLine +uid 1614,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,31000,66250,31000" +pts [ +"59750,31000" +"66250,31000" +] +) +start &39 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1615,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1616,0 +va (VaSet +font "Verdana,12,0" +) +xt "60750,29600,64850,31000" +st "sineY" +blo "60750,30800" +tm "WireNameMgr" +) +) +on &29 +) +*88 (Wire +uid 1617,0 +shape (OrthoPolyLine +uid 1618,0 +va (VaSet +vasetType 3 +) +xt "63000,35000,66250,35000" +pts [ +"63000,35000" +"66250,35000" +] +) +end &58 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1621,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1622,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,33600,65800,35000" +st "clock" +blo "62000,34800" +tm "WireNameMgr" +) +) +on &2 +) +*89 (Wire +uid 1623,0 +shape (OrthoPolyLine +uid 1624,0 +va (VaSet +vasetType 3 +) +xt "63000,37000,66250,37000" +pts [ +"63000,37000" +"66250,37000" +] +) +end &61 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1627,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1628,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,35600,66100,37000" +st "reset" +blo "62000,36800" +tm "WireNameMgr" +) +) +on &73 +) +*90 (Wire +uid 2341,0 +shape (OrthoPolyLine +uid 2342,0 +va (VaSet +vasetType 3 +) +xt "39000,55000,42250,55000" +pts [ +"39000,55000" +"42250,55000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2347,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2348,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,53600,42100,55000" +st "reset" +blo "38000,54800" +tm "WireNameMgr" +) +) +on &73 +) +*91 (Wire +uid 2349,0 +shape (OrthoPolyLine +uid 2350,0 +va (VaSet +vasetType 3 +) +xt "39000,53000,42250,53000" +pts [ +"39000,53000" +"42250,53000" +] +) +end &47 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2356,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,51600,41800,53000" +st "clock" +blo "38000,52800" +tm "WireNameMgr" +) +) +on &2 +) +*92 (Wire +uid 2357,0 +shape (OrthoPolyLine +uid 2358,0 +va (VaSet +vasetType 3 +) +xt "35000,33000,42250,33000" +pts [ +"35000,33000" +"42250,33000" +] +) +start &74 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2363,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2364,0 +va (VaSet +font "Verdana,12,0" +) +xt "34000,31600,38100,33000" +st "reset" +blo "34000,32800" +tm "WireNameMgr" +) +) +on &73 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *93 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*95 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*97 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*98 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*99 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*100 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*101 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*102 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4571,-1604,138105,75916" +cachedDiagramExtent "-3000,0,106000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "Microsoft Print to PDF,winspool," +fileName "PORTPROMPT:" +toPrinter 1 +colour 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2732,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*104 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*105 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*107 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*108 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*110 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*111 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*113 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*114 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*116 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*117 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*119 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*121 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*123 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6000,4000,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6900,400,7900" +st "Ports:" +blo "-3000,7700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,12300,1800,13300" +st "Pre User:" +blo "-3000,13100" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13200,18000,15000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13200,6000,14200" +st "Diagram Signals:" +blo "-3000,14000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,6000,3000,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 22,0 +usingSuid 1 +emptyRow *124 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*125 (RefLabelRowHdr +) +*126 (TitleRowHdr +) +*127 (FilterRowHdr +) +*128 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*129 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*130 (GroupColHdr +tm "GroupColHdrMgr" +) +*131 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*132 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*133 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*134 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*135 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*136 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 1383,0 +) +*139 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 1387,0 +) +*140 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 1389,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 1393,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sineY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 16,0 +) +) +uid 1646,0 +) +*143 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "squareY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 19,0 +) +) +uid 1654,0 +) +*144 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 20,0 +) +) +uid 2365,0 +) +*145 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "stepYUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 10 +suid 21,0 +) +) +uid 2514,0 +) +*146 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "stepXUnsigned" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 9 +suid 22,0 +) +) +uid 2516,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*147 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *148 (MRCItem +litem &124 +pos 10 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*149 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 1422,0 +) +*150 (MRCItem +litem &126 +pos 1 +dimension 23 +uid 1423,0 +) +*151 (MRCItem +litem &127 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*152 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 1378,0 +) +*153 (MRCItem +litem &138 +pos 1 +dimension 20 +uid 1384,0 +) +*154 (MRCItem +litem &139 +pos 2 +dimension 20 +uid 1388,0 +) +*155 (MRCItem +litem &140 +pos 3 +dimension 20 +uid 1390,0 +) +*156 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 1394,0 +) +*157 (MRCItem +litem &142 +pos 6 +dimension 20 +uid 1647,0 +) +*158 (MRCItem +litem &143 +pos 7 +dimension 20 +uid 1655,0 +) +*159 (MRCItem +litem &144 +pos 4 +dimension 20 +uid 2366,0 +) +*160 (MRCItem +litem &145 +pos 8 +dimension 20 +uid 2515,0 +) +*161 (MRCItem +litem &146 +pos 9 +dimension 20 +uid 2517,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*162 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 1426,0 +) +*163 (MRCItem +litem &130 +pos 1 +dimension 50 +uid 1427,0 +) +*164 (MRCItem +litem &131 +pos 2 +dimension 100 +uid 1428,0 +) +*165 (MRCItem +litem &132 +pos 3 +dimension 50 +uid 1429,0 +) +*166 (MRCItem +litem &133 +pos 4 +dimension 100 +uid 1430,0 +) +*167 (MRCItem +litem &134 +pos 5 +dimension 100 +uid 1431,0 +) +*168 (MRCItem +litem &135 +pos 6 +dimension 50 +uid 1432,0 +) +*169 (MRCItem +litem &136 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *170 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*171 (RefLabelRowHdr +) +*172 (TitleRowHdr +) +*173 (FilterRowHdr +) +*174 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*175 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*176 (GroupColHdr +tm "GroupColHdrMgr" +) +*177 (NameColHdr +tm "GenericNameColHdrMgr" +) +*178 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*179 (InitColHdr +tm "GenericValueColHdrMgr" +) +*180 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*181 (EolColHdr +tm "GenericEolColHdrMgr" +) +*182 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 2460,0 +) +*183 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 2462,0 +) +*184 (LogGeneric +generic (GiElement +name "stepX" +type "positive" +value "1" +) +uid 2464,0 +) +*185 (LogGeneric +generic (GiElement +name "stepY" +type "positive" +value "1" +) +uid 2466,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*186 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *187 (MRCItem +litem &170 +pos 4 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*188 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 1450,0 +) +*189 (MRCItem +litem &172 +pos 1 +dimension 23 +uid 1451,0 +) +*190 (MRCItem +litem &173 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*191 (MRCItem +litem &182 +pos 0 +dimension 20 +uid 2459,0 +) +*192 (MRCItem +litem &183 +pos 1 +dimension 20 +uid 2461,0 +) +*193 (MRCItem +litem &184 +pos 2 +dimension 20 +uid 2463,0 +) +*194 (MRCItem +litem &185 +pos 3 +dimension 20 +uid 2465,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*195 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 1454,0 +) +*196 (MRCItem +litem &176 +pos 1 +dimension 50 +uid 1455,0 +) +*197 (MRCItem +litem &177 +pos 2 +dimension 100 +uid 1456,0 +) +*198 (MRCItem +litem &178 +pos 3 +dimension 100 +uid 1457,0 +) +*199 (MRCItem +litem &179 +pos 4 +dimension 50 +uid 1458,0 +) +*200 (MRCItem +litem &180 +pos 5 +dimension 50 +uid 1459,0 +) +*201 (MRCItem +litem &181 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb b/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb new file mode 100644 index 0000000..31b89b1 --- /dev/null +++ b/04-Lissajous/Lissajous/hds/lissajous@generator/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 165,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 166,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 167,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 427,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 104,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 109,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 131,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 133,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 134,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 426,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 113,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 121,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 123,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 125,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 127,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 160,0 +) +*50 (LogGeneric +generic (GiElement +name "phaseBitNb" +type "positive" +value "16" +) +uid 479,0 +) +*51 (LogGeneric +generic (GiElement +name "stepX" +type "positive" +value "1" +) +uid 481,0 +) +*52 (LogGeneric +generic (GiElement +name "stepY" +type "positive" +value "1" +) +uid 483,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &37 +pos 4 +dimension 20 +) +uid 136,0 +optionalChildren [ +*55 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*56 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 141,0 +) +*57 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*58 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 161,0 +) +*59 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 480,0 +) +*60 (MRCItem +litem &51 +pos 2 +dimension 20 +uid 482,0 +) +*61 (MRCItem +litem &52 +pos 3 +dimension 20 +uid 484,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*62 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 145,0 +) +*63 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 149,0 +) +*64 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 151,0 +) +*65 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 153,0 +) +*66 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 155,0 +) +*67 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 157,0 +) +*68 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajousGenerator" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:53" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajous@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous/hds/lissajousGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:53" +) +(vvPair +variable "unit" +value "lissajousGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,35500,18300" +st "clock" +blo "33000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,19000,11700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +font "courier,9,0" +) +xt "41500,17400,47000,18300" +st "triggerOut" +ju 2 +blo "47000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,19000,13500" +st "triggerOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*72 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "45000,15400,47000,16300" +st "xOut" +ju 2 +blo "47000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,19000,14400" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*73 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "45000,13400,47000,14300" +st "yOut" +ju 2 +blo "47000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,18000,15300" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*74 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +) +xt "33000,19500,36000,20500" +st "reset" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,19000,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,37100,22700" +st "Lissajous" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,42100,23600" +st "lissajousGenerator" +blo "32600,23400" +) +) +gi *75 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,45000,31000" +st "Generic Declarations + +signalBitNb positive 16 +phaseBitNb positive 16 +stepX positive 1 +stepY positive 1 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "stepX" +type "positive" +value "1" +) +(GiElement +name "stepY" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*76 (Grouping +uid 16,0 +optionalChildren [ +*77 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*82 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*89 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "706,78,1648,805" +viewArea "-1900,-1000,73522,46902" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 507,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd b/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd new file mode 100644 index 0000000..64427cf --- /dev/null +++ b/04-Lissajous/Lissajous_test/hdl/lissajousGenerator_tester_test.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE test OF lissajousGenerator_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + +END ARCHITECTURE test; diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousGenerator_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_test_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/.hdlsidedata/_lissajousgenerator_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf b/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf new file mode 100644 index 0000000..66cbfb5 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_test._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_test/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf b/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf new file mode 100644 index 0000000..335f3fd --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/_lissajousgenerator_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lissajousGenerator_tester_test.vhd diff --git a/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf new file mode 100755 index 0000000..30e9f19 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/_struct.bd._fpf @@ -0,0 +1,9 @@ +ARCHITECTURES list { + {lissajousgenerator_test struct} list { + TASK_SETTINGS list { + PLUGIN_SETTINGS list { + ModelSimSimulator atom {TaskSetting Arguments {} TaskSetting Communication 1 TaskSetting DelaySelection typ TaskSetting GlitchGeneration 1 TaskSetting InitCmd {$SIMULATION_DIR/lissajousGenerator.do} TaskSetting LogFile {} TaskSetting RemoteHost {} TaskSetting Resolution ns TaskSetting SdfDelay typ TaskSetting SdfMultiSrcDelay latest TaskSetting SdfReduce 0 TaskSetting SdfWarnings 1 TaskSetting TimingChecks 1 TaskSetting UseBatch 0 TaskSetting UseCLI 0 TaskSetting UseGUI 1 TaskSetting VitalVersion 95 TaskSetting autoNames 1 TaskSetting coverage 0 TaskSetting excludePSL 0 TaskSetting exepath %task_ModelSimPath TaskSetting minimumSimSetting 0 TaskSetting saveReplayScript 0 TaskSetting useCustomSimDir 0} + } + } + } +} diff --git a/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd new file mode 100644 index 0000000..11d0420 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/struct.bd @@ -0,0 +1,3941 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lissajous_test" +duName "lissajousGenerator_tester" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 421,0 +) +(Instance +name "I_DUT" +duLibraryName "Lissajous" +duName "lissajousGenerator" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +mwi 0 +uid 1594,0 +) +(Instance +name "I_filtX" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1612,0 +) +(Instance +name "I_filty" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 1699,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_test" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_test" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:48:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_test" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_test\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_test\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:48:46" +) +(vvPair +variable "unit" +value "lissajousGenerator_test" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,65000,79000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,65500,62200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,83000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61500,79200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,63000,79000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,63500,62200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,62000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63500,58200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,62000,99000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,62200,93300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,61000,99000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,61500,83200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,61000,79000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "63350,61400,73650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,62000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64500,58200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,62000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65500,58200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,64000,79000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,64500,62200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "58000,61000,99000,66000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "11000,52000,91000,60000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 424,0 +va (VaSet +) +xt "11700,60200,20500,61400" +st "Lissajous_test" +blo "11700,61200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 425,0 +va (VaSet +) +xt "11700,61400,26900,62600" +st "lissajousGenerator_tester" +blo "11700,62400" +tm "BlkNameMgr" +) +*15 (Text +uid 426,0 +va (VaSet +) +xt "11700,62600,16500,63800" +st "I_tester" +blo "11700,63600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "12000,64000,38200,66400" +st "signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*16 (Net +uid 1554,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 10,0 +) +declText (MLText +uid 1555,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17400,15200,18400" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 1562,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +declText (MLText +uid 1563,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16400,15200,17400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (SaComponent +uid 1594,0 +optionalChildren [ +*19 (CptPort +uid 1574,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1575,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,39625,23000,40375" +) +tg (CPTG +uid 1576,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1577,0 +va (VaSet +) +xt "24000,39400,27400,40600" +st "clock" +blo "24000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*20 (CptPort +uid 1578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,39625,39750,40375" +) +tg (CPTG +uid 1580,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1581,0 +va (VaSet +) +xt "31400,39400,38000,40600" +st "triggerOut" +ju 2 +blo "38000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 1582,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1583,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,37625,39750,38375" +) +tg (CPTG +uid 1584,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1585,0 +va (VaSet +) +xt "34800,37400,38000,38600" +st "xOut" +ju 2 +blo "38000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 1586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1587,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,35625,39750,36375" +) +tg (CPTG +uid 1588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1589,0 +va (VaSet +) +xt "34800,35400,38000,36600" +st "yOut" +ju 2 +blo "38000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*23 (CptPort +uid 1590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,41625,23000,42375" +) +tg (CPTG +uid 1592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1593,0 +va (VaSet +) +xt "24000,41500,27300,42700" +st "reset" +blo "24000,42500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 1595,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,32000,39000,44000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1596,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 1597,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,43800,28800,45000" +st "Lissajous" +blo "23600,44800" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 1598,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,44700,34100,45900" +st "lissajousGenerator" +blo "23600,45700" +tm "CptNameMgr" +) +*26 (Text +uid 1599,0 +va (VaSet +font "Verdana,9,1" +) +xt "23600,45600,27300,46800" +st "I_DUT" +blo "23600,46600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1600,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1601,0 +text (MLText +uid 1602,0 +va (VaSet +font "Verdana,8,0" +) +xt "23000,47600,42200,51600" +st "signalBitNb = signalBitNb ( positive ) +phaseBitNb = phaseBitNb ( positive ) +stepX = stepX ( positive ) +stepY = stepY ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "phaseBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "stepX" +type "positive" +value "stepX" +) +(GiElement +name "stepY" +type "positive" +value "stepY" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*27 (HdlText +uid 1603,0 +optionalChildren [ +*28 (EmbeddedText +uid 1608,0 +commentText (CommentText +uid 1609,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1610,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "43000,3000,59000,7000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1611,0 +va (VaSet +) +xt "43200,3200,57300,6800" +st " +xParallel <= (others => xSerial); +yParallel <= (others => ySerial); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1604,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "43000,2000,59000,8000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1605,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 1606,0 +va (VaSet +) +xt "43400,8000,46000,9200" +st "eb1" +blo "43400,9000" +tm "HdlTextNameMgr" +) +*30 (Text +uid 1607,0 +va (VaSet +) +xt "43400,9000,44800,10200" +st "1" +blo "43400,10000" +tm "HdlTextNumberMgr" +) +] +) +) +*31 (SaComponent +uid 1612,0 +optionalChildren [ +*32 (CptPort +uid 1621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,39625,63000,40375" +) +tg (CPTG +uid 1623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1624,0 +va (VaSet +) +xt "64000,39400,67400,40600" +st "clock" +blo "64000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*33 (CptPort +uid 1625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79000,35625,79750,36375" +) +tg (CPTG +uid 1627,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1628,0 +va (VaSet +) +xt "70700,35400,78000,36600" +st "lowpassOut" +ju 2 +blo "78000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*34 (CptPort +uid 1629,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1630,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,41625,63000,42375" +) +tg (CPTG +uid 1631,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1632,0 +va (VaSet +) +xt "64000,41400,67300,42600" +st "reset" +blo "64000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*35 (CptPort +uid 1633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1634,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,35625,63000,36375" +) +tg (CPTG +uid 1635,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1636,0 +va (VaSet +) +xt "64000,35400,69800,36600" +st "lowpassIn" +blo "64000,36400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 1613,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "63000,32000,79000,44000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1614,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1615,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,43800,75100,45000" +st "WaveformGenerator" +blo "63600,44800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1616,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,44700,68200,45900" +st "lowpass" +blo "63600,45700" +tm "CptNameMgr" +) +*38 (Text +uid 1617,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,45600,67600,46800" +st "I_filtX" +blo "63600,46600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1618,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1619,0 +text (MLText +uid 1620,0 +va (VaSet +font "Verdana,8,0" +) +xt "63000,47600,83800,49600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (Net +uid 1683,0 +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 15,0 +) +declText (MLText +uid 1684,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24400,15300,25400" +st "SIGNAL ySerial : std_ulogic" +) +) +*40 (Net +uid 1693,0 +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 17,0 +) +declText (MLText +uid 1694,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21400,15300,22400" +st "SIGNAL xSerial : std_ulogic" +) +) +*41 (Net +uid 1695,0 +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +declText (MLText +uid 1696,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19400,27200,20400" +st "SIGNAL xLowapss : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*42 (Net +uid 1697,0 +decl (Decl +n "xParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 19,0 +) +declText (MLText +uid 1698,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20400,26400,21400" +st "SIGNAL xParallel : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*43 (SaComponent +uid 1699,0 +optionalChildren [ +*44 (CptPort +uid 1708,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1709,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,19625,63000,20375" +) +tg (CPTG +uid 1710,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1711,0 +va (VaSet +) +xt "64000,19400,67400,20600" +st "clock" +blo "64000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*45 (CptPort +uid 1712,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1713,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79000,15625,79750,16375" +) +tg (CPTG +uid 1714,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1715,0 +va (VaSet +) +xt "70700,15400,78000,16600" +st "lowpassOut" +ju 2 +blo "78000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*46 (CptPort +uid 1716,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1717,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,21625,63000,22375" +) +tg (CPTG +uid 1718,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1719,0 +va (VaSet +) +xt "64000,21400,67300,22600" +st "reset" +blo "64000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*47 (CptPort +uid 1720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62250,15625,63000,16375" +) +tg (CPTG +uid 1722,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1723,0 +va (VaSet +) +xt "64000,15400,69800,16600" +st "lowpassIn" +blo "64000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 1700,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "63000,12000,79000,24000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 1701,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 1702,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,23800,75100,25000" +st "WaveformGenerator" +blo "63600,24800" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 1703,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,24700,68200,25900" +st "lowpass" +blo "63600,25700" +tm "CptNameMgr" +) +*50 (Text +uid 1704,0 +va (VaSet +font "Verdana,9,1" +) +xt "63600,25600,67500,26800" +st "I_filty" +blo "63600,26600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1705,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1706,0 +text (MLText +uid 1707,0 +va (VaSet +font "Verdana,8,0" +) +xt "63000,27600,83800,29600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*51 (Net +uid 1744,0 +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 21,0 +) +declText (MLText +uid 1745,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22400,27200,23400" +st "SIGNAL yLowpass : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*52 (Net +uid 1762,0 +decl (Decl +n "yParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 23,0 +) +declText (MLText +uid 1763,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23400,26400,24400" +st "SIGNAL yParallel : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*53 (Net +uid 1827,0 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 24,0 +) +declText (MLText +uid 1828,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18400,15700,19400" +st "SIGNAL triggerOut : std_ulogic" +) +) +*54 (Wire +uid 1556,0 +shape (OrthoPolyLine +uid 1557,0 +va (VaSet +vasetType 3 +) +xt "21000,42000,22250,52000" +pts [ +"22250,42000" +"21000,42000" +"21000,52000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1560,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1561,0 +va (VaSet +font "Verdana,12,0" +) +xt "17250,40700,21350,42100" +st "reset" +blo "17250,41900" +tm "WireNameMgr" +) +) +on &16 +) +*55 (Wire +uid 1564,0 +shape (OrthoPolyLine +uid 1565,0 +va (VaSet +vasetType 3 +) +xt "19000,40000,22250,52000" +pts [ +"22250,40000" +"19000,40000" +"19000,52000" +] +) +start &19 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1569,0 +va (VaSet +font "Verdana,12,0" +) +xt "17250,38700,21050,40100" +st "clock" +blo "17250,39900" +tm "WireNameMgr" +) +) +on &17 +) +*56 (Wire +uid 1637,0 +optionalChildren [ +*57 (BdJunction +uid 1645,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1646,0 +va (VaSet +vasetType 1 +) +xt "46600,35600,47400,36400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1638,0 +va (VaSet +vasetType 3 +) +xt "39750,36000,47000,52000" +pts [ +"39750,36000" +"47000,36000" +"47000,52000" +] +) +start &22 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1644,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,34600,46000,36000" +st "ySerial" +blo "41000,35800" +tm "WireNameMgr" +) +) +on &39 +) +*58 (Wire +uid 1647,0 +shape (OrthoPolyLine +uid 1648,0 +va (VaSet +vasetType 3 +) +xt "47000,8000,47000,36000" +pts [ +"47000,36000" +"47000,8000" +] +) +start &57 +end &27 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45600,31500,47000,36500" +st "ySerial" +blo "46800,36500" +tm "WireNameMgr" +) +) +on &39 +) +*59 (Wire +uid 1653,0 +shape (OrthoPolyLine +uid 1654,0 +va (VaSet +vasetType 3 +) +xt "59000,42000,62250,42000" +pts [ +"59000,42000" +"62250,42000" +] +) +end &34 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1657,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1658,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,40600,63100,42000" +st "reset" +blo "59000,41800" +tm "WireNameMgr" +) +) +on &16 +) +*60 (Wire +uid 1659,0 +shape (OrthoPolyLine +uid 1660,0 +va (VaSet +vasetType 3 +) +xt "59000,40000,62250,40000" +pts [ +"59000,40000" +"62250,40000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1663,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1664,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,38600,62800,40000" +st "clock" +blo "59000,39800" +tm "WireNameMgr" +) +) +on &17 +) +*61 (Wire +uid 1665,0 +shape (OrthoPolyLine +uid 1666,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79750,36000,83000,52000" +pts [ +"79750,36000" +"83000,36000" +"83000,52000" +] +) +start &33 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1669,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1670,0 +va (VaSet +font "Verdana,12,0" +) +xt "81750,34600,88850,36000" +st "xLowapss" +blo "81750,35800" +tm "WireNameMgr" +) +) +on &41 +) +*62 (Wire +uid 1671,0 +shape (OrthoPolyLine +uid 1672,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55000,8000,62250,36000" +pts [ +"62250,36000" +"55000,36000" +"55000,8000" +] +) +start &35 +end &27 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1675,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1676,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,34600,62100,36000" +st "xParallel" +blo "56000,35800" +tm "WireNameMgr" +) +) +on &42 +) +*63 (Wire +uid 1687,0 +optionalChildren [ +*64 (BdJunction +uid 1752,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1753,0 +va (VaSet +vasetType 1 +) +xt "44600,37600,45400,38400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1688,0 +va (VaSet +vasetType 3 +) +xt "39750,38000,45000,52000" +pts [ +"39750,38000" +"45000,38000" +"45000,52000" +] +) +start &21 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1691,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1692,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,36700,46000,38100" +st "xSerial" +blo "41000,37900" +tm "WireNameMgr" +) +) +on &40 +) +*65 (Wire +uid 1724,0 +shape (OrthoPolyLine +uid 1725,0 +va (VaSet +vasetType 3 +) +xt "59000,20000,62250,20000" +pts [ +"59000,20000" +"62250,20000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1729,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,18600,62800,20000" +st "clock" +blo "59000,19800" +tm "WireNameMgr" +) +) +on &17 +) +*66 (Wire +uid 1730,0 +shape (OrthoPolyLine +uid 1731,0 +va (VaSet +vasetType 3 +) +xt "59000,22000,62250,22000" +pts [ +"59000,22000" +"62250,22000" +] +) +end &46 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,20600,63100,22000" +st "reset" +blo "59000,21800" +tm "WireNameMgr" +) +) +on &16 +) +*67 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "79750,16000,85000,52000" +pts [ +"79750,16000" +"85000,16000" +"85000,52000" +] +) +start &45 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1743,0 +va (VaSet +font "Verdana,12,0" +) +xt "81750,14700,88850,16100" +st "yLowpass" +blo "81750,15900" +tm "WireNameMgr" +) +) +on &51 +) +*68 (Wire +uid 1746,0 +shape (OrthoPolyLine +uid 1747,0 +va (VaSet +vasetType 3 +) +xt "45000,8000,45000,38000" +pts [ +"45000,38000" +"45000,8000" +] +) +start &64 +end &27 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +ro 270 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43700,9900,45100,14900" +st "xSerial" +blo "44900,14900" +tm "WireNameMgr" +) +) +on &40 +) +*69 (Wire +uid 1756,0 +shape (OrthoPolyLine +uid 1757,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,8000,62250,16000" +pts [ +"62250,16000" +"57000,16000" +"57000,8000" +] +) +start &47 +end &27 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1760,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1761,0 +va (VaSet +font "Verdana,12,0" +) +xt "55250,14700,61350,16100" +st "yParallel" +blo "55250,15900" +tm "WireNameMgr" +) +) +on &52 +) +*70 (Wire +uid 1829,0 +shape (OrthoPolyLine +uid 1830,0 +va (VaSet +vasetType 3 +) +xt "39750,40000,43000,52000" +pts [ +"39750,40000" +"43000,40000" +"43000,52000" +] +) +start &20 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1834,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,38700,49100,40100" +st "triggerOut" +blo "41000,39900" +tm "WireNameMgr" +) +) +on &53 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *71 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*73 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*75 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*76 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*77 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*78 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*79 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*80 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1400,-1400,125575,68200" +cachedDiagramExtent "0,0,99000,66400" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2048,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*82 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*83 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*85 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*86 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*88 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*89 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*91 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*92 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*94 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*95 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*97 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*99 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*101 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5400,7000,6400" +st "Declarations" +blo "0,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6400,3400,7400" +st "Ports:" +blo "0,7200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,4800,8400" +st "Pre User:" +blo "0,8200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8400,21800,15400" +st "constant signalBitNb: positive := 16; +constant phaseBitNb: positive := 17; +constant stepX: positive := 2; +constant stepY: positive := 3; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15400,9000,16400" +st "Diagram Signals:" +blo "0,16200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5400,6000,6400" +st "Post User:" +blo "0,6200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5400,0,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *102 (LEmptyRow +) +uid 727,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*110 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*111 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*112 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*113 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*114 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*115 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 10,0 +) +) +uid 1570,0 +) +*116 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 11,0 +) +) +uid 1572,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 1764,0 +) +*118 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 17,0 +) +) +uid 1766,0 +) +*119 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 18,0 +) +) +uid 1768,0 +) +*120 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "xParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 19,0 +) +) +uid 1770,0 +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 21,0 +) +) +uid 1772,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "yParallel" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 23,0 +) +) +uid 1774,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 24,0 +) +) +uid 1835,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 740,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &102 +pos 9 +dimension 20 +) +uid 742,0 +optionalChildren [ +*126 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 743,0 +) +*127 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 744,0 +) +*128 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 745,0 +) +*129 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 1571,0 +) +*130 (MRCItem +litem &116 +pos 1 +dimension 20 +uid 1573,0 +) +*131 (MRCItem +litem &117 +pos 2 +dimension 20 +uid 1765,0 +) +*132 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 1767,0 +) +*133 (MRCItem +litem &119 +pos 4 +dimension 20 +uid 1769,0 +) +*134 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 1771,0 +) +*135 (MRCItem +litem &121 +pos 6 +dimension 20 +uid 1773,0 +) +*136 (MRCItem +litem &122 +pos 7 +dimension 20 +uid 1775,0 +) +*137 (MRCItem +litem &123 +pos 8 +dimension 20 +uid 1836,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 746,0 +optionalChildren [ +*138 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 747,0 +) +*139 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 748,0 +) +*140 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 749,0 +) +*141 (MRCItem +litem &110 +pos 3 +dimension 50 +uid 750,0 +) +*142 (MRCItem +litem &111 +pos 4 +dimension 100 +uid 751,0 +) +*143 (MRCItem +litem &112 +pos 5 +dimension 100 +uid 752,0 +) +*144 (MRCItem +litem &113 +pos 6 +dimension 50 +uid 753,0 +) +*145 (MRCItem +litem &114 +pos 7 +dimension 80 +uid 754,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 741,0 +vaOverrides [ +] +) +] +) +uid 726,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *146 (LEmptyRow +) +uid 756,0 +optionalChildren [ +*147 (RefLabelRowHdr +) +*148 (TitleRowHdr +) +*149 (FilterRowHdr +) +*150 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*151 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*152 (GroupColHdr +tm "GroupColHdrMgr" +) +*153 (NameColHdr +tm "GenericNameColHdrMgr" +) +*154 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*155 (InitColHdr +tm "GenericValueColHdrMgr" +) +*156 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*157 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 768,0 +optionalChildren [ +*158 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *159 (MRCItem +litem &146 +pos 0 +dimension 20 +) +uid 770,0 +optionalChildren [ +*160 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 771,0 +) +*161 (MRCItem +litem &148 +pos 1 +dimension 23 +uid 772,0 +) +*162 (MRCItem +litem &149 +pos 2 +hidden 1 +dimension 20 +uid 773,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 774,0 +optionalChildren [ +*163 (MRCItem +litem &150 +pos 0 +dimension 20 +uid 775,0 +) +*164 (MRCItem +litem &152 +pos 1 +dimension 50 +uid 776,0 +) +*165 (MRCItem +litem &153 +pos 2 +dimension 100 +uid 777,0 +) +*166 (MRCItem +litem &154 +pos 3 +dimension 100 +uid 778,0 +) +*167 (MRCItem +litem &155 +pos 4 +dimension 50 +uid 779,0 +) +*168 (MRCItem +litem &156 +pos 5 +dimension 50 +uid 780,0 +) +*169 (MRCItem +litem &157 +pos 6 +dimension 80 +uid 781,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 769,0 +vaOverrides [ +] +) +] +) +uid 755,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb new file mode 100644 index 0000000..4af22fb --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/lissajous@generator_test/symbol.sb @@ -0,0 +1,1248 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajousGenerator_test" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_test" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "lissajousGenerator_test" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajous@generator_test/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Lissajous_test/hds/lissajousGenerator_test/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:27" +) +(vvPair +variable "unit" +value "lissajousGenerator_test" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,30100,15700" +st "Lissajous_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,34600,16600" +st "lissajousGenerator_test" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface b/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface new file mode 100644 index 0000000..22c1928 --- /dev/null +++ b/04-Lissajous/Lissajous_test/hds/lissajous@generator_tester/interface @@ -0,0 +1,1793 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 41,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 35,0 +) +) +uid 684,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 36,0 +) +) +uid 686,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 688,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 38,0 +) +) +uid 690,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 39,0 +) +) +uid 692,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 40,0 +) +) +uid 694,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 41,0 +) +) +uid 696,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 103,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 685,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 687,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 689,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 691,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 693,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 695,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 697,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 166,0 +) +*54 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 624,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 132,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 133,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 167,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 136,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 137,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 138,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 139,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 141,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lissajousGenerator_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:48:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lissajous_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Lissajous_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Lissajous_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "lissajousGenerator_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajous@generator_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\..\\Lissajous_test\\hds\\lissajousGenerator_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win64" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:48:11" +) +(vvPair +variable "unit" +value "lissajousGenerator_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 650,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 651,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 652,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10800" +st "clock" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 653,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 35,0 +) +) +) +*71 (CptPort +uid 654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 655,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 656,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 657,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,11100" +st "reset" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 658,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61000,7600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 36,0 +) +) +) +*72 (CptPort +uid 659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 660,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,5250,47375,6000" +) +tg (CPTG +uid 661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 662,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "46300,7000,47700,15100" +st "triggerOut" +ju 2 +blo "47500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 663,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 9 +suid 37,0 +) +) +) +*73 (CptPort +uid 664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 665,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86625,5250,87375,6000" +) +tg (CPTG +uid 666,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 667,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "86300,7000,87700,14100" +st "xLowapss" +ju 2 +blo "87500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 668,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "xLowapss : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "xLowapss" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 38,0 +) +) +) +*74 (CptPort +uid 669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 670,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 672,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "48300,7000,49700,12000" +st "xSerial" +ju 2 +blo "49500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "xSerial : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xSerial" +t "std_ulogic" +o 6 +suid 39,0 +) +) +) +*75 (CptPort +uid 674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 675,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,5250,89375,6000" +) +tg (CPTG +uid 676,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 677,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,7000,89700,14100" +st "yLowpass" +ju 2 +blo "89500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 678,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "yLowpass : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "yLowpass" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 40,0 +) +) +) +*76 (CptPort +uid 679,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 680,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 681,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 682,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,12000" +st "ySerial" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 683,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62000,6000" +st "ySerial : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "ySerial" +t "std_ulogic" +o 3 +suid 41,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,95000,14000" +) +oxt "15000,6000,93000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "49650,9000,55850,10000" +st "Lissajous_test" +blo "49650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "49650,10000,60350,11000" +st "lissajousGenerator_tester" +blo "49650,10800" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "28000,6000,46100,10800" +st "Generic Declarations + +signalBitNb positive 16 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,50500,32200,50500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,46500,49200,46500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,48500,28200,48500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,47200,63300,48400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,46500,53200,46500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,49500,28200,49500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "28200,50500,28200,50500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,49500,32200,49500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,900,17500,4500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "59,33,1075,723" +viewArea "-500,-500,85152,57543" +cachedDiagramExtent "0,0,95000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lissajous_test" +entityName "lissajousGenerator_test" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "0,12000,12700,13200" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "arial,8,0" +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,7600,44400,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 697,0 +activeModelName "Symbol:GEN" +) diff --git a/04-Lissajous/Prefs/hds.hdp b/04-Lissajous/Prefs/hds.hdp new file mode 100644 index 0000000..9eb87ee --- /dev/null +++ b/04-Lissajous/Prefs/hds.hdp @@ -0,0 +1,46 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board +DigitalToAnalogConverter = $SCRATCH_DIR/DigitalToAnalogConverter +DigitalToAnalogConverter_test = $SCRATCH_DIR/DigitalToAnalogConverter_test +Lattice = $SCRATCH_DIR/Lattice +Lissajous = $SCRATCH_DIR/Lissajous +Lissajous_test = $SCRATCH_DIR/Lissajous_test +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hdl +Lissajous = $HDS_PROJECT_DIR/../Lissajous/hdl +Lissajous_test = $HDS_PROJECT_DIR/../Lissajous_test/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hds +Lissajous = $HDS_PROJECT_DIR/../Lissajous/hds +Lissajous_test = $HDS_PROJECT_DIR/../Lissajous_test/hds +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[hds_settings] +design_root = Board.lissajousGenerator_circuit_EBS3(masterVersion)lissajous@generator_circuit_@e@b@s3/master@version.bd +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/04-Lissajous/Prefs/hds_team/shared.hdp b/04-Lissajous/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs b/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl b/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs b/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl b/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs b/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl b/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/04-Lissajous/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..832b396 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6075 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/04-Lissajous/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918-9+1129" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +"Lissajous" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +"Lissajous_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 152 +yPos 1163 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..ef38408 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/chronometer.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs b/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/04-Lissajous/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs b/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..dc45370 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6853 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\04-Lissajous\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\04-Lissajous\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x619+-1073+193" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +"Lissajous" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +"Lissajous_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +"Lattice" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7a0938e --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/lissajousGenerator.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/04-Lissajous/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/04-Lissajous/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/04-Lissajous/Scripts/cleanGenerated.bash b/04-Lissajous/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/04-Lissajous/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/04-Lissajous/Scripts/cleanGenerated.bat b/04-Lissajous/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/04-Lissajous/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/04-Lissajous/Scripts/cleanScratch.bat b/04-Lissajous/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/04-Lissajous/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/04-Lissajous/Scripts/generateSSHKey.bash b/04-Lissajous/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/04-Lissajous/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/04-Lissajous/Scripts/hdlDesigner.bash b/04-Lissajous/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/04-Lissajous/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/04-Lissajous/Scripts/hdlDesigner.bat b/04-Lissajous/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/04-Lissajous/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/04-Lissajous/Scripts/searchPaths.bat b/04-Lissajous/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/04-Lissajous/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/04-Lissajous/Scripts/trimLibs.pl b/04-Lissajous/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/04-Lissajous/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/04-Lissajous/Scripts/update_ise.pl b/04-Lissajous/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/04-Lissajous/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +-- ----------------------------------------------------------------------------- +-- Common Lib +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- added documentation +-- ----------------------------------------------------------------------------- +library IEEE; + use IEEE.std_logic_1164.all; + use IEEE.numeric_std.all; + +PACKAGE CommonLib IS + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to represent the given val + -- Examples: + -- requiredBitNb(1) = 1 (1) + -- requiredBitNb(2) = 2 (10) + -- requiredBitNb(3) = 2 (11) + function requiredBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to count val times (0 to val-1) + -- Examples: + -- counterBitNb(1) = 1 (0) + -- counterBitNb(2) = 1 (0->1) + -- counterBitNb(3) = 2 (0->1->10) + function counterBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Functions to return one or the other input based on a boolean. + -- Can be used to build conditional constants. + -- Example: + -- constant bonjour_c : string := sel(ptpRole = master, "fpga20", "fpga02"); + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer; + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string; + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector; + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned; + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed; + +END CommonLib; + + + + +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Often used functions +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- ----------------------------------------------------------------------------- +PACKAGE BODY CommonLib IS + + function requiredBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end requiredBitNb; + + function counterBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo < val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end counterBitNb; + + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + +END CommonLib; + + + + +-- library Common; + use work.CommonLib.all; + +architecture RTL of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth-1)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray: memory_type; + + type fifoStateType is ( + sEmpty, sFull, + sRead, sWrite, sWriteFirst, + sReadWrite, sWait + ); + signal fifoState: fifoStateType; + signal emptyCondition, fullCondition, empty_int: std_ulogic; + +begin + ------------------------------------------------------------------------------ + -- read and write counters + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if (read = '1') and (empty_int = '0') then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + ------------------------------------------------------------------------------ + -- memory access + writeMem: process(clock) + begin + if rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + readMem: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0'); + elsif rising_edge(clock) then + if (read = '0') or (empty_int = '1') then + dataOut <= memoryArray(to_integer(readCounter)); + else + dataOut <= memoryArray(to_integer(readCounter+1)); + end if; + end if; + end process readMem; + + ------------------------------------------------------------------------------ + -- controls + emptyCondition <= '1' when + ( (fifoState = sRead) and (writeCounter = readCounter) ) or + (fifoState = sEmpty) + else '0'; + + fullCondition <= '1' when + ( (fifoState = sWrite) and (writeCounter = readCounter) ) or + (fifoState = sFull) + else '0'; + + + fifoControl: process(reset, clock) + begin + if reset = '1' then + fifoState <= sEmpty; + elsif rising_edge(clock) then + case fifoState is + when sEmpty => + if write = '1' then + fifoState <= sWriteFirst; + end if; + when sFull => + if (read = '1') then + fifoState <= sRead; + end if; + when sRead => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif emptyCondition = '1' then + fifoState <= sEmpty; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWriteFirst => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWrite => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif fullCondition = '1' then + fifoState <= sFull; + elsif write = '1' then + fifoState <= sWrite; + else + fifoState <= sWait; + end if; + when sReadWrite => + if (read = '0') and (write = '0') then + fifoState <= sWait; + elsif (read = '1') and (write = '0') then + fifoState <= sRead; + elsif (write = '1') and (read = '0') then + fifoState <= sWrite; + end if; + when sWait => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif write = '1' then + fifoState <= sWrite; + end if; + when others => null; + end case; + end if; + end process fifoControl; + + + full <= '1' when + (fifoState = sFull) or + (fullCondition = '1') + else '0'; + + empty_int <= '1' when + (fifoState = sEmpty) or + (fifoState = sWriteFirst) or + ( (emptyCondition = '1') and (fifoState = sRead) ) + else '0'; + empty <= empty_int; + +end RTL; + + + + +-- VHDL Entity Morse.morseToCharDecoder.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY morseToCharDecoder IS + GENERIC( + unitCountDivide : positive := 100; + unitCountBitNb : positive := 2; + characterBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + charValid : OUT std_ulogic; + symbolDuration : IN unsigned (unitCountBitNb-1 DOWNTO 0); + symbolValid : IN std_ulogic; + symbolValue : IN std_ulogic; + charOut : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END morseToCharDecoder ; + + + + + +ARCHITECTURE masterVersion OF morseToCharDecoder IS + + constant shortDuration : positive := 1; + constant longDuration : positive := 3; + constant veryLongDuration : positive := 5; + signal isShort, isLong, isVeryLong : std_ulogic; + + type decoderStateType is ( + idle, + atA, atB, atC, atD, atE, atF, atG, atH, atI, atJ, + atK, atL, atM, atN, atO, atP, atQ, atR, atS, atT, + atU, atV, atW, atX, atY, atZ, + atO1, atO2, atU2, + at0, at1, at2, at3, at4, at5, at6, at7, at8, at9, + endOfCharacter, endOfWord + ); + signal decoderState : decoderStateType; + +BEGIN + -- decode symbol lengths + isShort <= '1' when symbolDuration = shortDuration else '0'; + isLong <= '1' when symbolDuration = longDuration else '0'; + isVeryLong <= '1' when symbolDuration = veryLongDuration else '0'; + -- decode Morse Code + updateState: process(reset, clock) + begin + if reset = '1' then + decoderState <= idle; + elsif rising_edge(clock) then + if symbolValid = '1' then + case decoderState is + when idle => + if symbolValue = '1' then + if isShort = '1' then + decoderState <= atE; + else + decoderState <= atT; + end if; + end if; + when atA => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atR; + else + decoderState <= atW; + end if; + end if; + when atB => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= at6; + else + decoderState <= idle; + end if; + end if; + when atC => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atD => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atB; + else + decoderState <= atX; + end if; + end if; + when atE => + if symbolValue = '0' then + if isLong = '1' then -- end of character + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then -- end of word + decoderState <= endOfWord; + end if; + else -- next character symbol + if isShort = '1' then + decoderState <= atI; + else + decoderState <= atA; + end if; + end if; + when atF => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atG => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atZ; + else + decoderState <= atQ; + end if; + end if; + when atH => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= at5; + else + decoderState <= at4; + end if; + end if; + when atI => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atS; + else + decoderState <= atU; + end if; + end if; + when atJ => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= idle; + else + decoderState <= at1; + end if; + end if; + when atK => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atC; + else + decoderState <= atY; + end if; + end if; + when atL => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atM => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atG; + else + decoderState <= atO; + end if; + end if; + when atN => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atD; + else + decoderState <= atK; + end if; + end if; + when atO => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atO1; + else + decoderState <= atO2; + end if; + end if; + when atO1 => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= at8; + else + decoderState <= idle; + end if; + end if; + when atO2 => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= at9; + else + decoderState <= at0; + end if; + end if; + when atP => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atQ => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atR => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atL; + else + decoderState <= idle; + end if; + end if; + when atS => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atH; + else + decoderState <= atV; + end if; + end if; + when atT => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atN; + else + decoderState <= atM; + end if; + end if; + when atU => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atF; + else + decoderState <= atU2; + end if; + end if; + when atU2 => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= idle; + else + decoderState <= at2; + end if; + end if; + when atV => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= idle; + else + decoderState <= at3; + end if; + end if; + when atW => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= atP; + else + decoderState <= atJ; + end if; + end if; + when atX => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atY => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when atZ => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + if isShort = '1' then + decoderState <= at7; + else + decoderState <= idle; + end if; + end if; + when at0 | at1 | at2 | at3 | at4 | at5 | at6 | at7 | at8 | at9 => + if symbolValue = '0' then + if isLong = '1' then + decoderState <= endOfCharacter; + elsif isVeryLong = '1' then + decoderState <= endOfWord; + end if; + else + decoderState <= idle; + end if; + when others => null; + end case; + else + case decoderState is + when endOfCharacter => decoderState <= idle; + when endOfWord => decoderState <= idle; + when others => null; + end case; + end if; + end if; + end process updateState; + -- provide character + provideCharacter: process(reset, clock) + -- could be combinatorial, but made sequential for easier debug + begin + if reset = '1' then + charValid <= '0'; + charOut <= (others => '0'); + elsif rising_edge(clock) then + charValid <= '0'; + if (symbolValid = '1') and (symbolValue = '0') then + if (isLong = '1') or (isVeryLong = '1') then + charValid <= '1'; + case decoderState is + when atA => + charOut <= std_ulogic_vector(to_unsigned(character'pos('a'), charOut'length)); + when atB => + charOut <= std_ulogic_vector(to_unsigned(character'pos('b'), charOut'length)); + when atC => + charOut <= std_ulogic_vector(to_unsigned(character'pos('c'), charOut'length)); + when atD => + charOut <= std_ulogic_vector(to_unsigned(character'pos('d'), charOut'length)); + when atE => + charOut <= std_ulogic_vector(to_unsigned(character'pos('e'), charOut'length)); + when atF => + charOut <= std_ulogic_vector(to_unsigned(character'pos('f'), charOut'length)); + when atG => + charOut <= std_ulogic_vector(to_unsigned(character'pos('g'), charOut'length)); + when atH => + charOut <= std_ulogic_vector(to_unsigned(character'pos('h'), charOut'length)); + when atI => + charOut <= std_ulogic_vector(to_unsigned(character'pos('i'), charOut'length)); + when atJ => + charOut <= std_ulogic_vector(to_unsigned(character'pos('j'), charOut'length)); + when atK => + charOut <= std_ulogic_vector(to_unsigned(character'pos('k'), charOut'length)); + when atL => + charOut <= std_ulogic_vector(to_unsigned(character'pos('l'), charOut'length)); + when atM => + charOut <= std_ulogic_vector(to_unsigned(character'pos('m'), charOut'length)); + when atN => + charOut <= std_ulogic_vector(to_unsigned(character'pos('n'), charOut'length)); + when atO => + charOut <= std_ulogic_vector(to_unsigned(character'pos('o'), charOut'length)); + when atP => + charOut <= std_ulogic_vector(to_unsigned(character'pos('p'), charOut'length)); + when atQ => + charOut <= std_ulogic_vector(to_unsigned(character'pos('q'), charOut'length)); + when atR => + charOut <= std_ulogic_vector(to_unsigned(character'pos('r'), charOut'length)); + when atS => + charOut <= std_ulogic_vector(to_unsigned(character'pos('s'), charOut'length)); + when atT => + charOut <= std_ulogic_vector(to_unsigned(character'pos('t'), charOut'length)); + when atU => + charOut <= std_ulogic_vector(to_unsigned(character'pos('u'), charOut'length)); + when atV => + charOut <= std_ulogic_vector(to_unsigned(character'pos('v'), charOut'length)); + when atW => + charOut <= std_ulogic_vector(to_unsigned(character'pos('w'), charOut'length)); + when atX => + charOut <= std_ulogic_vector(to_unsigned(character'pos('x'), charOut'length)); + when atY => + charOut <= std_ulogic_vector(to_unsigned(character'pos('y'), charOut'length)); + when atZ => + charOut <= std_ulogic_vector(to_unsigned(character'pos('z'), charOut'length)); + when at0 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('0'), charOut'length)); + when at1 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('1'), charOut'length)); + when at2 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('2'), charOut'length)); + when at3 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('3'), charOut'length)); + when at4 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('4'), charOut'length)); + when at5 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('5'), charOut'length)); + when at6 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('6'), charOut'length)); + when at7 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('7'), charOut'length)); + when at8 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('8'), charOut'length)); + when at9 => + charOut <= std_ulogic_vector(to_unsigned(character'pos('9'), charOut'length)); + when others => charOut <= (others => '-'); + end case; + end if; + elsif decoderState = endOfWord then + charValid <= '1'; + charOut <= std_ulogic_vector(to_unsigned(character'pos(' '), charOut'length)); + end if; + end if; + end process provideCharacter; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity Morse.envelopeRetreiver.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY envelopeRetreiver IS + GENERIC( + toneDivide : positive := 100E3; + deglitchBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + morseWithTone : IN std_ulogic; + morseEnvelope : OUT std_ulogic + ); + +-- Declarations + +END envelopeRetreiver ; + + + + + +-- library Common; + use work.CommonLib.all; + +ARCHITECTURE masterVersion OF envelopeRetreiver IS + + signal morseDelayed, morseRising : std_ulogic; + constant toneCounterBitNb: positive := requiredBitNb(toneDivide-1) + 1; + signal toneCounter, tonePeriod: unsigned(toneCounterBitNb-1 downto 0); + constant triggerShift : positive := 3; + constant triggerMin : positive := toneDivide - toneDivide/2**triggerShift; + constant triggerMax : positive := toneDivide + toneDivide/2**triggerShift; + signal morseTriggered : std_ulogic; + signal deglitchCounter: unsigned(deglitchBitNb-1 downto 0); + +BEGIN + -- find input rising edge + delayMorseIn: process(reset, clock) + begin + if reset = '1' then + morseDelayed <= '1'; + elsif rising_edge(clock) then + morseDelayed <= morseWithTone; + end if; + end process delayMorseIn; + + morseRising <= '1' when (morseWithTone = '1') and (morseDelayed = '0') + else '0'; + -- count period of incoming signal + countInputPeriod: process(reset, clock) + begin + if reset = '1' then + toneCounter <= (others => '0'); + tonePeriod <= (others => '0'); + elsif rising_edge(clock) then + if morseRising = '1' then + toneCounter <= (others => '0'); + tonePeriod <= toneCounter; + elsif toneCounter+1 /= 0 then + toneCounter <= toneCounter + 1; + end if; + end if; + end process countInputPeriod; + -- trigger morse signal + triggerMorse: process(tonePeriod, toneCounter) + begin + morseTriggered <= '1'; + if tonePeriod < triggerMin then + morseTriggered <= '0'; + end if; + if tonePeriod > triggerMax then + morseTriggered <= '0'; + end if; + if toneCounter > triggerMax then + morseTriggered <= '0'; + end if; + end process triggerMorse; + -- deglitch morse signal + countDeglitchDuration: process(reset, clock) + begin + if reset = '1' then + deglitchCounter <= (others => '0'); + elsif rising_edge(clock) then + if morseTriggered = '1' then + if deglitchCounter+1 /= 0 then + deglitchCounter <= deglitchCounter + 1; + end if; + else + if deglitchCounter /= 0 then + deglitchCounter <= deglitchCounter - 1; + end if; + end if; + end if; + end process countDeglitchDuration; + + trigDeglitchCounter: process(reset, clock) + begin + if reset = '1' then + morseEnvelope <= '0'; + elsif rising_edge(clock) then + if deglitchCounter+1 = 0 then + morseEnvelope <= '1'; + end if; + if deglitchCounter = 0 then + morseEnvelope <= '0'; + end if; + end if; + end process trigDeglitchCounter; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity Morse.symbolLengthCounter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY symbolLengthCounter IS + GENERIC( + unitCountDivide : positive := 100; + unitCountBitNb : positive := 2 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + morseCode : IN std_ulogic; + symbolDuration : OUT unsigned (unitCountBitNb-1 DOWNTO 0); + symbolValid : OUT std_ulogic; + symbolValue : OUT std_ulogic + ); + +-- Declarations + +END symbolLengthCounter ; + + + + + +-- library Common; + use work.CommonLib.all; + +ARCHITECTURE masterVersion OF symbolLengthCounter IS + + signal morseDelayed, morseChanged : std_ulogic; + signal unitCounter : unsigned(requiredBitNb(unitCountDivide/2-1)-1 downto 0); + signal unitDone : std_ulogic; + signal durationCounter : unsigned(unitCountBitNb+1-1 downto 0); + constant shortDuration : positive := 1; + constant longDuration : positive := 3; + constant veryLongDuration : positive := 5; + +BEGIN + -- find input change + delayMorseCode: process(reset, clock) + begin + if reset = '1' then + morseDelayed <= '1'; + elsif rising_edge(clock) then + morseDelayed <= morseCode; + end if; + end process delayMorseCode; + + morseChanged <= '1' when morseDelayed /= morseCode + else '0'; + -- count half unit duration + countHalfUnitPeriod: process(reset, clock) + begin + if reset = '1' then + unitCounter <= (others => '0'); + elsif rising_edge(clock) then + if morseChanged = '1' then + unitCounter <= (others => '0'); + elsif unitDone = '1' then + unitCounter <= (others => '0'); + else + unitCounter <= unitCounter + 1; + end if; + end if; + end process countHalfUnitPeriod; + + unitDone <= '1' when unitCounter = unitCountDivide/2-1 + else '0'; + -- count unit number + countUnitNumber: process(reset, clock) + begin + if reset = '1' then + durationCounter <= (others => '0'); + elsif rising_edge(clock) then + if morseChanged = '1' then + durationCounter <= (others => '0'); + elsif unitDone = '1' then + if durationCounter+1 /= 0 then + durationCounter <= durationCounter + 1; + end if; + end if; + end if; + end process countUnitNumber; + -- provide symbol information + provideSymbolInformation: process(reset, clock) + -- could be combinatorial, but made sequential for easier debug + begin + if reset = '1' then + symbolValid <= '0'; + symbolValue <= '0'; + symbolDuration <= (others => '0'); + elsif rising_edge(clock) then + symbolValid <= '0'; + if morseChanged = '1' then + symbolValue <= not morseCode; + symbolValid <= '0'; + symbolDuration <= (others => '0'); + if (durationCounter >= 2*shortDuration-1) and + (durationCounter <= 2*shortDuration+1) then + symbolValid <= '1'; + symbolDuration <= to_unsigned(shortDuration, symbolDuration'length); + end if; + if (durationCounter >= 2*longDuration-1) and + (durationCounter <= 2*longDuration+1) then + symbolValid <= '1'; + symbolDuration <= to_unsigned(longDuration, symbolDuration'length); + end if; + end if; + if (durationCounter = 2*veryLongDuration-1) and (unitDone = '1') then + symbolValue <= morseCode; + symbolValid <= '1'; + symbolDuration <= to_unsigned( + veryLongDuration, symbolDuration'length + ); + end if; + end if; + end process provideSymbolInformation; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity RS232.serialPortTransmitter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:45:48 08/28/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY serialPortTransmitter IS + GENERIC( + dataBitNb : positive := 8; + baudRateDivide : positive := 2083 + ); + PORT( + TxD : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + send : IN std_ulogic; + busy : OUT std_ulogic + ); + +-- Declarations + +END serialPortTransmitter ; + + + + + +-- library Common; + use work.CommonLib.all; + +architecture RTL of serialPortTransmitter is + + signal dividerCounter: unsigned(requiredBitNb(baudRateDivide)-1 downto 0); + signal dividerCounterReset: std_uLogic; + signal txData: std_ulogic_vector(dataBitNb-1 downto 0); + signal send1: std_uLogic; + signal txShiftEnable: std_uLogic; + signal txShiftReg: std_ulogic_vector(dataBitNb+1 downto 0); + signal txSendingByte: std_uLogic; + signal txSendingByteAndStop: std_uLogic; + +begin + + divide: process(reset, clock) + begin + if reset = '1' then + dividerCounter <= (others => '0'); + elsif rising_edge(clock) then + if dividerCounterReset = '1' then + dividerCounter <= to_unsigned(1, dividerCounter'length); + else + dividerCounter <= dividerCounter + 1; + end if; + end if; + end process divide; + + endOfCount: process(dividerCounter, send1) + begin + if dividerCounter = baudRateDivide then + dividerCounterReset <= '1'; + elsif send1 = '1' then + dividerCounterReset <= '1'; + else + dividerCounterReset <= '0'; + end if; + end process endOfCount; + + txShiftEnable <= dividerCounterReset; + + storeData: process(reset, clock) + begin + if reset = '1' then + txData <= (others => '1'); + elsif rising_edge(clock) then + if send = '1' then + txData <= dataIn; + end if; + end if; + end process storeData; + + delaySend: process(reset, clock) + begin + if reset = '1' then + send1 <= '0'; + elsif rising_edge(clock) then + send1 <= send; + end if; + end process delaySend; + + shiftReg: process(reset, clock) + begin + if reset = '1' then + txShiftReg <= (others => '1'); + elsif rising_edge(clock) then + if txShiftEnable = '1' then + if send1 = '1' then + txShiftReg <= '0' & txData & '0'; + else + txShiftReg(txShiftReg'high-1 downto 0) <= txShiftReg(txShiftReg'high downto 1); + txShiftReg(txShiftReg'high) <= '1'; + end if; + end if; + end if; + end process shiftReg; + + txSendingByte <= '1' when (txShiftReg(txShiftReg'high downto 1) /= (txShiftReg'high downto 1 => '1')) + else '0'; + + txSendingByteAndStop <= '1' when txShiftReg /= (txShiftReg'high downto 0 => '1') + else '0'; + + TxD <= txShiftReg(0) when txSendingByte = '1' else '1'; + busy <= txSendingByteAndStop or send1 or send; + +end RTL; + + + + + +-- +-- VHDL Architecture Morse.morseDecoder.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 08:02:13 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Memory; +-- LIBRARY Morse; +-- LIBRARY RS232; + +ARCHITECTURE struct OF morseDecoder IS + + -- Architecture declarations + constant unitCountBitNb: positive := 3; + constant fifoDepth : positive := 8; + + -- Internal signal declarations + SIGNAL symbolValue : std_ulogic; + SIGNAL symbolValid : std_ulogic; + SIGNAL symbolDuration : unsigned(unitCountBitNb-1 DOWNTO 0); + SIGNAL charValid : std_ulogic; + SIGNAL charOut : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0); + SIGNAL txWord : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0); + SIGNAL txBusy : std_ulogic; + SIGNAL txSend : std_ulogic; + SIGNAL txFifoEmpty : std_ulogic; + + -- Implicit buffer signal declarations + SIGNAL morseEnvelope_internal : std_ulogic; + + + -- Component Declarations + COMPONENT FIFO_bram + GENERIC ( + dataBitNb : positive := 8; + depth : positive := 8 + ); + PORT ( + write : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + read : IN std_ulogic ; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + empty : OUT std_ulogic ; + full : OUT std_ulogic + ); + END COMPONENT; + COMPONENT envelopeRetreiver + GENERIC ( + toneDivide : positive := 100E3; + deglitchBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + morseWithTone : IN std_ulogic ; + morseEnvelope : OUT std_ulogic + ); + END COMPONENT; + COMPONENT morseToCharDecoder + GENERIC ( + unitCountDivide : positive := 100; + unitCountBitNb : positive := 2; + characterBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + charValid : OUT std_ulogic ; + symbolDuration : IN unsigned (unitCountBitNb-1 DOWNTO 0); + symbolValid : IN std_ulogic ; + symbolValue : IN std_ulogic ; + charOut : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT symbolLengthCounter + GENERIC ( + unitCountDivide : positive := 100; + unitCountBitNb : positive := 2 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + morseCode : IN std_ulogic ; + symbolDuration : OUT unsigned (unitCountBitNb-1 DOWNTO 0); + symbolValid : OUT std_ulogic ; + symbolValue : OUT std_ulogic + ); + END COMPONENT; + COMPONENT serialPortTransmitter + GENERIC ( + dataBitNb : positive := 8; + baudRateDivide : positive := 2083 + ); + PORT ( + TxD : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + send : IN std_ulogic ; + busy : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : FIFO_bram USE ENTITY Memory.FIFO_bram; +-- FOR ALL : envelopeRetreiver USE ENTITY Morse.envelopeRetreiver; +-- FOR ALL : morseToCharDecoder USE ENTITY Morse.morseToCharDecoder; +-- FOR ALL : serialPortTransmitter USE ENTITY RS232.serialPortTransmitter; +-- FOR ALL : symbolLengthCounter USE ENTITY Morse.symbolLengthCounter; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + process(reset, clock) + begin + if reset = '1' then + txSend <= '0'; + elsif rising_edge(clock) then + if ( (txFifoEmpty = '0') and (txBusy = '0') ) then + txSend <= '1'; + else + txSend <= '0'; + end if; + end if; + end process; + + + + -- Instance port mappings. + I0 : FIFO_bram + GENERIC MAP ( + dataBitNb => uartDataBitNb, + depth => fifoDepth + ) + PORT MAP ( + write => charValid, + clock => clock, + reset => reset, + dataOut => txWord, + read => txSend, + dataIn => charOut, + empty => txFifoEmpty, + full => OPEN + ); + I_env : envelopeRetreiver + GENERIC MAP ( + toneDivide => integer(clockFrequency/toneFrequency + 0.5), + deglitchBitNb => deglitchBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + morseWithTone => morseCode, + morseEnvelope => morseEnvelope_internal + ); + I_dec : morseToCharDecoder + GENERIC MAP ( + unitCountDivide => integer(clockFrequency*unitDuration + 0.5), + unitCountBitNb => unitCountBitNb, + characterBitNb => uartDataBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + charValid => charValid, + symbolDuration => symbolDuration, + symbolValid => symbolValid, + symbolValue => symbolValue, + charOut => charOut + ); + I_len : symbolLengthCounter + GENERIC MAP ( + unitCountDivide => integer(clockFrequency*unitDuration + 0.5), + unitCountBitNb => unitCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + morseCode => morseEnvelope_internal, + symbolDuration => symbolDuration, + symbolValid => symbolValid, + symbolValue => symbolValue + ); + I_tx : serialPortTransmitter + GENERIC MAP ( + dataBitNb => uartDataBitNb, + baudRateDivide => integer(clockFrequency/uartBaudRate + 0.5) + ) + PORT MAP ( + TxD => TxD, + clock => clock, + reset => reset, + dataIn => txWord, + send => txSend, + busy => txBusy + ); + + -- Implicit buffered output assignments + morseEnvelope <= morseEnvelope_internal; + +END struct; + + + + +-- VHDL Entity Morse.morseEncoder.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY morseEncoder IS + GENERIC( + clockFrequency : real := 100.0E6; + uartBaudRate : real := 115.2E3; + uartDataBitNb : positive := 8; + unitDuration : real := 100.0E-3; + toneFrequency : real := 300.0 + ); + PORT( + morseCode : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + RxD : IN std_ulogic + ); + +-- Declarations + +END morseEncoder ; + + + + + +-- VHDL Entity Morse.charToMorse.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:49:52 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY charToMorse IS + GENERIC( + characterBitNb : positive := 8; + unitCountDivide : positive := 10E3 + ); + PORT( + morseOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0); + readChar : OUT std_ulogic; + charNotReady : IN std_ulogic + ); + +-- Declarations + +END charToMorse ; + + + + + +-- VHDL Entity Morse.unitCounter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY unitCounter IS + GENERIC( + unitCountDivide : positive := 10E3; + unitCountBitNb : positive := 3 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + startCounter : IN std_ulogic; + unitNb : IN unsigned (unitCountBitNb-1 DOWNTO 0); + done : OUT std_ulogic + ); + +-- Declarations + +END unitCounter ; + + + + + +-- library Common; + use work.CommonLib.all; + +ARCHITECTURE masterVersion OF unitCounter IS + + signal unitCounter: unsigned(requiredBitNb(unitCountDivide)-1 downto 0); + signal unitCountDone: std_ulogic; + signal unitNbCounter: unsigned(unitnB'range); + signal unitNbCountDone: std_ulogic; + +BEGIN + -- count unit base period + countUnitDuration: process(reset, clock) + begin + if reset = '1' then + unitCounter <= (others => '0'); + elsif rising_edge(clock) then + if unitCounter = 0 then + if (startCounter = '1') or (unitNbCounter > 0) then + unitCounter <= unitCounter + 1; + end if; + else + if unitCountDone = '0' then + unitCounter <= unitCounter + 1; + else + unitCounter <= (others => '0'); + end if; + end if; + end if; + end process countUnitDuration; + + unitCountDone <= '1' when unitCounter = unitCountDivide + else '0'; + -- count unit period number + countPeriods: process(reset, clock) + begin + if reset = '1' then + unitNbCounter <= (others => '0'); + elsif rising_edge(clock) then + if unitNbCounter = 0 then + if startCounter = '1' then + unitNbCounter <= unitNbCounter + 1; + end if; + else + if unitNbCountDone = '0' then + if unitCountDone = '1' then + unitNbCounter <= unitNbCounter + 1; + end if; + else + unitNbCounter <= (others => '0'); + end if; + end if; + end if; + end process countPeriods; + + unitNbCountDone <= '1' when (unitNbCounter = unitNb) and (unitCountDone = '1') + else '0'; + + done <= unitNbCountDone; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity Morse.charToMorseController.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY charToMorseController IS + GENERIC( + characterBitNb : positive := 8; + unitCountBitNb : positive := 3 + ); + PORT( + morseOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + charNotReady : IN std_ulogic; + char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0); + startCounter : OUT std_ulogic; + unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0); + counterDone : IN std_ulogic; + readChar : OUT std_ulogic + ); + +-- Declarations + +END charToMorseController ; + + + + + +ARCHITECTURE masterVersion OF charToMorseController IS + -- sequence for characters + type characterStateType is ( + idle, waitReg, + sA, sB, sC, sD, sE, sF, sG, sH, sI, sJ, sK, sL, sM, sN, sO, sP, + sQ, sR, sS, sT, sU, sV, sW, sX, sY, sZ, + s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, + s2a, s8a, s9a, + popChar, waitEndOfChar + ); + signal characterState : characterStateType; + signal isA, isB, isC, isD, isE, isF, isG, isH, + isI, isJ, isK, isL, isM, isN, isO, isP, + isQ, isR, isS, isT, isU, isV, isW, isX, + isY, isZ, + is0, is1, is2, is3, is4, is5, is6, is7, + is8, is9 : std_ulogic; + signal gotoE, gotoI, gotoS, gotoH, goto5, + gotoF, + gotoL, gotoR, + gotoP, + gotoN, gotoD, gotoB, goto6, + gotoC, + gotoG, gotoZ, goto7, + goto8, + goto9, + gotoT : std_ulogic; + -- inter-FSM signalling + signal sendDot, sendDash, sendSeparator, sendDotDashDone: std_ulogic; + -- sequence for morse units + type sequencerStateType is ( + idle, + startDot, sendingDot, startDash, sendingDash, + startSeparator, waitingSeparator, startSeparator2, waitingSeparator2, + dotDashDone + ); + signal sequencerState : sequencerStateType; + +BEGIN + ------------------------------------------------------------------------------ + -- conditions for morse units + isA <= '1' when std_match(unsigned(char), "1-0" & x"1") else '0'; + isB <= '1' when std_match(unsigned(char), "1-0" & x"2") else '0'; + isC <= '1' when std_match(unsigned(char), "1-0" & x"3") else '0'; + isD <= '1' when std_match(unsigned(char), "1-0" & x"4") else '0'; + isE <= '1' when std_match(unsigned(char), "1-0" & x"5") else '0'; + isF <= '1' when std_match(unsigned(char), "1-0" & x"6") else '0'; + isG <= '1' when std_match(unsigned(char), "1-0" & x"7") else '0'; + isH <= '1' when std_match(unsigned(char), "1-0" & x"8") else '0'; + isI <= '1' when std_match(unsigned(char), "1-0" & x"9") else '0'; + isJ <= '1' when std_match(unsigned(char), "1-0" & x"A") else '0'; + isK <= '1' when std_match(unsigned(char), "1-0" & x"B") else '0'; + isL <= '1' when std_match(unsigned(char), "1-0" & x"C") else '0'; + isM <= '1' when std_match(unsigned(char), "1-0" & x"D") else '0'; + isN <= '1' when std_match(unsigned(char), "1-0" & x"E") else '0'; + isO <= '1' when std_match(unsigned(char), "1-0" & x"F") else '0'; + isP <= '1' when std_match(unsigned(char), "1-1" & x"0") else '0'; + isQ <= '1' when std_match(unsigned(char), "1-1" & x"1") else '0'; + isR <= '1' when std_match(unsigned(char), "1-1" & x"2") else '0'; + isS <= '1' when std_match(unsigned(char), "1-1" & x"3") else '0'; + isT <= '1' when std_match(unsigned(char), "1-1" & x"4") else '0'; + isU <= '1' when std_match(unsigned(char), "1-1" & x"5") else '0'; + isV <= '1' when std_match(unsigned(char), "1-1" & x"6") else '0'; + isW <= '1' when std_match(unsigned(char), "1-1" & x"7") else '0'; + isX <= '1' when std_match(unsigned(char), "1-1" & x"8") else '0'; + isY <= '1' when std_match(unsigned(char), "1-1" & x"9") else '0'; + isZ <= '1' when std_match(unsigned(char), "1-1" & x"A") else '0'; + is0 <= '1' when std_match(unsigned(char), "011" & x"0") else '0'; + is1 <= '1' when std_match(unsigned(char), "011" & x"1") else '0'; + is2 <= '1' when std_match(unsigned(char), "011" & x"2") else '0'; + is3 <= '1' when std_match(unsigned(char), "011" & x"3") else '0'; + is4 <= '1' when std_match(unsigned(char), "011" & x"4") else '0'; + is5 <= '1' when std_match(unsigned(char), "011" & x"5") else '0'; + is6 <= '1' when std_match(unsigned(char), "011" & x"6") else '0'; + is7 <= '1' when std_match(unsigned(char), "011" & x"7") else '0'; + is8 <= '1' when std_match(unsigned(char), "011" & x"8") else '0'; + is9 <= '1' when std_match(unsigned(char), "011" & x"9") else '0'; + goto5 <= is5; + gotoH <= ish or goto5 or is4; + gotoS <= isS or gotoH or isV or is3; + gotoF <= isF; + gotoI <= isI or gotoS or isU or gotoF or is2; + gotoL <= isL; + gotoR <= isR or gotoL; + gotoP <= isP; + gotoE <= isE or gotoI or isA or gotoR or isW or gotoP or isJ or is1; + goto6 <= is6; + gotoB <= isB or goto6; + gotoD <= isD or gotoB or isX; + gotoC <= isC; + gotoN <= isN or gotoD or isK or gotoC or isY; + goto7 <= is7; + gotoZ <= isZ or goto7; + gotoG <= isG or gotoZ or isQ; + goto8 <= is8; + goto9 <= is9; + gotoT <= isT or gotoN or isM or gotoG or isO or goto8 or goto9 or is0; + -- sequence for morse units + sendCharacterState: process(reset, clock) + begin + if reset = '1' then + characterState <= idle; + elsif rising_edge(clock) then + case characterState is + -- start + when idle => + if charnotReady = '0' then + characterState <= waitReg; + end if; + when waitReg => + if gotoE = '1' then + characterState <= sE; + elsif gotoT = '1' then + characterState <= sT; + else + characterState <= popChar; + end if; + -- level 1 + when sE => + if sendDotDashDone = '1' then + if isE = '1' then + characterState <= popChar; + elsif gotoI = '1' then + characterState <= sI; + else + characterState <= sA; + end if; + end if; + when sT => + if sendDotDashDone = '1' then + if isT = '1' then + characterState <= popChar; + elsif gotoN = '1' then + characterState <= sN; + else + characterState <= sM; + end if; + end if; + -- level 2 + when sI => + if sendDotDashDone = '1' then + if isI = '1' then + characterState <= popChar; + elsif gotoS = '1' then + characterState <= sS; + else + characterState <= sU; + end if; + end if; + when sA => + if sendDotDashDone = '1' then + if isA = '1' then + characterState <= popChar; + elsif gotoR = '1' then + characterState <= sR; + else + characterState <= sW; + end if; + end if; + when sN => + if sendDotDashDone = '1' then + if isN = '1' then + characterState <= popChar; + elsif gotoD = '1' then + characterState <= sD; + else + characterState <= sK; + end if; + end if; + when sM => + if sendDotDashDone = '1' then + if isM = '1' then + characterState <= popChar; + elsif gotoG = '1' then + characterState <= sG; + else + characterState <= sO; + end if; + end if; + -- level 3a + when sS => + if sendDotDashDone = '1' then + if isS = '1' then + characterState <= popChar; + elsif gotoH = '1' then + characterState <= sH; + else + characterState <= sV; + end if; + end if; + when sU => + if sendDotDashDone = '1' then + if isU = '1' then + characterState <= popChar; + elsif gotoF = '1' then + characterState <= sF; + else + characterState <= s2a; + end if; + end if; + when sR => + if sendDotDashDone = '1' then + if isR = '1' then + characterState <= popChar; + elsif gotoL = '1' then + characterState <= sL; + else + characterState <= popChar; + end if; + end if; + when sW => + if sendDotDashDone = '1' then + if isW = '1' then + characterState <= popChar; + elsif gotoP = '1' then + characterState <= sP; + else + characterState <= sJ; + end if; + end if; + -- level 3b + when sD => + if sendDotDashDone = '1' then + if isD = '1' then + characterState <= popChar; + elsif gotoB = '1' then + characterState <= sB; + else + characterState <= sX; + end if; + end if; + when sK => + if sendDotDashDone = '1' then + if isK = '1' then + characterState <= popChar; + elsif gotoC = '1' then + characterState <= sC; + else + characterState <= sY; + end if; + end if; + when sG => + if sendDotDashDone = '1' then + if isG = '1' then + characterState <= popChar; + elsif gotoZ = '1' then + characterState <= sZ; + else + characterState <= sQ; + end if; + end if; + when sO => + if sendDotDashDone = '1' then + if isO = '1' then + characterState <= popChar; + elsif goto8 = '1' then + characterState <= s8a; + else + characterState <= s9a; + end if; + end if; + -- level 4a + when sH => + if sendDotDashDone = '1' then + if isH = '1' then + characterState <= popChar; + elsif goto5 = '1' then + characterState <= s5; + else + characterState <= s4; + end if; + end if; + when sV => + if sendDotDashDone = '1' then + if isV = '1' then + characterState <= popChar; + else + characterState <= s3; + end if; + end if; + when sF => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when s2a => + if sendDotDashDone = '1' then + characterState <= s2; + end if; + -- level 4b + when sL => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when sP => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when sJ => + if sendDotDashDone = '1' then + if isJ = '1' then + characterState <= popChar; + else + characterState <= s1; + end if; + end if; + -- level 4c + when sB => + if sendDotDashDone = '1' then + if isB = '1' then + characterState <= popChar; + elsif goto6 = '1' then + characterState <= s6; + else + characterState <= popChar; + end if; + end if; + when sX => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when sC => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when sY => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + -- level 4d + when sZ => + if sendDotDashDone = '1' then + if isZ = '1' then + characterState <= popChar; + elsif goto7 = '1' then + characterState <= s7; + else + characterState <= popChar; + end if; + end if; + when sQ => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + when s8a => + if sendDotDashDone = '1' then + characterState <= s8; + end if; + when s9a => + if sendDotDashDone = '1' then + if goto9 = '1' then + characterState <= s9; + else + characterState <= s0; + end if; + end if; + -- level 5 + when s5 | s4 | s3 | s2 | s1 | s6 | s7 | s8 | s9 | s0 => + if sendDotDashDone = '1' then + characterState <= popChar; + end if; + -- end of character + when popChar => characterState <= waitEndOfChar; + when waitEndOfChar => + if sendDotDashDone = '1' then + characterState <= idle; + end if; + when others => characterState <= idle; + end case; + end if; + end process sendCharacterState; + + sendCharacterOutput: process(characterState) + begin + sendDot <= '0'; + sendDash <= '0'; + sendSeparator <= '0'; + readChar <= '0'; + case characterState is + -- level 1 + when sE => + sendDot <= '1'; + when sT => + sendDash <= '1'; + -- level 2 + when sI => + sendDot <= '1'; + when sA => + sendDash <= '1'; + when sN => + sendDot <= '1'; + when sM => + sendDash <= '1'; + -- level 3a + when sS => + sendDot <= '1'; + when sU => + sendDash <= '1'; + when sR => + sendDot <= '1'; + when sW => + sendDash <= '1'; + -- level 3b + when sD => + sendDot <= '1'; + when sK => + sendDash <= '1'; + when sG => + sendDot <= '1'; + when sO => + sendDash <= '1'; + -- level 4a + when sH => + sendDot <= '1'; + when sV => + sendDash <= '1'; + when sF => + sendDot <= '1'; + when s2a => + sendDash <= '1'; + -- level 4b + when sL => + sendDot <= '1'; + when sP => + sendDot <= '1'; + when sJ => + sendDash <= '1'; + -- level 4c + when sB => + sendDot <= '1'; + when sX => + sendDash <= '1'; + when sC => + sendDot <= '1'; + when sY => + sendDash <= '1'; + -- level 4d + when sZ => + sendDot <= '1'; + when sQ => + sendDash <= '1'; + when s8a => + sendDot <= '1'; + when s9a => + sendDash <= '1'; + -- level 5 + when s5 => + sendDot <= '1'; + when s4 => + sendDash <= '1'; + when s3 => + sendDash <= '1'; + when s2 => + sendDash <= '1'; + when s1 => + sendDash <= '1'; + when s6 => + sendDot <= '1'; + when s7 => + sendDot <= '1'; + when s8 => + sendDot <= '1'; + when s9 => + sendDot <= '1'; + when s0 => + sendDash <= '1'; + -- end of character + when popChar => + readChar <= '1'; + sendSeparator <= '1'; + when others => null; + end case; + end process sendCharacterOutput; + + ------------------------------------------------------------------------------ + -- sequence for morse units + sendDotDashState: process(reset, clock) + begin + if reset = '1' then + sequencerState <= idle; + elsif rising_edge(clock) then + case sequencerState is + -- idle + when idle => + if sendDot = '1' then + sequencerState <= startDot; + elsif sendDash = '1' then + sequencerState <= startDash; + elsif sendSeparator = '1' then + sequencerState <= startSeparator2; + end if; + -- dot + when startDot => + sequencerState <= sendingDot; + when sendingDot => + if counterDone = '1' then + sequencerState <= startSeparator; + end if; + -- dash + when startDash => + sequencerState <= sendingDash; + when sendingDash => + if counterDone = '1' then + sequencerState <= startSeparator; + end if; + -- morse symbol separator + when startSeparator => + sequencerState <= waitingSeparator; + when waitingSeparator => + if counterDone = '1' then + sequencerState <= dotDashDone; + end if; + -- character separator + when startSeparator2 => + sequencerState <= waitingSeparator2; + when waitingSeparator2 => + if counterDone = '1' then + sequencerState <= dotDashDone; + end if; + -- done + when dotDashDone => + sequencerState <= idle; + end case; + end if; + end process sendDotDashState; + + sendDotDashOutput: process(sequencerState) + begin + startCounter <= '0'; + unitNb <= (others => '-'); + sendDotDashDone <= '0'; + morseOut <= '0'; + case sequencerState is + when startDot | startDash => + startCounter <= '1'; + morseOut <= '1'; + when sendingDot => + morseOut <= '1'; + unitNb <= to_unsigned(1, unitNb'length); + when sendingDash => + morseOut <= '1'; + unitNb <= to_unsigned(3, unitNb'length); + when startSeparator => + startCounter <= '1'; + when waitingSeparator => + unitNb <= to_unsigned(1, unitNb'length); + when startSeparator2 => + startCounter <= '1'; + when waitingSeparator2 => + unitNb <= to_unsigned(2, unitNb'length); + when dotDashDone => + sendDotDashDone <= '1'; + when others => null; + end case; + end process sendDotDashOutput; + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture Morse.charToMorse.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:49:52 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Morse; + +ARCHITECTURE struct OF charToMorse IS + + -- Architecture declarations + constant unitCountBitNb: positive := 3; + + -- Internal signal declarations + SIGNAL startCounter : std_ulogic; + SIGNAL done : std_ulogic; + SIGNAL unitNb : unsigned(unitCountBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT charToMorseController + GENERIC ( + characterBitNb : positive := 8; + unitCountBitNb : positive := 3 + ); + PORT ( + morseOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + charNotReady : IN std_ulogic ; + char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0); + startCounter : OUT std_ulogic ; + unitNb : OUT unsigned (unitCountBitNb-1 DOWNTO 0); + counterDone : IN std_ulogic ; + readChar : OUT std_ulogic + ); + END COMPONENT; + COMPONENT unitCounter + GENERIC ( + unitCountDivide : positive := 10E3; + unitCountBitNb : positive := 3 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + startCounter : IN std_ulogic ; + unitNb : IN unsigned (unitCountBitNb-1 DOWNTO 0); + done : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : charToMorseController USE ENTITY Morse.charToMorseController; +-- FOR ALL : unitCounter USE ENTITY Morse.unitCounter; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + I_ctl : charToMorseController + GENERIC MAP ( + characterBitNb => characterBitNb, + unitCountBitNb => unitCountBitNb + ) + PORT MAP ( + morseOut => morseOut, + clock => clock, + reset => reset, + charNotReady => charNotReady, + char => charIn, + startCounter => startCounter, + unitNb => unitNb, + counterDone => done, + readChar => readChar + ); + I_cnt : unitCounter + GENERIC MAP ( + unitCountDivide => unitCountDivide, + unitCountBitNb => unitCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + startCounter => startCounter, + unitNb => unitNb, + done => done + ); + +END struct; + + + + +-- VHDL Entity Morse.toneGenerator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 09:13:01 03/29/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY toneGenerator IS + GENERIC( + toneDivide : positive := 100E3 + ); + PORT( + tone : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END toneGenerator ; + + + + + +-- library Common; + use work.CommonLib.all; + +ARCHITECTURE masterVersion OF toneGenerator IS + + constant toneCounterBitNb: positive := requiredBitNb(toneDivide-1); + signal toneCounter: unsigned(toneCounterBitNb-1 downto 0); + constant toneMin : natural := (2**toneCounterBitNb - toneDivide) / 2; + constant toneMax : natural := toneMin + toneDivide; + +BEGIN + + divide: process(reset, clock) + begin + if reset = '1' then + toneCounter <= to_unsigned(toneMin, toneCounter'length); + elsif rising_edge(clock) then + if toneCounter = toneMax then + toneCounter <= to_unsigned(toneMin, toneCounter'length); + else + toneCounter <= toneCounter + 1; + end if; + end if; + end process divide; + + tone <= toneCounter(toneCounter'high); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity RS232.serialPortReceiver.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:45:48 08/28/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY serialPortReceiver IS + GENERIC( + dataBitNb : positive := 8; + baudRateDivide : positive := 2083 + ); + PORT( + RxD : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataValid : OUT std_ulogic + ); + +-- Declarations + +END serialPortReceiver ; + + + + + +-- library Common; + use work.CommonLib.all; + +architecture RTL of serialPortReceiver is + + signal dividerCounter: unsigned(requiredBitNb(baudRateDivide-1)-1 downto 0); + signal dividerCounterReset: std_uLogic; + signal rxDelayed: std_uLogic; + signal dividerCounterSynchronize: std_uLogic; + signal rxSample: std_uLogic; + signal rxShiftReg: std_ulogic_vector(dataBitNb-1 downto 0); + signal rxReceiving: std_uLogic; + signal rxDataValid: std_uLogic; + signal rxCounter: unsigned(requiredBitNb(dataBitNb)-1 downto 0); + +begin + + divide: process(reset, clock) + begin + if reset = '1' then + dividerCounter <= (others => '0'); + elsif rising_edge(clock) then + if dividerCounterSynchronize = '1' then + dividerCounter <= to_unsigned(baudRateDivide/2, dividerCounter'length); + elsif dividerCounterReset = '1' then + dividerCounter <= (others => '0'); + else + dividerCounter <= dividerCounter + 1; + end if; + end if; + end process divide; + + endOfCount: process(dividerCounter) + begin + if dividerCounter = baudRateDivide-1 then + dividerCounterReset <= '1'; + else + dividerCounterReset <= '0'; + end if; + end process endOfCount; + + delayRx: process(reset, clock) + begin + if reset = '1' then + rxDelayed <= '0'; + elsif rising_edge(clock) then + rxDelayed <= RxD; + end if; + end process delayRx; + + rxSynchronize: process(RxD, rxDelayed) + begin + if RxD /= rxDelayed then + dividerCounterSynchronize <= '1'; + else + dividerCounterSynchronize <= '0'; + end if; + end process rxSynchronize; + + rxSample <= dividerCounterReset and not dividerCounterSynchronize; + + shiftReg: process(reset, clock) + begin + if reset = '1' then + rxShiftReg <= (others => '0'); + elsif rising_edge(clock) then + if rxSample = '1' then + rxShiftReg(rxShiftReg'high-1 downto 0) <= rxShiftReg(rxShiftReg'high downto 1); + rxShiftReg(rxShiftReg'high) <= RxD; + end if; + end if; + end process shiftReg; + + detectReceive: process(reset, clock) + begin + if reset = '1' then + rxReceiving <= '0'; + rxDataValid <= '0'; + elsif rising_edge(clock) then + if rxSample = '1' then + if rxCounter = dataBitNb-1 then + rxDataValid <= '1'; + elsif RxD = '0' then + rxReceiving <= '1'; + end if; + elsif rxDataValid = '1' then + rxReceiving <= '0'; + rxDataValid <= '0'; + end if; + end if; + end process detectReceive; + + countRxBitNb: process(reset, clock) + begin + if reset = '1' then + rxCounter <= (others => '0'); + elsif rising_edge(clock) then + if rxSample = '1' then + if rxReceiving = '1' then + rxCounter <= rxCounter + 1; + else + rxCounter <= (others => '0'); + end if; + end if; + end if; + end process countRxBitNb; + + dataOut <= rxShiftReg; + dataValid <= rxDataValid; + +end RTL; + + + + + +-- +-- VHDL Architecture Morse.morseEncoder.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 14:50:20 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Memory; +-- LIBRARY Morse; +-- LIBRARY RS232; + +ARCHITECTURE struct OF morseEncoder IS + + -- Architecture declarations + constant fifoDepth : positive := 100; + + -- Internal signal declarations + SIGNAL characterReg : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0); + SIGNAL characterIn : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0); + SIGNAL characterValid : std_ulogic; + SIGNAL morseOut : std_ulogic; + SIGNAL tone : std_ulogic; + SIGNAL charNotReady : std_ulogic; + SIGNAL readChar : std_ulogic; + + + -- Component Declarations + COMPONENT FIFO_bram + GENERIC ( + dataBitNb : positive := 8; + depth : positive := 8 + ); + PORT ( + write : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + read : IN std_ulogic ; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + empty : OUT std_ulogic ; + full : OUT std_ulogic + ); + END COMPONENT; + COMPONENT charToMorse + GENERIC ( + characterBitNb : positive := 8; + unitCountDivide : positive := 10E3 + ); + PORT ( + morseOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0); + readChar : OUT std_ulogic ; + charNotReady : IN std_ulogic + ); + END COMPONENT; + COMPONENT toneGenerator + GENERIC ( + toneDivide : positive := 100E3 + ); + PORT ( + tone : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT serialPortReceiver + GENERIC ( + dataBitNb : positive := 8; + baudRateDivide : positive := 2083 + ); + PORT ( + RxD : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataValid : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : FIFO_bram USE ENTITY Memory.FIFO_bram; +-- FOR ALL : charToMorse USE ENTITY Morse.charToMorse; +-- FOR ALL : serialPortReceiver USE ENTITY RS232.serialPortReceiver; +-- FOR ALL : toneGenerator USE ENTITY Morse.toneGenerator; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + morseCode <= morseOut and tone; + + + -- Instance port mappings. + I_FIFO : FIFO_bram + GENERIC MAP ( + dataBitNb => uartDataBitNb, + depth => fifoDepth + ) + PORT MAP ( + write => characterValid, + clock => clock, + reset => reset, + dataOut => characterReg, + read => readChar, + dataIn => characterIn, + empty => charNotReady, + full => OPEN + ); + I_enc : charToMorse + GENERIC MAP ( + characterBitNb => uartDataBitNb, + unitCountDivide => integer(clockFrequency*unitDuration + 0.5) + ) + PORT MAP ( + morseOut => morseOut, + clock => clock, + reset => reset, + charNotReady => charNotReady, + charIn => characterReg, + readChar => readChar + ); + I_tone : toneGenerator + GENERIC MAP ( + toneDivide => integer(clockFrequency/toneFrequency + 0.5) + ) + PORT MAP ( + tone => tone, + clock => clock, + reset => reset + ); + I_UART : serialPortReceiver + GENERIC MAP ( + dataBitNb => uartDataBitNb, + baudRateDivide => integer(clockFrequency/uartBaudRate + 0.5) + ) + PORT MAP ( + RxD => RxD, + clock => clock, + reset => reset, + dataOut => characterIn, + dataValid => characterValid + ); + +END struct; + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.morse_ebs3.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 08:06:36 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Board; +-- LIBRARY Lattice; +-- LIBRARY Morse; + +ARCHITECTURE struct OF morse_ebs3 IS + + -- Architecture declarations + constant clockFrequency: real := 60.0E6; + constant uartBaudRate: real := 9.6E3; + constant uartDataBitNb: positive := 7; + constant morseUnitDuration: real := 100.0E-3; -- 1/2 * 10 Hz + constant morseToneFrequency: real := 3135.96; -- sol 3eme octave + constant deglitchBitNb: positive := 16; + + -- Internal signal declarations + SIGNAL clk_sys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL morseIn_synch : std_uLogic; + SIGNAL reset1 : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_n : std_ulogic; + + -- Implicit buffer signal declarations + SIGNAL RxD_synch_internal : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT morseDecoder + GENERIC ( + clockFrequency : real := 100.0E6; + uartBaudRate : real := 115.2E3; + uartDataBitNb : positive := 8; + unitDuration : real := 100.0E-3; + toneFrequency : real := 300.0; + deglitchBitNb : natural := 8 + ); + PORT ( + morseCode : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + TxD : OUT std_ulogic ; + morseEnvelope : OUT std_ulogic + ); + END COMPONENT; + COMPONENT morseEncoder + GENERIC ( + clockFrequency : real := 100.0E6; + uartBaudRate : real := 115.2E3; + uartDataBitNb : positive := 8; + unitDuration : real := 100.0E-3; + toneFrequency : real := 300.0 + ); + PORT ( + morseCode : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + RxD : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DFF USE ENTITY Board.DFF; +-- FOR ALL : inverterIn USE ENTITY Board.inverterIn; +-- FOR ALL : morseDecoder USE ENTITY Morse.morseDecoder; +-- FOR ALL : morseEncoder USE ENTITY Morse.morseEncoder; +-- FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 6 eb6 + logic1 <= '1'; + + -- HDL Embedded Text Block 7 eb7 + logic0 <= '0'; + + + -- Instance port mappings. + I7 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => RxD, + Q => RxD_synch_internal + ); + I8 : DFF + PORT MAP ( + CLK => clock, + CLR => reset1, + D => logic1, + Q => resetSynch_n + ); + I9 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => morseIn, + Q => morseIn_synch + ); + I3 : inverterIn + PORT MAP ( + in1 => reset_n, + out1 => reset1 + ); + I4 : inverterIn + PORT MAP ( + in1 => resetSynch_n, + out1 => resetSynch + ); + I_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clk_sys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_dec : morseDecoder + GENERIC MAP ( + clockFrequency => clockFrequency, + uartBaudRate => uartBaudRate, + uartDataBitNb => uartDataBitNb, + unitDuration => morseUnitDuration, + toneFrequency => morseToneFrequency, + deglitchBitNb => deglitchBitNb + ) + PORT MAP ( + morseCode => morseIn_synch, + clock => clk_sys, + reset => resetSynch, + TxD => TxD, + morseEnvelope => morseEnvelope + ); + I_enc : morseEncoder + GENERIC MAP ( + clockFrequency => clockFrequency, + uartBaudRate => uartBaudRate, + uartDataBitNb => uartDataBitNb, + unitDuration => morseUnitDuration, + toneFrequency => morseToneFrequency + ) + PORT MAP ( + morseCode => morseOut, + clock => clk_sys, + reset => resetSynch, + RxD => RxD_synch_internal + ); + + -- Implicit buffered output assignments + RxD_synch <= RxD_synch_internal; + +END struct; + + + + diff --git a/05-Morse/Board/diamond/morse.bit b/05-Morse/Board/diamond/morse.bit new file mode 100644 index 0000000..941f5e7 Binary files /dev/null and b/05-Morse/Board/diamond/morse.bit differ diff --git a/05-Morse/Board/diamond/morse.ldf b/05-Morse/Board/diamond/morse.ldf new file mode 100644 index 0000000..f1ae61d --- /dev/null +++ b/05-Morse/Board/diamond/morse.ldf @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/05-Morse/Board/diamond/programmer.xcf b/05-Morse/Board/diamond/programmer.xcf new file mode 100644 index 0000000..468a2da --- /dev/null +++ b/05-Morse/Board/diamond/programmer.xcf @@ -0,0 +1,50 @@ + + + + + + JTAG + + + 1 + Renesas + ECP5U + LFE5U-25F + All + LFE5U-25F + + 8 + 11111111 + 1 + 0 + + + 04/11/23 16:14:28 + 0xA4B0 + Fast Program + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + 4 + + + USB2 + FTUSB-0 + LFE5U-25F A Location 0000 Serial 018VFVT3A + + diff --git a/05-Morse/Board/diamond/reveal_analyze.rva b/05-Morse/Board/diamond/reveal_analyze.rva new file mode 100644 index 0000000..4249e0e --- /dev/null +++ b/05-Morse/Board/diamond/reveal_analyze.rva @@ -0,0 +1,638 @@ + + + lun. 6. mars 14:29:10 2023 + + + + + + + + + + + + 1 + + 0 + 1. LFE5U-25F + 0x41111043 + true + false + + 8 + reveal_config.rvl + reveal_config.rvs + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/05-Morse/Board/diamond/reveal_config.rvl b/05-Morse/Board/diamond/reveal_config.rvl new file mode 100644 index 0000000..28d2f1c --- /dev/null +++ b/05-Morse/Board/diamond/reveal_config.rvl @@ -0,0 +1,662 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/05-Morse/Board/diamond/strategy.sty b/05-Morse/Board/diamond/strategy.sty new file mode 100644 index 0000000..a2b5a4a --- /dev/null +++ b/05-Morse/Board/diamond/strategy.sty @@ -0,0 +1,203 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/05-Morse/Board/hdl/DFF_sim.vhd b/05-Morse/Board/hdl/DFF_sim.vhd new file mode 100644 index 0000000..cf8868a --- /dev/null +++ b/05-Morse/Board/hdl/DFF_sim.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/05-Morse/Board/hdl/buff_sim.vhd b/05-Morse/Board/hdl/buff_sim.vhd new file mode 100644 index 0000000..8ad27be --- /dev/null +++ b/05-Morse/Board/hdl/buff_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF buff IS +BEGIN + + out1 <= in1; + +END ARCHITECTURE sim; + diff --git a/05-Morse/Board/hdl/inverterIn_sim.vhd b/05-Morse/Board/hdl/inverterIn_sim.vhd new file mode 100644 index 0000000..fb039ac --- /dev/null +++ b/05-Morse/Board/hdl/inverterIn_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/05-Morse/Board/hdl/inverter_sim.vhd b/05-Morse/Board/hdl/inverter_sim.vhd new file mode 100644 index 0000000..ca5b6b5 --- /dev/null +++ b/05-Morse/Board/hdl/inverter_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/05-Morse/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/05-Morse/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf b/05-Morse/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf b/05-Morse/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/05-Morse/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_entity.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_struct.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_morse_circuit_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_entity.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_struct.vhg._fpf b/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Board/hds/.hdlsidedata/_morse_ebs3_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Board/hds/@d@f@f/symbol.sb b/05-Morse/Board/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..555b689 --- /dev/null +++ b/05-Morse/Board/hds/@d@f@f/symbol.sb @@ -0,0 +1,1211 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 158,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 159,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 160,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 156,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 164,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 165,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 132,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 163,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:05" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:05" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,4625,23000,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,12,0" +) +xt "24000,4300,25700,5700" +st "D" +blo "24000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,15500,13400" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +optionalChildren [ +*62 (FFT +pts [ +"23750,9000" +"23000,9375" +"23000,8625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,8625,23000,9375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,12,0" +) +xt "24000,8400,27200,9800" +st "CLK" +blo "24000,9600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,15500,11600" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,11000,26375,11750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,12,0" +) +xt "25000,9600,28200,11000" +st "CLR" +blo "25000,10800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15500,12500" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,4625,29750,5375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +font "courier,12,0" +) +xt "26200,4300,28000,5700" +st "Q" +ju 2 +blo "28000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,14500,14300" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,3000,29000,11000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +) +xt "27600,10700,30600,11700" +st "Board" +blo "27600,11500" +) +second (Text +uid 15,0 +va (VaSet +) +xt "27600,11700,29400,12700" +st "DFF" +blo "27600,12500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +isHidden 1 +) +xt "30000,10400,42600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 97,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*68 (MLText +uid 98,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "23,13,991,725" +viewArea "-672,-4956,43920,21156" +cachedDiagramExtent "0,0,43800,15100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26600,24700,29700,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +) +xt "26600,25700,28800,26700" +st "" +blo "26600,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8300,8600,9500" +st "Declarations" +blo "0,9300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,9500,4200,10700" +st "Ports:" +blo "0,10500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,14300,3000,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,8300,9400,9500" +st "Internal User:" +blo "0,9300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +) diff --git a/05-Morse/Board/hds/@f@p@g@a_sine@gen_tester/interface b/05-Morse/Board/hds/@f@p@g@a_sine@gen_tester/interface new file mode 100644 index 0000000..f018467 --- /dev/null +++ b/05-Morse/Board/hds/@f@p@g@a_sine@gen_tester/interface @@ -0,0 +1,1571 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +uid 208,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 210,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +uid 212,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 214,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 209,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 211,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 213,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 215,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 217,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 102,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 106,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 108,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 110,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 114,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 116,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 129,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 143,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 145,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "chronometer" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester" +) +(vvPair +variable "date" +value "27.01.2010" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "27" +) +(vvPair +variable "entity_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Chronometer/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Chronometer\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:20:56" +) +(vvPair +variable "unit" +value "FPGA_sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 71,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 186,0 +ro 270 +va (VaSet +) +xt "22500,7000,23500,9100" +st "clock" +ju 2 +blo "23300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 187,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62000,5200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*65 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +ro 270 +va (VaSet +) +xt "24500,7000,25500,9100" +st "reset" +ju 2 +blo "25300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +*66 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +ro 270 +va (VaSet +) +xt "56500,7000,57500,11400" +st "triggerOut" +ju 2 +blo "57300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +) +*67 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +ro 270 +va (VaSet +) +xt "58500,7000,59500,8900" +st "xOut" +ju 2 +blo "59300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "xOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*68 (CptPort +uid 203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 204,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 206,0 +ro 270 +va (VaSet +) +xt "60500,7000,61500,8900" +st "yOut" +ju 2 +blo "61300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 207,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "yOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,59000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,8800,38400,10000" +st "Board" +blo "34900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,10000,47100,11200" +st "FPGA_sineGen_tester" +blo "34900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SineInterpolator_test" +entityName "FPGA_sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 217,0 +) diff --git a/05-Morse/Board/hds/_buff._epf b/05-Morse/Board/hds/_buff._epf new file mode 100644 index 0000000..d74258b --- /dev/null +++ b/05-Morse/Board/hds/_buff._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom buff_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/05-Morse/Board/hds/_morse_circuit._epf b/05-Morse/Board/hds/_morse_circuit._epf new file mode 100644 index 0000000..f74abba --- /dev/null +++ b/05-Morse/Board/hds/_morse_circuit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom morse_circuit/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Board/hds/_morse_ebs2._epf b/05-Morse/Board/hds/_morse_ebs2._epf new file mode 100644 index 0000000..ee301f8 --- /dev/null +++ b/05-Morse/Board/hds/_morse_ebs2._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom morse_ebs2/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Board/hds/_morse_ebs3._epf b/05-Morse/Board/hds/_morse_ebs3._epf new file mode 100644 index 0000000..3f93c95 --- /dev/null +++ b/05-Morse/Board/hds/_morse_ebs3._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom morse_ebs3/struct.bd +TOP_MARKER atom 1 diff --git a/05-Morse/Board/hds/buff/symbol.sb b/05-Morse/Board/hds/buff/symbol.sb new file mode 100644 index 0000000..f9ad06a --- /dev/null +++ b/05-Morse/Board/hds/buff/symbol.sb @@ -0,0 +1,1107 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "buff" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "buff" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:01" +) +(vvPair +variable "unit" +value "buff" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,26310,5700" +st "buff" +blo "23910,5500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *59 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*61 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1352,888" +viewArea "-600,-3900,62412,40055" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *62 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *63 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 149,0 +activeModelName "Symbol" +) diff --git a/05-Morse/Board/hds/inverter/symbol.sb b/05-Morse/Board/hds/inverter/symbol.sb new file mode 100644 index 0000000..515ce1d --- /dev/null +++ b/05-Morse/Board/hds/inverter/symbol.sb @@ -0,0 +1,1092 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:09" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:09" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +optionalChildren [ +*58 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28750,6625,29500,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,28710,5700" +st "inverter" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/05-Morse/Board/hds/inverter@in/symbol.sb b/05-Morse/Board/hds/inverter@in/symbol.sb new file mode 100644 index 0000000..85e0913 --- /dev/null +++ b/05-Morse/Board/hds/inverter@in/symbol.sb @@ -0,0 +1,1095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 98,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 101,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 44,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 47,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 49,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 51,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 70,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 45,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 53,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 57,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 59,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 61,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 63,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 65,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 67,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 43,0 +vaOverrides [ +] +) +] +) +uid 97,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 73,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 76,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 78,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 80,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 74,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 82,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 86,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 88,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 90,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 92,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 94,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 72,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverterIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverterIn" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:14" +) +(vvPair +variable "unit" +value "inverterIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +optionalChildren [ +*57 (Circle +uid 42,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,6546,23000,7454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21342,6625,22092,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,30510,5700" +st "inverterIn" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 127,0 +) diff --git a/05-Morse/Board/hds/morse_circuit/struct.bd b/05-Morse/Board/hds/morse_circuit/struct.bd new file mode 100644 index 0000000..5e586e4 --- /dev/null +++ b/05-Morse/Board/hds/morse_circuit/struct.bd @@ -0,0 +1,5453 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I7" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1969,0 +) +(Instance +name "I_enc" +duLibraryName "Morse" +duName "morseEncoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +mwi 0 +uid 2160,0 +) +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2378,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 2398,0 +) +(Instance +name "I4" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2424,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 2492,0 +) +(Instance +name "I_dec" +duLibraryName "Morse" +duName "morseDecoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +mwi 0 +uid 2661,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morse_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morse_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:49:40" +) +(vvPair +variable "unit" +value "morse_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8200,12400,9400" +st "clock : std_ulogic" +) +) +*2 (Grouping +uid 51,0 +optionalChildren [ +*3 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*8 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*13 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "98500,16625,100000,17375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "98000,17000,98500,17000" +pts [ +"98000,17000" +"98500,17000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,16300,107200,17800" +st "morseOut" +blo "101000,17500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,27200,18400,28400" +st "SIGNAL resetSynch : std_ulogic" +) +) +*15 (SaComponent +uid 1969,0 +optionalChildren [ +*16 (CptPort +uid 1978,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1979,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,8625,43000,9375" +) +tg (CPTG +uid 1980,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1981,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,8300,45600,9800" +st "D" +blo "44000,9500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*17 (CptPort +uid 1982,0 +optionalChildren [ +*18 (FFT +pts [ +"43750,13000" +"43000,13375" +"43000,12625" +] +uid 1986,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,12625,43750,13375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1983,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,12625,43000,13375" +) +tg (CPTG +uid 1984,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1985,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,12400,47100,13900" +st "CLK" +blo "44000,13600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 1987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1988,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45625,15000,46375,15750" +) +tg (CPTG +uid 1989,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1990,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,13600,48200,15100" +st "CLR" +blo "45000,14800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*20 (CptPort +uid 1991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1992,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49000,8625,49750,9375" +) +tg (CPTG +uid 1993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1994,0 +va (VaSet +font "Arial,12,0" +) +xt "46400,8300,48000,9800" +st "Q" +ju 2 +blo "48000,9500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1970,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,7000,49000,15000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1971,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 1972,0 +va (VaSet +) +xt "47600,14700,50000,15700" +st "Board" +blo "47600,15500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 1973,0 +va (VaSet +) +xt "47600,15700,49600,16700" +st "DFF" +blo "47600,16500" +tm "CptNameMgr" +) +*23 (Text +uid 1974,0 +va (VaSet +) +xt "47600,16700,48600,17700" +st "I7" +blo "47600,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1975,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1976,0 +text (MLText +uid 1977,0 +va (VaSet +) +xt "20000,4000,20000,4000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 2001,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 21,0 +) +declText (MLText +uid 2002,0 +va (VaSet +) +xt "-1000,7200,12400,8400" +st "RxD : std_ulogic" +) +) +*25 (Net +uid 2015,0 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 4 +suid 22,0 +) +declText (MLText +uid 2016,0 +va (VaSet +) +xt "-1000,11200,14200,12400" +st "RxD_synch : std_ulogic" +) +) +*26 (PortIoIn +uid 2017,0 +shape (CompositeShape +uid 2018,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2019,0 +sl 0 +ro 270 +xt "32000,8625,33500,9375" +) +(Line +uid 2020,0 +sl 0 +ro 270 +xt "33500,9000,34000,9000" +pts [ +"33500,9000" +"34000,9000" +] +) +] +) +tg (WTG +uid 2021,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2022,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "28000,8300,31000,9800" +st "RxD" +ju 2 +blo "31000,9500" +tm "WireNameMgr" +) +) +) +*27 (PortIoOut +uid 2031,0 +shape (CompositeShape +uid 2032,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2033,0 +sl 0 +ro 270 +xt "98500,8625,100000,9375" +) +(Line +uid 2034,0 +sl 0 +ro 270 +xt "98000,9000,98500,9000" +pts [ +"98000,9000" +"98500,9000" +] +) +] +) +tg (WTG +uid 2035,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2036,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,8300,107900,9800" +st "RxD_synch" +blo "101000,9500" +tm "WireNameMgr" +) +) +) +*28 (SaComponent +uid 2160,0 +optionalChildren [ +*29 (CptPort +uid 2144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,16625,82750,17375" +) +tg (CPTG +uid 2146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2147,0 +va (VaSet +font "Arial,9,0" +) +xt "75700,16400,81000,17600" +st "morseCode" +ju 2 +blo "81000,17300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*30 (CptPort +uid 2148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,20625,66000,21375" +) +tg (CPTG +uid 2150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2151,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,20400,69700,21600" +st "clock" +blo "67000,21300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*31 (CptPort +uid 2152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,22625,66000,23375" +) +tg (CPTG +uid 2154,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2155,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,22400,69600,23600" +st "reset" +blo "67000,23300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*32 (CptPort +uid 2156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,16625,66000,17375" +) +tg (CPTG +uid 2158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2159,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,16400,69400,17600" +st "RxD" +blo "67000,17300" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +] +shape (Rectangle +uid 2161,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "66000,13000,82000,25000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 2162,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 2163,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,24800,69800,25900" +st "Morse" +blo "66600,25700" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 2164,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,25900,74200,27000" +st "morseEncoder" +blo "66600,26800" +tm "CptNameMgr" +) +*35 (Text +uid 2165,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,27000,69500,28100" +st "I_enc" +blo "66600,27900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2166,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2167,0 +text (MLText +uid 2168,0 +va (VaSet +) +xt "66000,28000,94900,34000" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*36 (Net +uid 2262,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 5 +suid 23,0 +) +declText (MLText +uid 2263,0 +va (VaSet +) +xt "-1000,14200,13800,15400" +st "morseOut : std_ulogic" +) +) +*37 (PortIoIn +uid 2357,0 +shape (CompositeShape +uid 2358,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2359,0 +sl 0 +ro 270 +xt "25000,48625,26500,49375" +) +(Line +uid 2360,0 +sl 0 +ro 270 +xt "26500,49000,27000,49000" +pts [ +"26500,49000" +"27000,49000" +] +) +] +) +tg (WTG +uid 2361,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2362,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "20500,48350,24000,49850" +st "clock" +ju 2 +blo "24000,49550" +tm "WireNameMgr" +) +) +) +*38 (PortIoIn +uid 2363,0 +shape (CompositeShape +uid 2364,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2365,0 +sl 0 +ro 270 +xt "25000,60625,26500,61375" +) +(Line +uid 2366,0 +sl 0 +ro 270 +xt "26500,61000,27000,61000" +pts [ +"26500,61000" +"27000,61000" +] +) +] +) +tg (WTG +uid 2367,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2368,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "18900,60350,24000,61850" +st "reset_N" +ju 2 +blo "24000,61550" +tm "WireNameMgr" +) +) +) +*39 (HdlText +uid 2369,0 +optionalChildren [ +*40 (EmbeddedText +uid 2374,0 +commentText (CommentText +uid 2375,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2376,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "32000,52000,38000,54000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2377,0 +va (VaSet +) +xt "32200,52200,37700,53400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 2370,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "31000,51000,39000,55000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2371,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 2372,0 +va (VaSet +) +xt "31400,55000,33000,56000" +st "eb5" +blo "31400,55800" +tm "HdlTextNameMgr" +) +*42 (Text +uid 2373,0 +va (VaSet +) +xt "31400,56000,32200,57000" +st "5" +blo "31400,56800" +tm "HdlTextNumberMgr" +) +] +) +) +*43 (SaComponent +uid 2378,0 +optionalChildren [ +*44 (CptPort +uid 2387,0 +optionalChildren [ +*45 (Circle +uid 2392,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32092,60546,33000,61454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2388,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "31342,60625,32092,61375" +) +tg (CPTG +uid 2389,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2390,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "33000,60500,35400,62000" +st "in1" +blo "33000,61700" +) +s (Text +uid 2391,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "33000,61900,33000,61900" +blo "33000,61900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 2393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2394,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38000,60625,38750,61375" +) +tg (CPTG +uid 2395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2396,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "34650,60500,37750,62000" +st "out1" +ju 2 +blo "37750,61700" +) +s (Text +uid 2397,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "37750,61900,37750,61900" +ju 2 +blo "37750,61900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2379,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,58000,38000,64000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 2380,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 2381,0 +va (VaSet +isHidden 1 +) +xt "57460,63700,59860,64700" +st "Board" +blo "57460,64500" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 2382,0 +va (VaSet +isHidden 1 +) +xt "57460,64700,61360,65700" +st "inverterIn" +blo "57460,65500" +tm "CptNameMgr" +) +*49 (Text +uid 2383,0 +va (VaSet +isHidden 1 +) +xt "57460,64700,58460,65700" +st "I3" +blo "57460,65500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2384,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2385,0 +text (MLText +uid 2386,0 +va (VaSet +) +xt "33000,64400,33000,64400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*50 (SaComponent +uid 2398,0 +optionalChildren [ +*51 (CptPort +uid 2407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2408,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,52625,44000,53375" +) +tg (CPTG +uid 2409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2410,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,52300,46600,53800" +st "D" +blo "45000,53500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*52 (CptPort +uid 2411,0 +optionalChildren [ +*53 (FFT +pts [ +"44750,57000" +"44000,57375" +"44000,56625" +] +uid 2415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,56625,44750,57375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2412,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,56625,44000,57375" +) +tg (CPTG +uid 2413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2414,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,56400,48100,57900" +st "CLK" +blo "45000,57600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*54 (CptPort +uid 2416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2417,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46625,59000,47375,59750" +) +tg (CPTG +uid 2418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2419,0 +va (VaSet +font "Arial,12,0" +) +xt "46000,57600,49200,59100" +st "CLR" +blo "46000,58800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*55 (CptPort +uid 2420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2421,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,52625,50750,53375" +) +tg (CPTG +uid 2422,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +font "Arial,12,0" +) +xt "47400,52300,49000,53800" +st "Q" +ju 2 +blo "49000,53500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,51000,50000,59000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2400,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 2401,0 +va (VaSet +) +xt "48600,58700,51000,59700" +st "Board" +blo "48600,59500" +tm "BdLibraryNameMgr" +) +*57 (Text +uid 2402,0 +va (VaSet +) +xt "48600,59700,50600,60700" +st "DFF" +blo "48600,60500" +tm "CptNameMgr" +) +*58 (Text +uid 2403,0 +va (VaSet +) +xt "48600,60700,49600,61700" +st "I8" +blo "48600,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2404,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2405,0 +text (MLText +uid 2406,0 +va (VaSet +) +xt "21000,48000,21000,48000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*59 (SaComponent +uid 2424,0 +optionalChildren [ +*60 (CptPort +uid 2433,0 +optionalChildren [ +*61 (Circle +uid 2438,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "54092,52546,55000,53454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2434,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "53342,52625,54092,53375" +) +tg (CPTG +uid 2435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2436,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,52500,57400,54000" +st "in1" +blo "55000,53700" +) +s (Text +uid 2437,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,53900,55000,53900" +blo "55000,53900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*62 (CptPort +uid 2439,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2440,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "60000,52625,60750,53375" +) +tg (CPTG +uid 2441,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2442,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "337651,319898,340751,321398" +st "out1" +ju 2 +blo "340751,321098" +) +s (Text +uid 2443,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "340751,321198,340751,321198" +ju 2 +blo "340751,321198" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,50000,60000,56000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 2426,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 2427,0 +va (VaSet +isHidden 1 +) +xt "79460,55700,81860,56700" +st "Board" +blo "79460,56500" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 2428,0 +va (VaSet +isHidden 1 +) +xt "79460,56700,83360,57700" +st "inverterIn" +blo "79460,57500" +tm "CptNameMgr" +) +*65 (Text +uid 2429,0 +va (VaSet +isHidden 1 +) +xt "79460,56700,80460,57700" +st "I4" +blo "79460,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2430,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2431,0 +text (MLText +uid 2432,0 +va (VaSet +) +xt "55000,56400,55000,56400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*66 (Net +uid 2476,0 +decl (Decl +n "resetSnch_N1" +t "std_ulogic" +o 11 +suid 25,0 +) +declText (MLText +uid 2477,0 +va (VaSet +) +xt "-1000,26200,19300,27400" +st "SIGNAL resetSnch_N1 : std_ulogic" +) +) +*67 (Net +uid 2478,0 +decl (Decl +n "reset1" +t "std_ulogic" +o 12 +suid 26,0 +) +declText (MLText +uid 2479,0 +va (VaSet +) +xt "-1000,25200,17200,26400" +st "SIGNAL reset1 : std_ulogic" +) +) +*68 (Net +uid 2482,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 10 +suid 28,0 +) +declText (MLText +uid 2483,0 +va (VaSet +) +xt "-1000,10200,13100,11400" +st "reset_N : std_ulogic" +) +) +*69 (SaComponent +uid 2492,0 +optionalChildren [ +*70 (CptPort +uid 2501,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2502,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,37625,43000,38375" +) +tg (CPTG +uid 2503,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2504,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,37300,45600,38800" +st "D" +blo "44000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*71 (CptPort +uid 2505,0 +optionalChildren [ +*72 (FFT +pts [ +"43750,42000" +"43000,42375" +"43000,41625" +] +uid 2509,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,41625,43750,42375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2506,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,41625,43000,42375" +) +tg (CPTG +uid 2507,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2508,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,41400,47100,42900" +st "CLK" +blo "44000,42600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*73 (CptPort +uid 2510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2511,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45625,44000,46375,44750" +) +tg (CPTG +uid 2512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2513,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,42600,48200,44100" +st "CLR" +blo "45000,43800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*74 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2515,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49000,37625,49750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Arial,12,0" +) +xt "46400,37300,48000,38800" +st "Q" +ju 2 +blo "48000,38500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2493,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,36000,49000,44000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2494,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 2495,0 +va (VaSet +) +xt "47600,43700,50000,44700" +st "Board" +blo "47600,44500" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 2496,0 +va (VaSet +) +xt "47600,44700,49600,45700" +st "DFF" +blo "47600,45500" +tm "CptNameMgr" +) +*77 (Text +uid 2497,0 +va (VaSet +) +xt "47600,45700,48600,46700" +st "I9" +blo "47600,46500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2498,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2499,0 +text (MLText +uid 2500,0 +va (VaSet +) +xt "20000,33000,20000,33000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 2538,0 +decl (Decl +n "morseIn" +t "std_uLogic" +o 10 +suid 30,0 +) +declText (MLText +uid 2539,0 +va (VaSet +) +xt "-1000,9200,13600,10400" +st "morseIn : std_uLogic" +) +) +*79 (PortIoIn +uid 2540,0 +shape (CompositeShape +uid 2541,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2542,0 +sl 0 +ro 270 +xt "32000,37625,33500,38375" +) +(Line +uid 2543,0 +sl 0 +ro 270 +xt "33500,38000,34000,38000" +pts [ +"33500,38000" +"34000,38000" +] +) +] +) +tg (WTG +uid 2544,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2545,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "25700,37350,31000,38850" +st "morseIn" +ju 2 +blo "31000,38550" +tm "WireNameMgr" +) +) +) +*80 (Net +uid 2552,0 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 11 +suid 32,0 +) +declText (MLText +uid 2553,0 +va (VaSet +) +xt "-1000,24200,19900,25400" +st "SIGNAL morseIn_synch : std_uLogic" +) +) +*81 (Net +uid 2554,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 12 +suid 33,0 +) +declText (MLText +uid 2555,0 +va (VaSet +) +xt "-1000,12200,12400,13400" +st "TxD : std_ulogic" +) +) +*82 (PortIoOut +uid 2562,0 +shape (CompositeShape +uid 2563,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2564,0 +sl 0 +ro 270 +xt "98500,44625,100000,45375" +) +(Line +uid 2565,0 +sl 0 +ro 270 +xt "98000,45000,98500,45000" +pts [ +"98000,45000" +"98500,45000" +] +) +] +) +tg (WTG +uid 2566,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2567,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,44350,103800,45850" +st "TxD" +blo "101000,45550" +tm "WireNameMgr" +) +) +) +*83 (Net +uid 2568,0 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 13 +suid 34,0 +) +declText (MLText +uid 2569,0 +va (VaSet +) +xt "-1000,13200,15000,14400" +st "morseEnvelope : std_ulogic" +) +) +*84 (PortIoOut +uid 2576,0 +shape (CompositeShape +uid 2577,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2578,0 +sl 0 +ro 270 +xt "98500,48625,100000,49375" +) +(Line +uid 2579,0 +sl 0 +ro 270 +xt "98000,49000,98500,49000" +pts [ +"98000,49000" +"98500,49000" +] +) +] +) +tg (WTG +uid 2580,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2581,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,48350,111100,49850" +st "morseEnvelope" +blo "101000,49550" +tm "WireNameMgr" +) +) +) +*85 (Net +uid 2598,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 13 +suid 35,0 +) +declText (MLText +uid 2599,0 +va (VaSet +) +xt "-1000,23200,17300,24400" +st "SIGNAL logic1 : std_uLogic" +) +) +*86 (SaComponent +uid 2661,0 +optionalChildren [ +*87 (CptPort +uid 2641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2642,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,44625,66000,45375" +) +tg (CPTG +uid 2643,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2644,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,44700,72300,45900" +st "morseCode" +blo "67000,45600" +) +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*88 (CptPort +uid 2645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,48625,66000,49375" +) +tg (CPTG +uid 2647,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2648,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,48400,69700,49600" +st "clock" +blo "67000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*89 (CptPort +uid 2649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2650,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,50625,66000,51375" +) +tg (CPTG +uid 2651,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2652,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,50400,69600,51600" +st "reset" +blo "67000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*90 (CptPort +uid 2653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2654,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,44625,82750,45375" +) +tg (CPTG +uid 2655,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2656,0 +va (VaSet +font "Arial,9,0" +) +xt "78800,44700,81000,45900" +st "TxD" +ju 2 +blo "81000,45600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*91 (CptPort +uid 2657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2658,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,48625,82750,49375" +) +tg (CPTG +uid 2659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2660,0 +va (VaSet +) +xt "75100,48550,81000,49550" +st "morseEnvelope" +ju 2 +blo "81000,49350" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 2662,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "66000,41000,82000,53000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 2663,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 2664,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,52800,69800,53900" +st "Morse" +blo "66600,53700" +tm "BdLibraryNameMgr" +) +*93 (Text +uid 2665,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,53900,74200,55000" +st "morseDecoder" +blo "66600,54800" +tm "CptNameMgr" +) +*94 (Text +uid 2666,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,55000,69500,56100" +st "I_dec" +blo "66600,55900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2667,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2668,0 +text (MLText +uid 2669,0 +va (VaSet +) +xt "66000,56600,94900,63800" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) +deglitchBitNb = deglitchBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*95 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "62000,21000,65250,21000" +pts [ +"62000,21000" +"65250,21000" +] +) +end &30 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "62000,19600,65500,21100" +st "clock" +blo "62000,20800" +tm "WireNameMgr" +) +) +on &1 +) +*96 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "62000,23000,65250,23000" +pts [ +"62000,23000" +"65250,23000" +] +) +end &31 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Arial,12,0" +) +xt "59000,21600,66500,23100" +st "resetSynch" +blo "59000,22800" +tm "WireNameMgr" +) +) +on &14 +) +*97 (Wire +uid 1965,0 +shape (OrthoPolyLine +uid 1966,0 +va (VaSet +vasetType 3 +) +xt "82750,17000,98000,17000" +pts [ +"82750,17000" +"98000,17000" +] +) +start &29 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1967,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1968,0 +va (VaSet +font "Arial,12,0" +) +xt "91000,15600,97200,17100" +st "morseOut" +blo "91000,16800" +tm "WireNameMgr" +) +) +on &36 +) +*98 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "41000,13000,43000,13000" +pts [ +"41000,13000" +"43000,13000" +] +) +end &17 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1999,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2000,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,11600,42500,13100" +st "clock" +blo "39000,12800" +tm "WireNameMgr" +) +) +on &1 +) +*99 (Wire +uid 2003,0 +optionalChildren [ +*100 (BdJunction +uid 2029,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2030,0 +va (VaSet +vasetType 1 +) +xt "56600,8600,57400,9400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2004,0 +va (VaSet +vasetType 3 +) +xt "49000,9000,65250,17000" +pts [ +"65250,17000" +"57000,17000" +"57000,9000" +"49000,9000" +] +) +start &32 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2005,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2006,0 +va (VaSet +font "Arial,12,0" +) +xt "51000,7600,57900,9100" +st "RxD_synch" +blo "51000,8800" +tm "WireNameMgr" +) +) +on &25 +) +*101 (Wire +uid 2007,0 +shape (OrthoPolyLine +uid 2008,0 +va (VaSet +vasetType 3 +) +xt "34000,9000,43000,9000" +pts [ +"34000,9000" +"43000,9000" +] +) +start &26 +end &16 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2014,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,7600,38000,9100" +st "RxD" +blo "35000,8800" +tm "WireNameMgr" +) +) +on &24 +) +*102 (Wire +uid 2023,0 +shape (OrthoPolyLine +uid 2024,0 +va (VaSet +vasetType 3 +) +xt "57000,9000,98000,9000" +pts [ +"57000,9000" +"98000,9000" +] +) +start &100 +end &27 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2027,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2028,0 +va (VaSet +font "Arial,12,0" +) +xt "91000,7600,97900,9100" +st "RxD_synch" +blo "91000,8800" +tm "WireNameMgr" +) +) +on &25 +) +*103 (Wire +uid 2043,0 +shape (OrthoPolyLine +uid 2044,0 +va (VaSet +vasetType 3 +) +xt "41000,15000,46000,17000" +pts [ +"41000,17000" +"46000,17000" +"46000,15000" +] +) +end &19 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,15600,45500,17100" +st "resetSynch" +blo "38000,16800" +tm "WireNameMgr" +) +) +on &14 +) +*104 (Wire +uid 2444,0 +shape (OrthoPolyLine +uid 2445,0 +va (VaSet +vasetType 3 +) +xt "38000,59000,47000,61000" +pts [ +"38000,61000" +"47000,61000" +"47000,59000" +] +) +start &46 +end &54 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2447,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,59600,43200,61100" +st "reset1" +blo "39000,60800" +tm "WireNameMgr" +) +) +on &67 +) +*105 (Wire +uid 2448,0 +shape (OrthoPolyLine +uid 2449,0 +va (VaSet +vasetType 3 +) +xt "27000,61000,32092,61000" +pts [ +"27000,61000" +"32092,61000" +] +) +start &38 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2451,0 +va (VaSet +font "Arial,12,0" +) +xt "26000,59600,31100,61100" +st "reset_N" +blo "26000,60800" +tm "WireNameMgr" +) +) +on &68 +) +*106 (Wire +uid 2452,0 +shape (OrthoPolyLine +uid 2453,0 +va (VaSet +vasetType 3 +) +xt "39000,53000,44000,53000" +pts [ +"44000,53000" +"39000,53000" +] +) +start &51 +end &39 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2456,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2457,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,51600,44000,53100" +st "logic1" +blo "40000,52800" +tm "WireNameMgr" +) +) +on &85 +) +*107 (Wire +uid 2458,0 +shape (OrthoPolyLine +uid 2459,0 +va (VaSet +vasetType 3 +) +xt "50000,53000,54092,53000" +pts [ +"50000,53000" +"54092,53000" +] +) +start &55 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2460,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2461,0 +va (VaSet +font "Arial,12,0" +) +xt "49000,51600,58300,53100" +st "resetSnch_N1" +blo "49000,52800" +tm "WireNameMgr" +) +) +on &66 +) +*108 (Wire +uid 2462,0 +shape (OrthoPolyLine +uid 2463,0 +va (VaSet +vasetType 3 +) +xt "27000,49000,65250,49000" +pts [ +"27000,49000" +"65250,49000" +] +) +start &37 +end &88 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Arial,12,0" +) +xt "27000,47600,30500,49100" +st "clock" +blo "27000,48800" +tm "WireNameMgr" +) +) +on &1 +) +*109 (Wire +uid 2468,0 +shape (OrthoPolyLine +uid 2469,0 +va (VaSet +vasetType 3 +) +xt "42000,57000,44000,57000" +pts [ +"42000,57000" +"44000,57000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2472,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2473,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,55600,43500,57100" +st "clock" +blo "40000,56800" +tm "WireNameMgr" +) +) +on &1 +) +*110 (Wire +uid 2484,0 +shape (OrthoPolyLine +uid 2485,0 +va (VaSet +vasetType 3 +) +xt "60000,51000,65250,53000" +pts [ +"60000,53000" +"63000,53000" +"63000,51000" +"65250,51000" +] +) +start &62 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2490,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2491,0 +va (VaSet +font "Arial,12,0" +) +xt "59000,49600,66500,51100" +st "resetSynch" +blo "59000,50800" +tm "WireNameMgr" +) +) +on &14 +) +*111 (Wire +uid 2518,0 +shape (OrthoPolyLine +uid 2519,0 +va (VaSet +vasetType 3 +) +xt "41000,42000,43000,42000" +pts [ +"41000,42000" +"43000,42000" +] +) +end &71 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2522,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2523,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,40600,42500,42100" +st "clock" +blo "39000,41800" +tm "WireNameMgr" +) +) +on &1 +) +*112 (Wire +uid 2524,0 +shape (OrthoPolyLine +uid 2525,0 +va (VaSet +vasetType 3 +) +xt "41000,44000,46000,46000" +pts [ +"41000,46000" +"46000,46000" +"46000,44000" +] +) +end &73 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2528,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2529,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,44600,45500,46100" +st "resetSynch" +blo "38000,45800" +tm "WireNameMgr" +) +) +on &14 +) +*113 (Wire +uid 2532,0 +shape (OrthoPolyLine +uid 2533,0 +va (VaSet +vasetType 3 +) +xt "34000,38000,43000,38000" +pts [ +"34000,38000" +"43000,38000" +] +) +start &79 +end &70 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2537,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,36700,41300,38200" +st "morseIn" +blo "36000,37900" +tm "WireNameMgr" +) +) +on &78 +) +*114 (Wire +uid 2548,0 +shape (OrthoPolyLine +uid 2549,0 +va (VaSet +vasetType 3 +) +xt "49000,38000,65250,45000" +pts [ +"49000,38000" +"57000,38000" +"57000,45000" +"65250,45000" +] +) +start &74 +end &87 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2550,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2551,0 +va (VaSet +font "Arial,12,0" +) +xt "51000,36700,60900,38200" +st "morseIn_synch" +blo "51000,37900" +tm "WireNameMgr" +) +) +on &80 +) +*115 (Wire +uid 2556,0 +shape (OrthoPolyLine +uid 2557,0 +va (VaSet +vasetType 3 +) +xt "82750,45000,98000,45000" +pts [ +"82750,45000" +"98000,45000" +] +) +start &90 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2560,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2561,0 +va (VaSet +font "Arial,12,0" +) +xt "96000,43700,98800,45200" +st "TxD" +blo "96000,44900" +tm "WireNameMgr" +) +) +on &81 +) +*116 (Wire +uid 2570,0 +shape (OrthoPolyLine +uid 2571,0 +va (VaSet +vasetType 3 +) +xt "82750,49000,98000,49000" +pts [ +"82750,49000" +"98000,49000" +] +) +start &91 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2574,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2575,0 +va (VaSet +font "Arial,12,0" +) +xt "89000,47700,99100,49200" +st "morseEnvelope" +blo "89000,48900" +tm "WireNameMgr" +) +) +on &83 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *117 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*119 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*121 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*122 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*123 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*124 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*125 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*126 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4567,-1603,137994,75855" +cachedDiagramExtent "-3000,0,340751,321398" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2786,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*128 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*129 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*131 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*132 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*134 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*135 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*137 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*138 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*140 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*141 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*143 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*145 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*147 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,5200,2400,6200" +st "Declarations" +blo "-3000,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,6200,-300,7200" +st "Ports:" +blo "-3000,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,15200,800,16200" +st "Pre User:" +blo "-3000,16000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,16200,36700,23400" +st "constant clockFrequency: real := 66.0E6; +constant uartBaudRate: real := 9.6E3; +constant uartDataBitNb: positive := 7; +constant morseUnitDuration: real := 100.0E-3; -- 1/2 * 10 Hz +constant morseToneFrequency: real := 3135.96; -- sol 3eme octave +constant deglitchBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,22200,4100,23200" +st "Diagram Signals:" +blo "-3000,23000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,5200,1700,6200" +st "Post User:" +blo "-3000,6000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,5200,-3000,5200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 35,0 +usingSuid 1 +emptyRow *148 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*149 (RefLabelRowHdr +) +*150 (TitleRowHdr +) +*151 (FilterRowHdr +) +*152 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*153 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*154 (GroupColHdr +tm "GroupColHdrMgr" +) +*155 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*156 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*157 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*158 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*159 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*160 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*161 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 1377,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +*163 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 21,0 +) +) +uid 2039,0 +) +*164 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 4 +suid 22,0 +) +) +uid 2041,0 +) +*165 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 5 +suid 23,0 +) +) +uid 2264,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N1" +t "std_ulogic" +o 11 +suid 25,0 +) +) +uid 2582,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset1" +t "std_ulogic" +o 12 +suid 26,0 +) +) +uid 2584,0 +) +*168 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 10 +suid 28,0 +) +) +uid 2588,0 +) +*169 (LeafLogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 10 +suid 30,0 +) +) +uid 2590,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 11 +suid 32,0 +) +) +uid 2592,0 +) +*171 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 12 +suid 33,0 +) +) +uid 2594,0 +) +*172 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 13 +suid 34,0 +) +) +uid 2596,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 13 +suid 35,0 +) +) +uid 2600,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*174 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *175 (MRCItem +litem &148 +pos 13 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*176 (MRCItem +litem &149 +pos 0 +dimension 20 +uid 1422,0 +) +*177 (MRCItem +litem &150 +pos 1 +dimension 23 +uid 1423,0 +) +*178 (MRCItem +litem &151 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*179 (MRCItem +litem &161 +pos 0 +dimension 20 +uid 1378,0 +) +*180 (MRCItem +litem &162 +pos 3 +dimension 20 +uid 1400,0 +) +*181 (MRCItem +litem &163 +pos 1 +dimension 20 +uid 2040,0 +) +*182 (MRCItem +litem &164 +pos 2 +dimension 20 +uid 2042,0 +) +*183 (MRCItem +litem &165 +pos 4 +dimension 20 +uid 2265,0 +) +*184 (MRCItem +litem &166 +pos 5 +dimension 20 +uid 2583,0 +) +*185 (MRCItem +litem &167 +pos 6 +dimension 20 +uid 2585,0 +) +*186 (MRCItem +litem &168 +pos 7 +dimension 20 +uid 2589,0 +) +*187 (MRCItem +litem &169 +pos 8 +dimension 20 +uid 2591,0 +) +*188 (MRCItem +litem &170 +pos 9 +dimension 20 +uid 2593,0 +) +*189 (MRCItem +litem &171 +pos 10 +dimension 20 +uid 2595,0 +) +*190 (MRCItem +litem &172 +pos 11 +dimension 20 +uid 2597,0 +) +*191 (MRCItem +litem &173 +pos 12 +dimension 20 +uid 2601,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*192 (MRCItem +litem &152 +pos 0 +dimension 20 +uid 1426,0 +) +*193 (MRCItem +litem &154 +pos 1 +dimension 50 +uid 1427,0 +) +*194 (MRCItem +litem &155 +pos 2 +dimension 100 +uid 1428,0 +) +*195 (MRCItem +litem &156 +pos 3 +dimension 50 +uid 1429,0 +) +*196 (MRCItem +litem &157 +pos 4 +dimension 100 +uid 1430,0 +) +*197 (MRCItem +litem &158 +pos 5 +dimension 100 +uid 1431,0 +) +*198 (MRCItem +litem &159 +pos 6 +dimension 50 +uid 1432,0 +) +*199 (MRCItem +litem &160 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *200 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*201 (RefLabelRowHdr +) +*202 (TitleRowHdr +) +*203 (FilterRowHdr +) +*204 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*205 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*206 (GroupColHdr +tm "GroupColHdrMgr" +) +*207 (NameColHdr +tm "GenericNameColHdrMgr" +) +*208 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*209 (InitColHdr +tm "GenericValueColHdrMgr" +) +*210 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*211 (EolColHdr +tm "GenericEolColHdrMgr" +) +*212 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*213 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *214 (MRCItem +litem &200 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*215 (MRCItem +litem &201 +pos 0 +dimension 20 +uid 1450,0 +) +*216 (MRCItem +litem &202 +pos 1 +dimension 23 +uid 1451,0 +) +*217 (MRCItem +litem &203 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*218 (MRCItem +litem &212 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*219 (MRCItem +litem &204 +pos 0 +dimension 20 +uid 1454,0 +) +*220 (MRCItem +litem &206 +pos 1 +dimension 50 +uid 1455,0 +) +*221 (MRCItem +litem &207 +pos 2 +dimension 100 +uid 1456,0 +) +*222 (MRCItem +litem &208 +pos 3 +dimension 100 +uid 1457,0 +) +*223 (MRCItem +litem &209 +pos 4 +dimension 50 +uid 1458,0 +) +*224 (MRCItem +litem &210 +pos 5 +dimension 50 +uid 1459,0 +) +*225 (MRCItem +litem &211 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Board/hds/morse_circuit/symbol.sb b/05-Morse/Board/hds/morse_circuit/symbol.sb new file mode 100644 index 0000000..eec51af --- /dev/null +++ b/05-Morse/Board/hds/morse_circuit/symbol.sb @@ -0,0 +1,1825 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2012,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 4 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 168,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 360,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 362,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 517,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 2010,0 +) +) +uid 547,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 2011,0 +) +) +uid 549,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 2012,0 +) +) +uid 551,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 107,0 +) +*25 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 109,0 +) +*26 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*27 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 130,0 +) +*28 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 359,0 +) +*30 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 361,0 +) +*31 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 516,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 546,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 548,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 550,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*35 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 113,0 +) +*36 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 117,0 +) +*37 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 119,0 +) +*38 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 121,0 +) +*39 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 123,0 +) +*40 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 125,0 +) +*41 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 127,0 +) +*42 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 160,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 136,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 145,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 149,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 151,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 153,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 155,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 157,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morse_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morse_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:49:40" +) +(vvPair +variable "unit" +value "morse_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,17400,35700,18600" +st "clock" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +) +xt "2000,12000,19200,13200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*71 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,19400,36800,20600" +st "reset_N" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +) +xt "2000,14000,19900,15200" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 4 +suid 2,0 +) +) +) +*72 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 371,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,20400,35400,21600" +st "RxD" +blo "33000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +) +xt "2000,11000,19200,12200" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*73 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +va (VaSet +font "Arial,9,0" +) +xt "41500,11400,47000,12600" +st "RxD_synch" +ju 2 +blo "47000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +) +xt "2000,15000,21800,16200" +st "RxD_synch : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*74 (CptPort +uid 518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 519,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,12625,48750,13375" +) +tg (CPTG +uid 520,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 521,0 +va (VaSet +) +xt "43300,12500,47000,13500" +st "morseOut" +ju 2 +blo "47000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 522,0 +va (VaSet +) +xt "2000,18000,20700,19200" +st "morseOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +*75 (CptPort +uid 552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 554,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 555,0 +va (VaSet +) +xt "33000,21500,36100,22500" +st "morseIn" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 556,0 +va (VaSet +) +xt "2000,13000,20400,14200" +st "morseIn : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 2010,0 +) +) +) +*76 (CptPort +uid 557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 560,0 +va (VaSet +) +xt "45200,13500,47000,14500" +st "TxD" +ju 2 +blo "47000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 561,0 +va (VaSet +) +xt "2000,16000,20000,17200" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 2011,0 +) +) +) +*77 (CptPort +uid 562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 563,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,14625,48750,15375" +) +tg (CPTG +uid 564,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 565,0 +va (VaSet +) +xt "41100,14500,47000,15500" +st "morseEnvelope" +ju 2 +blo "47000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 566,0 +va (VaSet +) +xt "2000,17000,22600,18200" +st "morseEnvelope : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,21800,35900,22900" +st "Board" +blo "32600,22700" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,22900,39800,24000" +st "morse_circuit" +blo "32600,23800" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "32000,25600,44700,29200" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-1040,75120,50530" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Arial,9,0" +) +xt "200,200,2500,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Arial,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,19000,2400,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 796,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/05-Morse/Board/hds/morse_ebs2/struct.bd b/05-Morse/Board/hds/morse_ebs2/struct.bd new file mode 100644 index 0000000..5e586e4 --- /dev/null +++ b/05-Morse/Board/hds/morse_ebs2/struct.bd @@ -0,0 +1,5453 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I7" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1969,0 +) +(Instance +name "I_enc" +duLibraryName "Morse" +duName "morseEncoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +mwi 0 +uid 2160,0 +) +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2378,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 2398,0 +) +(Instance +name "I4" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2424,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 2492,0 +) +(Instance +name "I_dec" +duLibraryName "Morse" +duName "morseDecoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +mwi 0 +uid 2661,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morse_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morse_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:49:40" +) +(vvPair +variable "unit" +value "morse_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8200,12400,9400" +st "clock : std_ulogic" +) +) +*2 (Grouping +uid 51,0 +optionalChildren [ +*3 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,73000,86000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,73500,69200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,69000,90000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,69500,86200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,71000,86000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,71500,69200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,71000,69000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,71500,65200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "86000,70000,106000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "86200,70200,100300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*8 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90000,69000,106000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "90200,69500,90200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,69000,86000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "70350,69400,80650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,72000,69000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,72500,65200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,69000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73500,65200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,72000,86000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "69200,72500,69200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "65000,69000,106000,74000" +) +oxt "14000,66000,55000,71000" +) +*13 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "98500,16625,100000,17375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "98000,17000,98500,17000" +pts [ +"98000,17000" +"98500,17000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,16300,107200,17800" +st "morseOut" +blo "101000,17500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,27200,18400,28400" +st "SIGNAL resetSynch : std_ulogic" +) +) +*15 (SaComponent +uid 1969,0 +optionalChildren [ +*16 (CptPort +uid 1978,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1979,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,8625,43000,9375" +) +tg (CPTG +uid 1980,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1981,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,8300,45600,9800" +st "D" +blo "44000,9500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*17 (CptPort +uid 1982,0 +optionalChildren [ +*18 (FFT +pts [ +"43750,13000" +"43000,13375" +"43000,12625" +] +uid 1986,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,12625,43750,13375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1983,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,12625,43000,13375" +) +tg (CPTG +uid 1984,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1985,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,12400,47100,13900" +st "CLK" +blo "44000,13600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 1987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1988,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45625,15000,46375,15750" +) +tg (CPTG +uid 1989,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1990,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,13600,48200,15100" +st "CLR" +blo "45000,14800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*20 (CptPort +uid 1991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1992,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49000,8625,49750,9375" +) +tg (CPTG +uid 1993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1994,0 +va (VaSet +font "Arial,12,0" +) +xt "46400,8300,48000,9800" +st "Q" +ju 2 +blo "48000,9500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1970,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,7000,49000,15000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1971,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 1972,0 +va (VaSet +) +xt "47600,14700,50000,15700" +st "Board" +blo "47600,15500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 1973,0 +va (VaSet +) +xt "47600,15700,49600,16700" +st "DFF" +blo "47600,16500" +tm "CptNameMgr" +) +*23 (Text +uid 1974,0 +va (VaSet +) +xt "47600,16700,48600,17700" +st "I7" +blo "47600,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1975,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1976,0 +text (MLText +uid 1977,0 +va (VaSet +) +xt "20000,4000,20000,4000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 2001,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 21,0 +) +declText (MLText +uid 2002,0 +va (VaSet +) +xt "-1000,7200,12400,8400" +st "RxD : std_ulogic" +) +) +*25 (Net +uid 2015,0 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 4 +suid 22,0 +) +declText (MLText +uid 2016,0 +va (VaSet +) +xt "-1000,11200,14200,12400" +st "RxD_synch : std_ulogic" +) +) +*26 (PortIoIn +uid 2017,0 +shape (CompositeShape +uid 2018,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2019,0 +sl 0 +ro 270 +xt "32000,8625,33500,9375" +) +(Line +uid 2020,0 +sl 0 +ro 270 +xt "33500,9000,34000,9000" +pts [ +"33500,9000" +"34000,9000" +] +) +] +) +tg (WTG +uid 2021,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2022,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "28000,8300,31000,9800" +st "RxD" +ju 2 +blo "31000,9500" +tm "WireNameMgr" +) +) +) +*27 (PortIoOut +uid 2031,0 +shape (CompositeShape +uid 2032,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2033,0 +sl 0 +ro 270 +xt "98500,8625,100000,9375" +) +(Line +uid 2034,0 +sl 0 +ro 270 +xt "98000,9000,98500,9000" +pts [ +"98000,9000" +"98500,9000" +] +) +] +) +tg (WTG +uid 2035,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2036,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,8300,107900,9800" +st "RxD_synch" +blo "101000,9500" +tm "WireNameMgr" +) +) +) +*28 (SaComponent +uid 2160,0 +optionalChildren [ +*29 (CptPort +uid 2144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,16625,82750,17375" +) +tg (CPTG +uid 2146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2147,0 +va (VaSet +font "Arial,9,0" +) +xt "75700,16400,81000,17600" +st "morseCode" +ju 2 +blo "81000,17300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*30 (CptPort +uid 2148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,20625,66000,21375" +) +tg (CPTG +uid 2150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2151,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,20400,69700,21600" +st "clock" +blo "67000,21300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*31 (CptPort +uid 2152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,22625,66000,23375" +) +tg (CPTG +uid 2154,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2155,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,22400,69600,23600" +st "reset" +blo "67000,23300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*32 (CptPort +uid 2156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,16625,66000,17375" +) +tg (CPTG +uid 2158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2159,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,16400,69400,17600" +st "RxD" +blo "67000,17300" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +] +shape (Rectangle +uid 2161,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "66000,13000,82000,25000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 2162,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 2163,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,24800,69800,25900" +st "Morse" +blo "66600,25700" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 2164,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,25900,74200,27000" +st "morseEncoder" +blo "66600,26800" +tm "CptNameMgr" +) +*35 (Text +uid 2165,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,27000,69500,28100" +st "I_enc" +blo "66600,27900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2166,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2167,0 +text (MLText +uid 2168,0 +va (VaSet +) +xt "66000,28000,94900,34000" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*36 (Net +uid 2262,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 5 +suid 23,0 +) +declText (MLText +uid 2263,0 +va (VaSet +) +xt "-1000,14200,13800,15400" +st "morseOut : std_ulogic" +) +) +*37 (PortIoIn +uid 2357,0 +shape (CompositeShape +uid 2358,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2359,0 +sl 0 +ro 270 +xt "25000,48625,26500,49375" +) +(Line +uid 2360,0 +sl 0 +ro 270 +xt "26500,49000,27000,49000" +pts [ +"26500,49000" +"27000,49000" +] +) +] +) +tg (WTG +uid 2361,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2362,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "20500,48350,24000,49850" +st "clock" +ju 2 +blo "24000,49550" +tm "WireNameMgr" +) +) +) +*38 (PortIoIn +uid 2363,0 +shape (CompositeShape +uid 2364,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2365,0 +sl 0 +ro 270 +xt "25000,60625,26500,61375" +) +(Line +uid 2366,0 +sl 0 +ro 270 +xt "26500,61000,27000,61000" +pts [ +"26500,61000" +"27000,61000" +] +) +] +) +tg (WTG +uid 2367,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2368,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "18900,60350,24000,61850" +st "reset_N" +ju 2 +blo "24000,61550" +tm "WireNameMgr" +) +) +) +*39 (HdlText +uid 2369,0 +optionalChildren [ +*40 (EmbeddedText +uid 2374,0 +commentText (CommentText +uid 2375,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2376,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "32000,52000,38000,54000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2377,0 +va (VaSet +) +xt "32200,52200,37700,53400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 2370,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "31000,51000,39000,55000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2371,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 2372,0 +va (VaSet +) +xt "31400,55000,33000,56000" +st "eb5" +blo "31400,55800" +tm "HdlTextNameMgr" +) +*42 (Text +uid 2373,0 +va (VaSet +) +xt "31400,56000,32200,57000" +st "5" +blo "31400,56800" +tm "HdlTextNumberMgr" +) +] +) +) +*43 (SaComponent +uid 2378,0 +optionalChildren [ +*44 (CptPort +uid 2387,0 +optionalChildren [ +*45 (Circle +uid 2392,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32092,60546,33000,61454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2388,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "31342,60625,32092,61375" +) +tg (CPTG +uid 2389,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2390,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "33000,60500,35400,62000" +st "in1" +blo "33000,61700" +) +s (Text +uid 2391,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "33000,61900,33000,61900" +blo "33000,61900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 2393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2394,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38000,60625,38750,61375" +) +tg (CPTG +uid 2395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2396,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "34650,60500,37750,62000" +st "out1" +ju 2 +blo "37750,61700" +) +s (Text +uid 2397,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "37750,61900,37750,61900" +ju 2 +blo "37750,61900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2379,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,58000,38000,64000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 2380,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 2381,0 +va (VaSet +isHidden 1 +) +xt "57460,63700,59860,64700" +st "Board" +blo "57460,64500" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 2382,0 +va (VaSet +isHidden 1 +) +xt "57460,64700,61360,65700" +st "inverterIn" +blo "57460,65500" +tm "CptNameMgr" +) +*49 (Text +uid 2383,0 +va (VaSet +isHidden 1 +) +xt "57460,64700,58460,65700" +st "I3" +blo "57460,65500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2384,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2385,0 +text (MLText +uid 2386,0 +va (VaSet +) +xt "33000,64400,33000,64400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*50 (SaComponent +uid 2398,0 +optionalChildren [ +*51 (CptPort +uid 2407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2408,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,52625,44000,53375" +) +tg (CPTG +uid 2409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2410,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,52300,46600,53800" +st "D" +blo "45000,53500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*52 (CptPort +uid 2411,0 +optionalChildren [ +*53 (FFT +pts [ +"44750,57000" +"44000,57375" +"44000,56625" +] +uid 2415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,56625,44750,57375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2412,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43250,56625,44000,57375" +) +tg (CPTG +uid 2413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2414,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,56400,48100,57900" +st "CLK" +blo "45000,57600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*54 (CptPort +uid 2416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2417,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46625,59000,47375,59750" +) +tg (CPTG +uid 2418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2419,0 +va (VaSet +font "Arial,12,0" +) +xt "46000,57600,49200,59100" +st "CLR" +blo "46000,58800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*55 (CptPort +uid 2420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2421,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,52625,50750,53375" +) +tg (CPTG +uid 2422,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +font "Arial,12,0" +) +xt "47400,52300,49000,53800" +st "Q" +ju 2 +blo "49000,53500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,51000,50000,59000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2400,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 2401,0 +va (VaSet +) +xt "48600,58700,51000,59700" +st "Board" +blo "48600,59500" +tm "BdLibraryNameMgr" +) +*57 (Text +uid 2402,0 +va (VaSet +) +xt "48600,59700,50600,60700" +st "DFF" +blo "48600,60500" +tm "CptNameMgr" +) +*58 (Text +uid 2403,0 +va (VaSet +) +xt "48600,60700,49600,61700" +st "I8" +blo "48600,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2404,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2405,0 +text (MLText +uid 2406,0 +va (VaSet +) +xt "21000,48000,21000,48000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*59 (SaComponent +uid 2424,0 +optionalChildren [ +*60 (CptPort +uid 2433,0 +optionalChildren [ +*61 (Circle +uid 2438,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "54092,52546,55000,53454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2434,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "53342,52625,54092,53375" +) +tg (CPTG +uid 2435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2436,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,52500,57400,54000" +st "in1" +blo "55000,53700" +) +s (Text +uid 2437,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "55000,53900,55000,53900" +blo "55000,53900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*62 (CptPort +uid 2439,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2440,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "60000,52625,60750,53375" +) +tg (CPTG +uid 2441,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2442,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "337651,319898,340751,321398" +st "out1" +ju 2 +blo "340751,321098" +) +s (Text +uid 2443,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "340751,321198,340751,321198" +ju 2 +blo "340751,321198" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,50000,60000,56000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 2426,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 2427,0 +va (VaSet +isHidden 1 +) +xt "79460,55700,81860,56700" +st "Board" +blo "79460,56500" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 2428,0 +va (VaSet +isHidden 1 +) +xt "79460,56700,83360,57700" +st "inverterIn" +blo "79460,57500" +tm "CptNameMgr" +) +*65 (Text +uid 2429,0 +va (VaSet +isHidden 1 +) +xt "79460,56700,80460,57700" +st "I4" +blo "79460,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2430,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2431,0 +text (MLText +uid 2432,0 +va (VaSet +) +xt "55000,56400,55000,56400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*66 (Net +uid 2476,0 +decl (Decl +n "resetSnch_N1" +t "std_ulogic" +o 11 +suid 25,0 +) +declText (MLText +uid 2477,0 +va (VaSet +) +xt "-1000,26200,19300,27400" +st "SIGNAL resetSnch_N1 : std_ulogic" +) +) +*67 (Net +uid 2478,0 +decl (Decl +n "reset1" +t "std_ulogic" +o 12 +suid 26,0 +) +declText (MLText +uid 2479,0 +va (VaSet +) +xt "-1000,25200,17200,26400" +st "SIGNAL reset1 : std_ulogic" +) +) +*68 (Net +uid 2482,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 10 +suid 28,0 +) +declText (MLText +uid 2483,0 +va (VaSet +) +xt "-1000,10200,13100,11400" +st "reset_N : std_ulogic" +) +) +*69 (SaComponent +uid 2492,0 +optionalChildren [ +*70 (CptPort +uid 2501,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2502,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,37625,43000,38375" +) +tg (CPTG +uid 2503,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2504,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,37300,45600,38800" +st "D" +blo "44000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*71 (CptPort +uid 2505,0 +optionalChildren [ +*72 (FFT +pts [ +"43750,42000" +"43000,42375" +"43000,41625" +] +uid 2509,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,41625,43750,42375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2506,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42250,41625,43000,42375" +) +tg (CPTG +uid 2507,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2508,0 +va (VaSet +font "Arial,12,0" +) +xt "44000,41400,47100,42900" +st "CLK" +blo "44000,42600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*73 (CptPort +uid 2510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2511,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45625,44000,46375,44750" +) +tg (CPTG +uid 2512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2513,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,42600,48200,44100" +st "CLR" +blo "45000,43800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*74 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2515,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49000,37625,49750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Arial,12,0" +) +xt "46400,37300,48000,38800" +st "Q" +ju 2 +blo "48000,38500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2493,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,36000,49000,44000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2494,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 2495,0 +va (VaSet +) +xt "47600,43700,50000,44700" +st "Board" +blo "47600,44500" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 2496,0 +va (VaSet +) +xt "47600,44700,49600,45700" +st "DFF" +blo "47600,45500" +tm "CptNameMgr" +) +*77 (Text +uid 2497,0 +va (VaSet +) +xt "47600,45700,48600,46700" +st "I9" +blo "47600,46500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2498,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2499,0 +text (MLText +uid 2500,0 +va (VaSet +) +xt "20000,33000,20000,33000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 2538,0 +decl (Decl +n "morseIn" +t "std_uLogic" +o 10 +suid 30,0 +) +declText (MLText +uid 2539,0 +va (VaSet +) +xt "-1000,9200,13600,10400" +st "morseIn : std_uLogic" +) +) +*79 (PortIoIn +uid 2540,0 +shape (CompositeShape +uid 2541,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2542,0 +sl 0 +ro 270 +xt "32000,37625,33500,38375" +) +(Line +uid 2543,0 +sl 0 +ro 270 +xt "33500,38000,34000,38000" +pts [ +"33500,38000" +"34000,38000" +] +) +] +) +tg (WTG +uid 2544,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2545,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "25700,37350,31000,38850" +st "morseIn" +ju 2 +blo "31000,38550" +tm "WireNameMgr" +) +) +) +*80 (Net +uid 2552,0 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 11 +suid 32,0 +) +declText (MLText +uid 2553,0 +va (VaSet +) +xt "-1000,24200,19900,25400" +st "SIGNAL morseIn_synch : std_uLogic" +) +) +*81 (Net +uid 2554,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 12 +suid 33,0 +) +declText (MLText +uid 2555,0 +va (VaSet +) +xt "-1000,12200,12400,13400" +st "TxD : std_ulogic" +) +) +*82 (PortIoOut +uid 2562,0 +shape (CompositeShape +uid 2563,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2564,0 +sl 0 +ro 270 +xt "98500,44625,100000,45375" +) +(Line +uid 2565,0 +sl 0 +ro 270 +xt "98000,45000,98500,45000" +pts [ +"98000,45000" +"98500,45000" +] +) +] +) +tg (WTG +uid 2566,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2567,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,44350,103800,45850" +st "TxD" +blo "101000,45550" +tm "WireNameMgr" +) +) +) +*83 (Net +uid 2568,0 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 13 +suid 34,0 +) +declText (MLText +uid 2569,0 +va (VaSet +) +xt "-1000,13200,15000,14400" +st "morseEnvelope : std_ulogic" +) +) +*84 (PortIoOut +uid 2576,0 +shape (CompositeShape +uid 2577,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2578,0 +sl 0 +ro 270 +xt "98500,48625,100000,49375" +) +(Line +uid 2579,0 +sl 0 +ro 270 +xt "98000,49000,98500,49000" +pts [ +"98000,49000" +"98500,49000" +] +) +] +) +tg (WTG +uid 2580,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2581,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "101000,48350,111100,49850" +st "morseEnvelope" +blo "101000,49550" +tm "WireNameMgr" +) +) +) +*85 (Net +uid 2598,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 13 +suid 35,0 +) +declText (MLText +uid 2599,0 +va (VaSet +) +xt "-1000,23200,17300,24400" +st "SIGNAL logic1 : std_uLogic" +) +) +*86 (SaComponent +uid 2661,0 +optionalChildren [ +*87 (CptPort +uid 2641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2642,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,44625,66000,45375" +) +tg (CPTG +uid 2643,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2644,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,44700,72300,45900" +st "morseCode" +blo "67000,45600" +) +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*88 (CptPort +uid 2645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,48625,66000,49375" +) +tg (CPTG +uid 2647,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2648,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,48400,69700,49600" +st "clock" +blo "67000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*89 (CptPort +uid 2649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2650,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,50625,66000,51375" +) +tg (CPTG +uid 2651,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2652,0 +va (VaSet +font "Arial,9,0" +) +xt "67000,50400,69600,51600" +st "reset" +blo "67000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*90 (CptPort +uid 2653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2654,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,44625,82750,45375" +) +tg (CPTG +uid 2655,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2656,0 +va (VaSet +font "Arial,9,0" +) +xt "78800,44700,81000,45900" +st "TxD" +ju 2 +blo "81000,45600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*91 (CptPort +uid 2657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2658,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,48625,82750,49375" +) +tg (CPTG +uid 2659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2660,0 +va (VaSet +) +xt "75100,48550,81000,49550" +st "morseEnvelope" +ju 2 +blo "81000,49350" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 2662,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "66000,41000,82000,53000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 2663,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 2664,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,52800,69800,53900" +st "Morse" +blo "66600,53700" +tm "BdLibraryNameMgr" +) +*93 (Text +uid 2665,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,53900,74200,55000" +st "morseDecoder" +blo "66600,54800" +tm "CptNameMgr" +) +*94 (Text +uid 2666,0 +va (VaSet +font "Arial,9,1" +) +xt "66600,55000,69500,56100" +st "I_dec" +blo "66600,55900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2667,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2668,0 +text (MLText +uid 2669,0 +va (VaSet +) +xt "66000,56600,94900,63800" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) +deglitchBitNb = deglitchBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*95 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "62000,21000,65250,21000" +pts [ +"62000,21000" +"65250,21000" +] +) +end &30 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Arial,12,0" +) +xt "62000,19600,65500,21100" +st "clock" +blo "62000,20800" +tm "WireNameMgr" +) +) +on &1 +) +*96 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "62000,23000,65250,23000" +pts [ +"62000,23000" +"65250,23000" +] +) +end &31 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Arial,12,0" +) +xt "59000,21600,66500,23100" +st "resetSynch" +blo "59000,22800" +tm "WireNameMgr" +) +) +on &14 +) +*97 (Wire +uid 1965,0 +shape (OrthoPolyLine +uid 1966,0 +va (VaSet +vasetType 3 +) +xt "82750,17000,98000,17000" +pts [ +"82750,17000" +"98000,17000" +] +) +start &29 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1967,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1968,0 +va (VaSet +font "Arial,12,0" +) +xt "91000,15600,97200,17100" +st "morseOut" +blo "91000,16800" +tm "WireNameMgr" +) +) +on &36 +) +*98 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "41000,13000,43000,13000" +pts [ +"41000,13000" +"43000,13000" +] +) +end &17 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1999,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2000,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,11600,42500,13100" +st "clock" +blo "39000,12800" +tm "WireNameMgr" +) +) +on &1 +) +*99 (Wire +uid 2003,0 +optionalChildren [ +*100 (BdJunction +uid 2029,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2030,0 +va (VaSet +vasetType 1 +) +xt "56600,8600,57400,9400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2004,0 +va (VaSet +vasetType 3 +) +xt "49000,9000,65250,17000" +pts [ +"65250,17000" +"57000,17000" +"57000,9000" +"49000,9000" +] +) +start &32 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2005,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2006,0 +va (VaSet +font "Arial,12,0" +) +xt "51000,7600,57900,9100" +st "RxD_synch" +blo "51000,8800" +tm "WireNameMgr" +) +) +on &25 +) +*101 (Wire +uid 2007,0 +shape (OrthoPolyLine +uid 2008,0 +va (VaSet +vasetType 3 +) +xt "34000,9000,43000,9000" +pts [ +"34000,9000" +"43000,9000" +] +) +start &26 +end &16 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2014,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,7600,38000,9100" +st "RxD" +blo "35000,8800" +tm "WireNameMgr" +) +) +on &24 +) +*102 (Wire +uid 2023,0 +shape (OrthoPolyLine +uid 2024,0 +va (VaSet +vasetType 3 +) +xt "57000,9000,98000,9000" +pts [ +"57000,9000" +"98000,9000" +] +) +start &100 +end &27 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2027,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2028,0 +va (VaSet +font "Arial,12,0" +) +xt "91000,7600,97900,9100" +st "RxD_synch" +blo "91000,8800" +tm "WireNameMgr" +) +) +on &25 +) +*103 (Wire +uid 2043,0 +shape (OrthoPolyLine +uid 2044,0 +va (VaSet +vasetType 3 +) +xt "41000,15000,46000,17000" +pts [ +"41000,17000" +"46000,17000" +"46000,15000" +] +) +end &19 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,15600,45500,17100" +st "resetSynch" +blo "38000,16800" +tm "WireNameMgr" +) +) +on &14 +) +*104 (Wire +uid 2444,0 +shape (OrthoPolyLine +uid 2445,0 +va (VaSet +vasetType 3 +) +xt "38000,59000,47000,61000" +pts [ +"38000,61000" +"47000,61000" +"47000,59000" +] +) +start &46 +end &54 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2447,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,59600,43200,61100" +st "reset1" +blo "39000,60800" +tm "WireNameMgr" +) +) +on &67 +) +*105 (Wire +uid 2448,0 +shape (OrthoPolyLine +uid 2449,0 +va (VaSet +vasetType 3 +) +xt "27000,61000,32092,61000" +pts [ +"27000,61000" +"32092,61000" +] +) +start &38 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2451,0 +va (VaSet +font "Arial,12,0" +) +xt "26000,59600,31100,61100" +st "reset_N" +blo "26000,60800" +tm "WireNameMgr" +) +) +on &68 +) +*106 (Wire +uid 2452,0 +shape (OrthoPolyLine +uid 2453,0 +va (VaSet +vasetType 3 +) +xt "39000,53000,44000,53000" +pts [ +"44000,53000" +"39000,53000" +] +) +start &51 +end &39 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2456,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2457,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,51600,44000,53100" +st "logic1" +blo "40000,52800" +tm "WireNameMgr" +) +) +on &85 +) +*107 (Wire +uid 2458,0 +shape (OrthoPolyLine +uid 2459,0 +va (VaSet +vasetType 3 +) +xt "50000,53000,54092,53000" +pts [ +"50000,53000" +"54092,53000" +] +) +start &55 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2460,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2461,0 +va (VaSet +font "Arial,12,0" +) +xt "49000,51600,58300,53100" +st "resetSnch_N1" +blo "49000,52800" +tm "WireNameMgr" +) +) +on &66 +) +*108 (Wire +uid 2462,0 +shape (OrthoPolyLine +uid 2463,0 +va (VaSet +vasetType 3 +) +xt "27000,49000,65250,49000" +pts [ +"27000,49000" +"65250,49000" +] +) +start &37 +end &88 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Arial,12,0" +) +xt "27000,47600,30500,49100" +st "clock" +blo "27000,48800" +tm "WireNameMgr" +) +) +on &1 +) +*109 (Wire +uid 2468,0 +shape (OrthoPolyLine +uid 2469,0 +va (VaSet +vasetType 3 +) +xt "42000,57000,44000,57000" +pts [ +"42000,57000" +"44000,57000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2472,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2473,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,55600,43500,57100" +st "clock" +blo "40000,56800" +tm "WireNameMgr" +) +) +on &1 +) +*110 (Wire +uid 2484,0 +shape (OrthoPolyLine +uid 2485,0 +va (VaSet +vasetType 3 +) +xt "60000,51000,65250,53000" +pts [ +"60000,53000" +"63000,53000" +"63000,51000" +"65250,51000" +] +) +start &62 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2490,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2491,0 +va (VaSet +font "Arial,12,0" +) +xt "59000,49600,66500,51100" +st "resetSynch" +blo "59000,50800" +tm "WireNameMgr" +) +) +on &14 +) +*111 (Wire +uid 2518,0 +shape (OrthoPolyLine +uid 2519,0 +va (VaSet +vasetType 3 +) +xt "41000,42000,43000,42000" +pts [ +"41000,42000" +"43000,42000" +] +) +end &71 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2522,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2523,0 +va (VaSet +font "Arial,12,0" +) +xt "39000,40600,42500,42100" +st "clock" +blo "39000,41800" +tm "WireNameMgr" +) +) +on &1 +) +*112 (Wire +uid 2524,0 +shape (OrthoPolyLine +uid 2525,0 +va (VaSet +vasetType 3 +) +xt "41000,44000,46000,46000" +pts [ +"41000,46000" +"46000,46000" +"46000,44000" +] +) +end &73 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2528,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2529,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,44600,45500,46100" +st "resetSynch" +blo "38000,45800" +tm "WireNameMgr" +) +) +on &14 +) +*113 (Wire +uid 2532,0 +shape (OrthoPolyLine +uid 2533,0 +va (VaSet +vasetType 3 +) +xt "34000,38000,43000,38000" +pts [ +"34000,38000" +"43000,38000" +] +) +start &79 +end &70 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2537,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,36700,41300,38200" +st "morseIn" +blo "36000,37900" +tm "WireNameMgr" +) +) +on &78 +) +*114 (Wire +uid 2548,0 +shape (OrthoPolyLine +uid 2549,0 +va (VaSet +vasetType 3 +) +xt "49000,38000,65250,45000" +pts [ +"49000,38000" +"57000,38000" +"57000,45000" +"65250,45000" +] +) +start &74 +end &87 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2550,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2551,0 +va (VaSet +font "Arial,12,0" +) +xt "51000,36700,60900,38200" +st "morseIn_synch" +blo "51000,37900" +tm "WireNameMgr" +) +) +on &80 +) +*115 (Wire +uid 2556,0 +shape (OrthoPolyLine +uid 2557,0 +va (VaSet +vasetType 3 +) +xt "82750,45000,98000,45000" +pts [ +"82750,45000" +"98000,45000" +] +) +start &90 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2560,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2561,0 +va (VaSet +font "Arial,12,0" +) +xt "96000,43700,98800,45200" +st "TxD" +blo "96000,44900" +tm "WireNameMgr" +) +) +on &81 +) +*116 (Wire +uid 2570,0 +shape (OrthoPolyLine +uid 2571,0 +va (VaSet +vasetType 3 +) +xt "82750,49000,98000,49000" +pts [ +"82750,49000" +"98000,49000" +] +) +start &91 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2574,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2575,0 +va (VaSet +font "Arial,12,0" +) +xt "89000,47700,99100,49200" +st "morseEnvelope" +blo "89000,48900" +tm "WireNameMgr" +) +) +on &83 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *117 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +uid 85,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*119 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*121 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*122 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*123 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*124 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*125 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*126 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4567,-1603,137994,75855" +cachedDiagramExtent "-3000,0,340751,321398" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2786,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*128 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*129 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*131 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*132 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*134 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*135 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*137 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*138 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*140 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*141 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*143 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*145 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*147 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,5200,2400,6200" +st "Declarations" +blo "-3000,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,6200,-300,7200" +st "Ports:" +blo "-3000,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,15200,800,16200" +st "Pre User:" +blo "-3000,16000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,16200,36700,23400" +st "constant clockFrequency: real := 66.0E6; +constant uartBaudRate: real := 9.6E3; +constant uartDataBitNb: positive := 7; +constant morseUnitDuration: real := 100.0E-3; -- 1/2 * 10 Hz +constant morseToneFrequency: real := 3135.96; -- sol 3eme octave +constant deglitchBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-3000,22200,4100,23200" +st "Diagram Signals:" +blo "-3000,23000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-3000,5200,1700,6200" +st "Post User:" +blo "-3000,6000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,5200,-3000,5200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 35,0 +usingSuid 1 +emptyRow *148 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*149 (RefLabelRowHdr +) +*150 (TitleRowHdr +) +*151 (FilterRowHdr +) +*152 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*153 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*154 (GroupColHdr +tm "GroupColHdrMgr" +) +*155 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*156 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*157 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*158 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*159 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*160 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*161 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 1377,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 9 +suid 12,0 +) +) +uid 1399,0 +) +*163 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 21,0 +) +) +uid 2039,0 +) +*164 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 4 +suid 22,0 +) +) +uid 2041,0 +) +*165 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 5 +suid 23,0 +) +) +uid 2264,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N1" +t "std_ulogic" +o 11 +suid 25,0 +) +) +uid 2582,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset1" +t "std_ulogic" +o 12 +suid 26,0 +) +) +uid 2584,0 +) +*168 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 10 +suid 28,0 +) +) +uid 2588,0 +) +*169 (LeafLogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 10 +suid 30,0 +) +) +uid 2590,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 11 +suid 32,0 +) +) +uid 2592,0 +) +*171 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 12 +suid 33,0 +) +) +uid 2594,0 +) +*172 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 13 +suid 34,0 +) +) +uid 2596,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 13 +suid 35,0 +) +) +uid 2600,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*174 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *175 (MRCItem +litem &148 +pos 13 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*176 (MRCItem +litem &149 +pos 0 +dimension 20 +uid 1422,0 +) +*177 (MRCItem +litem &150 +pos 1 +dimension 23 +uid 1423,0 +) +*178 (MRCItem +litem &151 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*179 (MRCItem +litem &161 +pos 0 +dimension 20 +uid 1378,0 +) +*180 (MRCItem +litem &162 +pos 3 +dimension 20 +uid 1400,0 +) +*181 (MRCItem +litem &163 +pos 1 +dimension 20 +uid 2040,0 +) +*182 (MRCItem +litem &164 +pos 2 +dimension 20 +uid 2042,0 +) +*183 (MRCItem +litem &165 +pos 4 +dimension 20 +uid 2265,0 +) +*184 (MRCItem +litem &166 +pos 5 +dimension 20 +uid 2583,0 +) +*185 (MRCItem +litem &167 +pos 6 +dimension 20 +uid 2585,0 +) +*186 (MRCItem +litem &168 +pos 7 +dimension 20 +uid 2589,0 +) +*187 (MRCItem +litem &169 +pos 8 +dimension 20 +uid 2591,0 +) +*188 (MRCItem +litem &170 +pos 9 +dimension 20 +uid 2593,0 +) +*189 (MRCItem +litem &171 +pos 10 +dimension 20 +uid 2595,0 +) +*190 (MRCItem +litem &172 +pos 11 +dimension 20 +uid 2597,0 +) +*191 (MRCItem +litem &173 +pos 12 +dimension 20 +uid 2601,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*192 (MRCItem +litem &152 +pos 0 +dimension 20 +uid 1426,0 +) +*193 (MRCItem +litem &154 +pos 1 +dimension 50 +uid 1427,0 +) +*194 (MRCItem +litem &155 +pos 2 +dimension 100 +uid 1428,0 +) +*195 (MRCItem +litem &156 +pos 3 +dimension 50 +uid 1429,0 +) +*196 (MRCItem +litem &157 +pos 4 +dimension 100 +uid 1430,0 +) +*197 (MRCItem +litem &158 +pos 5 +dimension 100 +uid 1431,0 +) +*198 (MRCItem +litem &159 +pos 6 +dimension 50 +uid 1432,0 +) +*199 (MRCItem +litem &160 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *200 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*201 (RefLabelRowHdr +) +*202 (TitleRowHdr +) +*203 (FilterRowHdr +) +*204 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*205 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*206 (GroupColHdr +tm "GroupColHdrMgr" +) +*207 (NameColHdr +tm "GenericNameColHdrMgr" +) +*208 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*209 (InitColHdr +tm "GenericValueColHdrMgr" +) +*210 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*211 (EolColHdr +tm "GenericEolColHdrMgr" +) +*212 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 1488,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*213 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *214 (MRCItem +litem &200 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*215 (MRCItem +litem &201 +pos 0 +dimension 20 +uid 1450,0 +) +*216 (MRCItem +litem &202 +pos 1 +dimension 23 +uid 1451,0 +) +*217 (MRCItem +litem &203 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*218 (MRCItem +litem &212 +pos 0 +dimension 20 +uid 1487,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*219 (MRCItem +litem &204 +pos 0 +dimension 20 +uid 1454,0 +) +*220 (MRCItem +litem &206 +pos 1 +dimension 50 +uid 1455,0 +) +*221 (MRCItem +litem &207 +pos 2 +dimension 100 +uid 1456,0 +) +*222 (MRCItem +litem &208 +pos 3 +dimension 100 +uid 1457,0 +) +*223 (MRCItem +litem &209 +pos 4 +dimension 50 +uid 1458,0 +) +*224 (MRCItem +litem &210 +pos 5 +dimension 50 +uid 1459,0 +) +*225 (MRCItem +litem &211 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Board/hds/morse_ebs2/symbol.sb b/05-Morse/Board/hds/morse_ebs2/symbol.sb new file mode 100644 index 0000000..eec51af --- /dev/null +++ b/05-Morse/Board/hds/morse_ebs2/symbol.sb @@ -0,0 +1,1825 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2012,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 4 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 168,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 360,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 362,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 517,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 2010,0 +) +) +uid 547,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 2011,0 +) +) +uid 549,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 2012,0 +) +) +uid 551,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 107,0 +) +*25 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 109,0 +) +*26 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*27 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 130,0 +) +*28 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 359,0 +) +*30 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 361,0 +) +*31 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 516,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 546,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 548,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 550,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*35 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 113,0 +) +*36 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 117,0 +) +*37 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 119,0 +) +*38 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 121,0 +) +*39 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 123,0 +) +*40 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 125,0 +) +*41 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 127,0 +) +*42 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "16" +) +uid 160,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 136,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 145,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 149,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 151,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 153,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 155,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 157,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morse_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morse_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_circuit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:49:40" +) +(vvPair +variable "unit" +value "morse_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,17400,35700,18600" +st "clock" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +) +xt "2000,12000,19200,13200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*71 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,19400,36800,20600" +st "reset_N" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +) +xt "2000,14000,19900,15200" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 4 +suid 2,0 +) +) +) +*72 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 371,0 +va (VaSet +font "Arial,9,0" +) +xt "33000,20400,35400,21600" +st "RxD" +blo "33000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +) +xt "2000,11000,19200,12200" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*73 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +va (VaSet +font "Arial,9,0" +) +xt "41500,11400,47000,12600" +st "RxD_synch" +ju 2 +blo "47000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +) +xt "2000,15000,21800,16200" +st "RxD_synch : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*74 (CptPort +uid 518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 519,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,12625,48750,13375" +) +tg (CPTG +uid 520,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 521,0 +va (VaSet +) +xt "43300,12500,47000,13500" +st "morseOut" +ju 2 +blo "47000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 522,0 +va (VaSet +) +xt "2000,18000,20700,19200" +st "morseOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +*75 (CptPort +uid 552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 554,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 555,0 +va (VaSet +) +xt "33000,21500,36100,22500" +st "morseIn" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 556,0 +va (VaSet +) +xt "2000,13000,20400,14200" +st "morseIn : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 2010,0 +) +) +) +*76 (CptPort +uid 557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 560,0 +va (VaSet +) +xt "45200,13500,47000,14500" +st "TxD" +ju 2 +blo "47000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 561,0 +va (VaSet +) +xt "2000,16000,20000,17200" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 2011,0 +) +) +) +*77 (CptPort +uid 562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 563,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,14625,48750,15375" +) +tg (CPTG +uid 564,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 565,0 +va (VaSet +) +xt "41100,14500,47000,15500" +st "morseEnvelope" +ju 2 +blo "47000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 566,0 +va (VaSet +) +xt "2000,17000,22600,18200" +st "morseEnvelope : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,21800,35900,22900" +st "Board" +blo "32600,22700" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,9,1" +) +xt "32600,22900,39800,24000" +st "morse_circuit" +blo "32600,23800" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "32000,25600,44700,29200" +st "Generic Declarations + +bitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "Arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-1040,75120,50530" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Arial,9,0" +) +xt "200,200,2500,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Arial,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,19000,2400,20000" +st "User:" +blo "0,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +) +xt "2000,20000,2000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 796,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/05-Morse/Board/hds/morse_ebs3/struct.bd b/05-Morse/Board/hds/morse_ebs3/struct.bd new file mode 100644 index 0000000..14dfd10 --- /dev/null +++ b/05-Morse/Board/hds/morse_ebs3/struct.bd @@ -0,0 +1,6210 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 142,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 162,0 +) +(Instance +name "I7" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 188,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 214,0 +) +(Instance +name "I4" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 240,0 +) +(Instance +name "I_dec" +duLibraryName "Morse" +duName "morseDecoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +mwi 0 +uid 260,0 +) +(Instance +name "I_enc" +duLibraryName "Morse" +duName "morseEncoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +mwi 0 +uid 289,0 +) +(Instance +name "I_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 571,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "morse_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "08:06:36" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "morse_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:06:36" +) +(vvPair +variable "unit" +value "morse_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,4000,44000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,4000,38600,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,0,48000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,0,47200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,2000,44000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,2000,37200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,2000,27000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,2000,25300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,1000,64000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,1200,53600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,0,64000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,0,49800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,0,44000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,400,38650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,3000,27000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,3000,25300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,4000,27000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,4000,25900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,3000,44000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,3000,36900,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,0,64000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "3000,50625,4500,51375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "4500,51000,5000,51000" +pts [ +"4500,51000" +"5000,51000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1500,50250,2000,51750" +st "clock" +ju 2 +blo "2000,51450" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 115,0 +shape (CompositeShape +uid 116,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 117,0 +sl 0 +ro 270 +xt "21000,62625,22500,63375" +) +(Line +uid 118,0 +sl 0 +ro 270 +xt "22500,63000,23000,63000" +pts [ +"22500,63000" +"23000,63000" +] +) +] +) +tg (WTG +uid 119,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "15100,62250,20000,63750" +st "reset_n" +ju 2 +blo "20000,63450" +tm "WireNameMgr" +) +) +) +*14 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "28000,39625,29500,40375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "29500,40000,30000,40000" +pts [ +"29500,40000" +"30000,40000" +] +) +] +) +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "21700,39250,27000,40750" +st "morseIn" +ju 2 +blo "27000,40450" +tm "WireNameMgr" +) +) +) +*15 (PortIoIn +uid 127,0 +shape (CompositeShape +uid 128,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 129,0 +sl 0 +ro 270 +xt "28000,10625,29500,11375" +) +(Line +uid 130,0 +sl 0 +ro 270 +xt "29500,11000,30000,11000" +pts [ +"29500,11000" +"30000,11000" +] +) +] +) +tg (WTG +uid 131,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "24000,10250,27000,11750" +st "RxD" +ju 2 +blo "27000,11450" +tm "WireNameMgr" +) +) +) +*16 (HdlText +uid 133,0 +optionalChildren [ +*17 (EmbeddedText +uid 138,0 +commentText (CommentText +uid 139,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 140,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "28000,54000,34000,56000" +) +oxt "0,0,18000,5000" +text (MLText +uid 141,0 +va (VaSet +) +xt "28200,54200,33700,55400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 134,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,53000,35000,57000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 135,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*18 (Text +uid 136,0 +va (VaSet +) +xt "27400,57000,30000,58200" +st "eb6" +blo "27400,58000" +tm "HdlTextNameMgr" +) +*19 (Text +uid 137,0 +va (VaSet +) +xt "27400,58000,28800,59200" +st "6" +blo "27400,59000" +tm "HdlTextNumberMgr" +) +] +) +) +*20 (SaComponent +uid 142,0 +optionalChildren [ +*21 (CptPort +uid 151,0 +optionalChildren [ +*22 (Circle +uid 156,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28092,62546,29000,63454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "27342,62625,28092,63375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "29000,62500,31400,64000" +st "in1" +blo "29000,63700" +) +s (Text +uid 155,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "29000,63900,29000,63900" +blo "29000,63900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*23 (CptPort +uid 157,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 158,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "34000,62625,34750,63375" +) +tg (CPTG +uid 159,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "30650,62500,33750,64000" +st "out1" +ju 2 +blo "33750,63700" +) +s (Text +uid 161,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "33750,63900,33750,63900" +ju 2 +blo "33750,63900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 143,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,60000,34000,66000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 144,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 145,0 +va (VaSet +isHidden 1 +) +xt "53460,65700,55860,66700" +st "Board" +blo "53460,66500" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "53460,66700,57360,67700" +st "inverterIn" +blo "53460,67500" +tm "CptNameMgr" +) +*26 (Text +uid 147,0 +va (VaSet +isHidden 1 +) +xt "53460,66700,54460,67700" +st "I3" +blo "53460,67500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 148,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 149,0 +text (MLText +uid 150,0 +va (VaSet +) +xt "29000,66400,29000,66400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*27 (SaComponent +uid 162,0 +optionalChildren [ +*28 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38250,39625,39000,40375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,39300,41600,40800" +st "D" +blo "40000,40500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*29 (CptPort +uid 175,0 +optionalChildren [ +*30 (FFT +pts [ +"39750,44000" +"39000,44375" +"39000,43625" +] +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,43625,39750,44375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38250,43625,39000,44375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,43400,43100,44900" +st "CLK" +blo "40000,44600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*31 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 181,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41625,46000,42375,46750" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +font "Arial,12,0" +) +xt "41000,44600,44200,46100" +st "CLR" +blo "41000,45800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*32 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45000,39625,45750,40375" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 187,0 +va (VaSet +font "Arial,12,0" +) +xt "42400,39300,44000,40800" +st "Q" +ju 2 +blo "44000,40500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,38000,45000,46000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 164,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 165,0 +va (VaSet +) +xt "43600,45700,46000,46700" +st "Board" +blo "43600,46500" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 166,0 +va (VaSet +) +xt "43600,46700,45600,47700" +st "DFF" +blo "43600,47500" +tm "CptNameMgr" +) +*35 (Text +uid 167,0 +va (VaSet +) +xt "43600,47700,44600,48700" +st "I9" +blo "43600,48500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 168,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 169,0 +text (MLText +uid 170,0 +va (VaSet +) +xt "16000,35000,16000,35000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 188,0 +optionalChildren [ +*37 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38250,10625,39000,11375" +) +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 200,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,10300,41600,11800" +st "D" +blo "40000,11500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*38 (CptPort +uid 201,0 +optionalChildren [ +*39 (FFT +pts [ +"39750,15000" +"39000,15375" +"39000,14625" +] +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,14625,39750,15375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 202,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 204,0 +va (VaSet +font "Arial,12,0" +) +xt "40000,14400,43100,15900" +st "CLK" +blo "40000,15600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*40 (CptPort +uid 206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41625,17000,42375,17750" +) +tg (CPTG +uid 208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 209,0 +va (VaSet +font "Arial,12,0" +) +xt "41000,15600,44200,17100" +st "CLR" +blo "41000,16800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*41 (CptPort +uid 210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 211,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "45000,10625,45750,11375" +) +tg (CPTG +uid 212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 213,0 +va (VaSet +font "Arial,12,0" +) +xt "42400,10300,44000,11800" +st "Q" +ju 2 +blo "44000,11500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,9000,45000,17000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 190,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 191,0 +va (VaSet +) +xt "43600,16700,46000,17700" +st "Board" +blo "43600,17500" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 192,0 +va (VaSet +) +xt "43600,17700,45600,18700" +st "DFF" +blo "43600,18500" +tm "CptNameMgr" +) +*44 (Text +uid 193,0 +va (VaSet +) +xt "43600,18700,44600,19700" +st "I7" +blo "43600,19500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 194,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 195,0 +text (MLText +uid 196,0 +va (VaSet +) +xt "16000,6000,16000,6000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*45 (SaComponent +uid 214,0 +optionalChildren [ +*46 (CptPort +uid 223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 224,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "39250,54625,40000,55375" +) +tg (CPTG +uid 225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 226,0 +va (VaSet +font "Arial,12,0" +) +xt "41000,54300,42600,55800" +st "D" +blo "41000,55500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*47 (CptPort +uid 227,0 +optionalChildren [ +*48 (FFT +pts [ +"40750,59000" +"40000,59375" +"40000,58625" +] +uid 231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,58625,40750,59375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "39250,58625,40000,59375" +) +tg (CPTG +uid 229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 230,0 +va (VaSet +font "Arial,12,0" +) +xt "41000,58400,44100,59900" +st "CLK" +blo "41000,59600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*49 (CptPort +uid 232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42625,61000,43375,61750" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +font "Arial,12,0" +) +xt "42000,59600,45200,61100" +st "CLR" +blo "42000,60800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*50 (CptPort +uid 236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46000,54625,46750,55375" +) +tg (CPTG +uid 238,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 239,0 +va (VaSet +font "Arial,12,0" +) +xt "43400,54300,45000,55800" +st "Q" +ju 2 +blo "45000,55500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 215,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,53000,46000,61000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 216,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 217,0 +va (VaSet +) +xt "44600,60700,47000,61700" +st "Board" +blo "44600,61500" +tm "BdLibraryNameMgr" +) +*52 (Text +uid 218,0 +va (VaSet +) +xt "44600,61700,46600,62700" +st "DFF" +blo "44600,62500" +tm "CptNameMgr" +) +*53 (Text +uid 219,0 +va (VaSet +) +xt "44600,62700,45600,63700" +st "I8" +blo "44600,63500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 220,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 221,0 +text (MLText +uid 222,0 +va (VaSet +) +xt "17000,50000,17000,50000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*54 (SaComponent +uid 240,0 +optionalChildren [ +*55 (CptPort +uid 249,0 +optionalChildren [ +*56 (Circle +uid 254,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "50092,54546,51000,55454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49342,54625,50092,55375" +) +tg (CPTG +uid 251,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 252,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "51000,54500,53400,56000" +st "in1" +blo "51000,55700" +) +s (Text +uid 253,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "51000,55900,51000,55900" +blo "51000,55900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*57 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "56000,54625,56750,55375" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 258,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "333651,321898,336751,323398" +st "out1" +ju 2 +blo "336751,323098" +) +s (Text +uid 259,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "336751,323198,336751,323198" +ju 2 +blo "336751,323198" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 241,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "51000,52000,56000,58000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 242,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 243,0 +va (VaSet +isHidden 1 +) +xt "75460,57700,77860,58700" +st "Board" +blo "75460,58500" +tm "BdLibraryNameMgr" +) +*59 (Text +uid 244,0 +va (VaSet +isHidden 1 +) +xt "75460,58700,79360,59700" +st "inverterIn" +blo "75460,59500" +tm "CptNameMgr" +) +*60 (Text +uid 245,0 +va (VaSet +isHidden 1 +) +xt "75460,58700,76460,59700" +st "I4" +blo "75460,59500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 246,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 247,0 +text (MLText +uid 248,0 +va (VaSet +) +xt "51000,58400,51000,58400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*61 (SaComponent +uid 260,0 +optionalChildren [ +*62 (CptPort +uid 269,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 270,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,46625,62000,47375" +) +tg (CPTG +uid 271,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 272,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,46700,68300,47900" +st "morseCode" +blo "63000,47600" +) +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +) +) +) +*63 (CptPort +uid 273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,50625,62000,51375" +) +tg (CPTG +uid 275,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 276,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,50400,65700,51600" +st "clock" +blo "63000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*64 (CptPort +uid 277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,52625,62000,53375" +) +tg (CPTG +uid 279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 280,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,52400,65600,53600" +st "reset" +blo "63000,53300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*65 (CptPort +uid 281,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 282,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78000,46625,78750,47375" +) +tg (CPTG +uid 283,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 284,0 +va (VaSet +font "Arial,9,0" +) +xt "74800,46700,77000,47900" +st "TxD" +ju 2 +blo "77000,47600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +) +) +) +*66 (CptPort +uid 285,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 286,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78000,50625,78750,51375" +) +tg (CPTG +uid 287,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 288,0 +va (VaSet +) +xt "71100,50550,77000,51550" +st "morseEnvelope" +ju 2 +blo "77000,51350" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 261,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "62000,43000,78000,55000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 262,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 263,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,54800,65800,55900" +st "Morse" +blo "62600,55700" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 264,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,55900,70200,57000" +st "morseDecoder" +blo "62600,56800" +tm "CptNameMgr" +) +*69 (Text +uid 265,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,57000,65500,58100" +st "I_dec" +blo "62600,57900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 266,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 267,0 +text (MLText +uid 268,0 +va (VaSet +) +xt "62000,58600,90900,65800" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) +deglitchBitNb = deglitchBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*70 (SaComponent +uid 289,0 +optionalChildren [ +*71 (CptPort +uid 298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78000,18625,78750,19375" +) +tg (CPTG +uid 300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 301,0 +va (VaSet +font "Arial,9,0" +) +xt "71700,18400,77000,19600" +st "morseCode" +ju 2 +blo "77000,19300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +) +) +) +*72 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,22625,62000,23375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,22400,65700,23600" +st "clock" +blo "63000,23300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*73 (CptPort +uid 306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,24625,62000,25375" +) +tg (CPTG +uid 308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 309,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,24400,65600,25600" +st "reset" +blo "63000,25300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*74 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 311,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61250,18625,62000,19375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "Arial,9,0" +) +xt "63000,18400,65400,19600" +st "RxD" +blo "63000,19300" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 290,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "62000,15000,78000,27000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 291,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 292,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,26800,65800,27900" +st "Morse" +blo "62600,27700" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 293,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,27900,70200,29000" +st "morseEncoder" +blo "62600,28800" +tm "CptNameMgr" +) +*77 (Text +uid 294,0 +va (VaSet +font "Arial,9,1" +) +xt "62600,29000,65500,30100" +st "I_enc" +blo "62600,29900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 295,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 296,0 +text (MLText +uid 297,0 +va (VaSet +) +xt "62000,30000,90900,36000" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*78 (PortIoOut +uid 314,0 +shape (CompositeShape +uid 315,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 316,0 +sl 0 +ro 270 +xt "94500,50625,96000,51375" +) +(Line +uid 317,0 +sl 0 +ro 270 +xt "94000,51000,94500,51000" +pts [ +"94000,51000" +"94500,51000" +] +) +] +) +tg (WTG +uid 318,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 319,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "97000,50250,107100,51750" +st "morseEnvelope" +blo "97000,51450" +tm "WireNameMgr" +) +) +) +*79 (PortIoOut +uid 320,0 +shape (CompositeShape +uid 321,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 322,0 +sl 0 +ro 270 +xt "94500,46625,96000,47375" +) +(Line +uid 323,0 +sl 0 +ro 270 +xt "94000,47000,94500,47000" +pts [ +"94000,47000" +"94500,47000" +] +) +] +) +tg (WTG +uid 324,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 325,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "97000,46250,99800,47750" +st "TxD" +blo "97000,47450" +tm "WireNameMgr" +) +) +) +*80 (PortIoOut +uid 326,0 +shape (CompositeShape +uid 327,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 328,0 +sl 0 +ro 270 +xt "94500,18625,96000,19375" +) +(Line +uid 329,0 +sl 0 +ro 270 +xt "94000,19000,94500,19000" +pts [ +"94000,19000" +"94500,19000" +] +) +] +) +tg (WTG +uid 330,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 331,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "97000,18250,103200,19750" +st "morseOut" +blo "97000,19450" +tm "WireNameMgr" +) +) +) +*81 (PortIoOut +uid 332,0 +shape (CompositeShape +uid 333,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 334,0 +sl 0 +ro 270 +xt "94500,10625,96000,11375" +) +(Line +uid 335,0 +sl 0 +ro 270 +xt "94000,11000,94500,11000" +pts [ +"94000,11000" +"94500,11000" +] +) +] +) +tg (WTG +uid 336,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 337,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "97000,10250,103900,11750" +st "RxD_synch" +blo "97000,11450" +tm "WireNameMgr" +) +) +) +*82 (Net +uid 440,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 441,0 +va (VaSet +) +xt "2000,9600,15400,10800" +st "clock : std_ulogic +" +) +) +*83 (Net +uid 442,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 443,0 +va (VaSet +) +xt "2000,16800,16800,18000" +st "morseOut : std_ulogic +" +) +) +*84 (Net +uid 444,0 +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 445,0 +va (VaSet +) +xt "2000,12000,15900,13200" +st "reset_n : std_ulogic +" +) +) +*85 (Net +uid 446,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 447,0 +va (VaSet +) +xt "2000,30400,21400,31600" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*86 (Net +uid 448,0 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 449,0 +va (VaSet +) +xt "2000,13200,17200,14400" +st "RxD_synch : std_ulogic +" +) +) +*87 (Net +uid 452,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 7 +suid 7,0 +) +declText (MLText +uid 453,0 +va (VaSet +) +xt "2000,26800,20300,28000" +st "SIGNAL logic1 : std_uLogic +" +) +) +*88 (Net +uid 454,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 455,0 +va (VaSet +) +xt "2000,14400,15400,15600" +st "TxD : std_ulogic +" +) +) +*89 (Net +uid 456,0 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 457,0 +va (VaSet +) +xt "2000,15600,18000,16800" +st "morseEnvelope : std_ulogic +" +) +) +*90 (Net +uid 458,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 10,0 +) +declText (MLText +uid 459,0 +va (VaSet +) +xt "2000,8400,15400,9600" +st "RxD : std_ulogic +" +) +) +*91 (Net +uid 460,0 +decl (Decl +n "reset1" +t "std_ulogic" +o 11 +suid 11,0 +) +declText (MLText +uid 461,0 +va (VaSet +) +xt "2000,29200,20200,30400" +st "SIGNAL reset1 : std_ulogic +" +) +) +*92 (Net +uid 462,0 +decl (Decl +n "morseIn" +t "std_uLogic" +o 12 +suid 12,0 +) +declText (MLText +uid 463,0 +va (VaSet +) +xt "2000,10800,16600,12000" +st "morseIn : std_uLogic +" +) +) +*93 (Net +uid 464,0 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 13 +suid 13,0 +) +declText (MLText +uid 465,0 +va (VaSet +) +xt "2000,28000,22900,29200" +st "SIGNAL morseIn_synch : std_uLogic +" +) +) +*94 (Net +uid 466,0 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 6 +suid 14,0 +) +declText (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,31600,20000,32400" +st "SIGNAL resetSynch_n : std_ulogic +" +) +) +*95 (SaComponent +uid 571,0 +optionalChildren [ +*96 (CptPort +uid 535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,54625,23750,55375" +) +tg (CPTG +uid 537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 538,0 +va (VaSet +font "Verdana,8,0" +) +xt "17700,54500,22000,55500" +st "clk10MHz" +ju 2 +blo "22000,55300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*97 (CptPort +uid 539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,53625,23750,54375" +) +tg (CPTG +uid 541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 542,0 +va (VaSet +font "Verdana,8,0" +) +xt "17700,53500,22000,54500" +st "clk50MHz" +ju 2 +blo "22000,54300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*98 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,50625,23750,51375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "Verdana,8,0" +) +xt "17700,50500,22000,51500" +st "clk60MHz" +ju 2 +blo "22000,51300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +) +*99 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,52625,23750,53375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +font "Verdana,8,0" +) +xt "17700,52500,22000,53500" +st "clk75MHz" +ju 2 +blo "22000,53300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*100 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,54625,11000,55375" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 554,0 +va (VaSet +font "Verdana,8,0" +) +xt "12000,54500,15200,55500" +st "en10M" +blo "12000,55300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*101 (CptPort +uid 555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,53625,11000,54375" +) +tg (CPTG +uid 557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 558,0 +va (VaSet +font "Verdana,8,0" +) +xt "12000,53500,15200,54500" +st "en50M" +blo "12000,54300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*102 (CptPort +uid 559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 560,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,52625,11000,53375" +) +tg (CPTG +uid 561,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 562,0 +va (VaSet +font "Verdana,8,0" +) +xt "12000,52500,15200,53500" +st "en75M" +blo "12000,53300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*103 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,56625,23750,57375" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +va (VaSet +font "Verdana,8,0" +) +xt "17800,56500,22000,57500" +st "pllLocked" +ju 2 +blo "22000,57300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*104 (CptPort +uid 567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 568,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "10250,50625,11000,51375" +) +tg (CPTG +uid 569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 570,0 +va (VaSet +font "Verdana,8,0" +) +xt "12000,50500,16600,51500" +st "clkIn100M" +blo "12000,51300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 572,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,50000,23000,58000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 573,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 574,0 +va (VaSet +font "Verdana,8,1" +) +xt "11400,60000,15100,61000" +st "Lattice" +blo "11400,60800" +tm "BdLibraryNameMgr" +) +*106 (Text +uid 575,0 +va (VaSet +font "Verdana,8,1" +) +xt "11400,61000,13200,62000" +st "pll" +blo "11400,61800" +tm "CptNameMgr" +) +*107 (Text +uid 576,0 +va (VaSet +font "Verdana,8,1" +) +xt "11400,62000,14200,63000" +st "I_pll" +blo "11400,62800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 577,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 578,0 +text (MLText +uid 579,0 +va (VaSet +font "Courier New,8,0" +) +xt "-13000,-19200,-13000,-19200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 580,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "11250,56250,12750,57750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*108 (Net +uid 587,0 +lang 11 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 14 +suid 16,0 +) +declText (MLText +uid 588,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25200,20000,26000" +st "SIGNAL clk_sys : std_ulogic +" +) +) +*109 (HdlText +uid 589,0 +optionalChildren [ +*110 (EmbeddedText +uid 594,0 +commentText (CommentText +uid 595,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 596,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "2000,60000,8000,62000" +) +oxt "0,0,18000,5000" +text (MLText +uid 597,0 +va (VaSet +) +xt "2200,60200,7700,61400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 590,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "1000,59000,9000,63000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 591,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 592,0 +va (VaSet +) +xt "1400,63000,4000,64200" +st "eb7" +blo "1400,64000" +tm "HdlTextNameMgr" +) +*112 (Text +uid 593,0 +va (VaSet +) +xt "1400,64000,2800,65200" +st "7" +blo "1400,65000" +tm "HdlTextNumberMgr" +) +] +) +) +*113 (Net +uid 665,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 15 +suid 19,0 +) +declText (MLText +uid 666,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26000,20000,26800" +st "SIGNAL logic0 : std_ulogic +" +) +) +*114 (Wire +uid 338,0 +optionalChildren [ +*115 (BdJunction +uid 342,0 +ps "OnConnectorStrategy" +shape (Circle +uid 343,0 +va (VaSet +vasetType 1 +) +xt "52600,10600,53400,11400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 339,0 +va (VaSet +vasetType 3 +) +xt "45000,11000,61250,19000" +pts [ +"61250,19000" +"53000,19000" +"53000,11000" +"45000,11000" +] +) +start &74 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 341,0 +va (VaSet +font "Arial,12,0" +) +xt "47000,9600,53900,11100" +st "RxD_synch" +blo "47000,10800" +tm "WireNameMgr" +) +) +on &86 +) +*116 (Wire +uid 344,0 +shape (OrthoPolyLine +uid 345,0 +va (VaSet +vasetType 3 +) +xt "53000,11000,94000,11000" +pts [ +"53000,11000" +"94000,11000" +] +) +start &115 +end &81 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 347,0 +va (VaSet +font "Arial,12,0" +) +xt "87000,9600,93900,11100" +st "RxD_synch" +blo "87000,10800" +tm "WireNameMgr" +) +) +on &86 +) +*117 (Wire +uid 348,0 +shape (OrthoPolyLine +uid 349,0 +va (VaSet +vasetType 3 +) +xt "30000,40000,39000,40000" +pts [ +"30000,40000" +"39000,40000" +] +) +start &14 +end &28 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 350,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 351,0 +va (VaSet +font "Arial,12,0" +) +xt "32000,38700,37300,40200" +st "morseIn" +blo "32000,39900" +tm "WireNameMgr" +) +) +on &92 +) +*118 (Wire +uid 352,0 +shape (OrthoPolyLine +uid 353,0 +va (VaSet +vasetType 3 +) +xt "46000,55000,50092,55000" +pts [ +"46000,55000" +"50092,55000" +] +) +start &50 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 355,0 +va (VaSet +font "Arial,12,0" +) +xt "45000,53600,53900,55100" +st "resetSynch_n" +blo "45000,54800" +tm "WireNameMgr" +) +) +on &94 +) +*119 (Wire +uid 356,0 +shape (OrthoPolyLine +uid 357,0 +va (VaSet +vasetType 3 +) +xt "78750,47000,94000,47000" +pts [ +"78750,47000" +"94000,47000" +] +) +start &65 +end &79 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 358,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 359,0 +va (VaSet +font "Arial,12,0" +) +xt "92000,45700,94800,47200" +st "TxD" +blo "92000,46900" +tm "WireNameMgr" +) +) +on &88 +) +*120 (Wire +uid 360,0 +shape (OrthoPolyLine +uid 361,0 +va (VaSet +vasetType 3 +) +xt "78750,51000,94000,51000" +pts [ +"78750,51000" +"94000,51000" +] +) +start &66 +end &78 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 363,0 +va (VaSet +font "Arial,12,0" +) +xt "85000,49700,95100,51200" +st "morseEnvelope" +blo "85000,50900" +tm "WireNameMgr" +) +) +on &89 +) +*121 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "38000,59000,40000,59000" +pts [ +"38000,59000" +"40000,59000" +] +) +end &47 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,57600,39500,59100" +st "clock" +blo "36000,58800" +tm "WireNameMgr" +) +) +on &82 +) +*122 (Wire +uid 370,0 +shape (OrthoPolyLine +uid 371,0 +va (VaSet +vasetType 3 +) +xt "45000,40000,61250,47000" +pts [ +"45000,40000" +"53000,40000" +"53000,47000" +"61250,47000" +] +) +start &32 +end &62 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 372,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 373,0 +va (VaSet +font "Arial,12,0" +) +xt "47000,38700,56900,40200" +st "morseIn_synch" +blo "47000,39900" +tm "WireNameMgr" +) +) +on &93 +) +*123 (Wire +uid 374,0 +shape (OrthoPolyLine +uid 375,0 +va (VaSet +vasetType 3 +) +xt "37000,44000,39000,44000" +pts [ +"37000,44000" +"39000,44000" +] +) +end &29 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 378,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 379,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,42600,39800,44100" +st "clk_sys" +blo "35000,43800" +tm "WireNameMgr" +) +) +on &108 +) +*124 (Wire +uid 380,0 +shape (OrthoPolyLine +uid 381,0 +va (VaSet +vasetType 3 +) +xt "56000,53000,61250,55000" +pts [ +"56000,55000" +"59000,55000" +"59000,53000" +"61250,53000" +] +) +start &57 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 383,0 +va (VaSet +font "Arial,12,0" +) +xt "55000,51600,62500,53100" +st "resetSynch" +blo "55000,52800" +tm "WireNameMgr" +) +) +on &85 +) +*125 (Wire +uid 384,0 +shape (OrthoPolyLine +uid 385,0 +va (VaSet +vasetType 3 +) +xt "5000,51000,10250,51000" +pts [ +"5000,51000" +"10250,51000" +] +) +start &12 +end &104 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 387,0 +va (VaSet +font "Arial,12,0" +) +xt "5000,49600,8500,51100" +st "clock" +blo "5000,50800" +tm "WireNameMgr" +) +) +on &82 +) +*126 (Wire +uid 388,0 +shape (OrthoPolyLine +uid 389,0 +va (VaSet +vasetType 3 +) +xt "37000,46000,42000,48000" +pts [ +"37000,48000" +"42000,48000" +"42000,46000" +] +) +end &31 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Arial,12,0" +) +xt "34000,46600,41500,48100" +st "resetSynch" +blo "34000,47800" +tm "WireNameMgr" +) +) +on &85 +) +*127 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "78750,19000,94000,19000" +pts [ +"78750,19000" +"94000,19000" +] +) +start &71 +end &80 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 396,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 397,0 +va (VaSet +font "Arial,12,0" +) +xt "87000,17600,93200,19100" +st "morseOut" +blo "87000,18800" +tm "WireNameMgr" +) +) +on &83 +) +*128 (Wire +uid 398,0 +shape (OrthoPolyLine +uid 399,0 +va (VaSet +vasetType 3 +) +xt "37000,15000,39000,15000" +pts [ +"37000,15000" +"39000,15000" +] +) +end &38 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,13600,39800,15100" +st "clk_sys" +blo "35000,14800" +tm "WireNameMgr" +) +) +on &108 +) +*129 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +) +xt "30000,11000,39000,11000" +pts [ +"30000,11000" +"39000,11000" +] +) +start &15 +end &37 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 407,0 +va (VaSet +font "Arial,12,0" +) +xt "31000,9600,34000,11100" +st "RxD" +blo "31000,10800" +tm "WireNameMgr" +) +) +on &90 +) +*130 (Wire +uid 408,0 +shape (OrthoPolyLine +uid 409,0 +va (VaSet +vasetType 3 +) +xt "58000,25000,61250,25000" +pts [ +"58000,25000" +"61250,25000" +] +) +end &73 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 412,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 413,0 +va (VaSet +font "Arial,12,0" +) +xt "55000,23600,62500,25100" +st "resetSynch" +blo "55000,24800" +tm "WireNameMgr" +) +) +on &85 +) +*131 (Wire +uid 414,0 +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +) +xt "58000,23000,61250,23000" +pts [ +"58000,23000" +"61250,23000" +] +) +end &72 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +font "Arial,12,0" +) +xt "58000,21600,62800,23100" +st "clk_sys" +blo "58000,22800" +tm "WireNameMgr" +) +) +on &108 +) +*132 (Wire +uid 420,0 +shape (OrthoPolyLine +uid 421,0 +va (VaSet +vasetType 3 +) +xt "35000,55000,40000,55000" +pts [ +"40000,55000" +"35000,55000" +] +) +start &46 +end &16 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 424,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 425,0 +va (VaSet +font "Arial,12,0" +) +xt "36000,53600,40000,55100" +st "logic1" +blo "36000,54800" +tm "WireNameMgr" +) +) +on &87 +) +*133 (Wire +uid 426,0 +shape (OrthoPolyLine +uid 427,0 +va (VaSet +vasetType 3 +) +xt "23000,63000,28092,63000" +pts [ +"23000,63000" +"28092,63000" +] +) +start &13 +end &21 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Arial,12,0" +) +xt "22000,61600,26900,63100" +st "reset_n" +blo "22000,62800" +tm "WireNameMgr" +) +) +on &84 +) +*134 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "37000,17000,42000,19000" +pts [ +"37000,19000" +"42000,19000" +"42000,17000" +] +) +end &40 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +font "Arial,12,0" +) +xt "34000,17600,41500,19100" +st "resetSynch" +blo "34000,18800" +tm "WireNameMgr" +) +) +on &85 +) +*135 (Wire +uid 436,0 +shape (OrthoPolyLine +uid 437,0 +va (VaSet +vasetType 3 +) +xt "34000,61000,43000,63000" +pts [ +"34000,63000" +"43000,63000" +"43000,61000" +] +) +start &23 +end &49 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 439,0 +va (VaSet +font "Arial,12,0" +) +xt "35000,61600,39200,63100" +st "reset1" +blo "35000,62800" +tm "WireNameMgr" +) +) +on &91 +) +*136 (Wire +uid 583,0 +shape (OrthoPolyLine +uid 584,0 +va (VaSet +vasetType 3 +) +xt "23750,51000,61250,51000" +pts [ +"23750,51000" +"61250,51000" +] +) +start &98 +end &63 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 585,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 586,0 +va (VaSet +) +xt "25750,49800,30350,51000" +st "clk_sys" +blo "25750,50800" +tm "WireNameMgr" +) +) +on &108 +) +*137 (Wire +uid 600,0 +optionalChildren [ +*138 (BdJunction +uid 610,0 +ps "OnConnectorStrategy" +shape (Circle +uid 611,0 +va (VaSet +vasetType 1 +) +xt "3600,53600,4400,54400" +radius 400 +) +) +*139 (BdJunction +uid 616,0 +ps "OnConnectorStrategy" +shape (Circle +uid 617,0 +va (VaSet +vasetType 1 +) +xt "3600,54600,4400,55400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 601,0 +va (VaSet +vasetType 3 +) +xt "4000,53000,10250,59000" +pts [ +"10250,53000" +"4000,53000" +"4000,59000" +] +) +start &102 +end &109 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 605,0 +va (VaSet +) +xt "6000,51800,9800,53000" +st "logic0" +blo "6000,52800" +tm "WireNameMgr" +) +) +on &113 +) +*140 (Wire +uid 606,0 +shape (OrthoPolyLine +uid 607,0 +va (VaSet +vasetType 3 +) +xt "4000,54000,10250,54000" +pts [ +"10250,54000" +"4000,54000" +] +) +start &101 +end &138 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 608,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 609,0 +va (VaSet +isHidden 1 +) +xt "2250,52800,6050,54000" +st "logic0" +blo "2250,53800" +tm "WireNameMgr" +) +) +on &113 +) +*141 (Wire +uid 612,0 +shape (OrthoPolyLine +uid 613,0 +va (VaSet +vasetType 3 +) +xt "4000,55000,10250,55000" +pts [ +"10250,55000" +"4000,55000" +] +) +start &100 +end &139 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 614,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 615,0 +va (VaSet +isHidden 1 +) +xt "2250,53800,6050,55000" +st "logic0" +blo "2250,54800" +tm "WireNameMgr" +) +) +on &113 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *142 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*144 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*145 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*146 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*147 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*148 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*149 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*150 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*151 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1400,-1500,128961,69956" +cachedDiagramExtent "-1500,0,336751,323398" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 668,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*152 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*153 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*154 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*156 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*157 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*159 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*160 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*161 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*162 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*163 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*165 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*166 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*167 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*168 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*170 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*172 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6000,7400,7200" +st "Declarations" +blo "0,7000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,7200,3700,8400" +st "Ports:" +blo "0,8200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,18000,5200,19200" +st "Pre User:" +blo "0,19000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19200,36500,24000" +st "constant clockFrequency: real := 60.0E6; +constant uartBaudRate: real := 9.6E3; +constant uartDataBitNb: positive := 7; +constant morseUnitDuration: real := 100.0E-3; -- 1/2 * 10 Hz +constant morseToneFrequency: real := 3135.96; -- sol 3eme octave +constant deglitchBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,24000,9500,25200" +st "Diagram Signals:" +blo "0,25000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6000,6400,7200" +st "Post User:" +blo "0,7000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *173 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*174 (RefLabelRowHdr +) +*175 (TitleRowHdr +) +*176 (FilterRowHdr +) +*177 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*178 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*179 (GroupColHdr +tm "GroupColHdrMgr" +) +*180 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*181 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*182 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*183 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*184 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*185 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*186 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 468,0 +) +*187 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 470,0 +) +*188 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 472,0 +) +*189 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 474,0 +) +*190 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 476,0 +) +*191 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 7 +suid 7,0 +) +) +uid 478,0 +) +*192 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 480,0 +) +*193 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 482,0 +) +*194 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 484,0 +) +*195 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset1" +t "std_ulogic" +o 11 +suid 11,0 +) +) +uid 486,0 +) +*196 (LeafLogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 12 +suid 12,0 +) +) +uid 488,0 +) +*197 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseIn_synch" +t "std_uLogic" +o 13 +suid 13,0 +) +) +uid 490,0 +) +*198 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 6 +suid 14,0 +) +) +uid 492,0 +) +*199 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 14 +suid 16,0 +) +) +uid 620,0 +) +*200 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 15 +suid 19,0 +) +) +uid 667,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*201 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *202 (MRCItem +litem &173 +pos 15 +dimension 20 +) +uid 69,0 +optionalChildren [ +*203 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 70,0 +) +*204 (MRCItem +litem &175 +pos 1 +dimension 23 +uid 71,0 +) +*205 (MRCItem +litem &176 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*206 (MRCItem +litem &186 +pos 0 +dimension 20 +uid 469,0 +) +*207 (MRCItem +litem &187 +pos 1 +dimension 20 +uid 471,0 +) +*208 (MRCItem +litem &188 +pos 2 +dimension 20 +uid 473,0 +) +*209 (MRCItem +litem &189 +pos 3 +dimension 20 +uid 475,0 +) +*210 (MRCItem +litem &190 +pos 4 +dimension 20 +uid 477,0 +) +*211 (MRCItem +litem &191 +pos 5 +dimension 20 +uid 479,0 +) +*212 (MRCItem +litem &192 +pos 6 +dimension 20 +uid 481,0 +) +*213 (MRCItem +litem &193 +pos 7 +dimension 20 +uid 483,0 +) +*214 (MRCItem +litem &194 +pos 8 +dimension 20 +uid 485,0 +) +*215 (MRCItem +litem &195 +pos 9 +dimension 20 +uid 487,0 +) +*216 (MRCItem +litem &196 +pos 10 +dimension 20 +uid 489,0 +) +*217 (MRCItem +litem &197 +pos 11 +dimension 20 +uid 491,0 +) +*218 (MRCItem +litem &198 +pos 12 +dimension 20 +uid 493,0 +) +*219 (MRCItem +litem &199 +pos 13 +dimension 20 +uid 621,0 +) +*220 (MRCItem +litem &200 +pos 14 +dimension 20 +uid 668,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*221 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 74,0 +) +*222 (MRCItem +litem &179 +pos 1 +dimension 50 +uid 75,0 +) +*223 (MRCItem +litem &180 +pos 2 +dimension 100 +uid 76,0 +) +*224 (MRCItem +litem &181 +pos 3 +dimension 50 +uid 77,0 +) +*225 (MRCItem +litem &182 +pos 4 +dimension 100 +uid 78,0 +) +*226 (MRCItem +litem &183 +pos 5 +dimension 100 +uid 79,0 +) +*227 (MRCItem +litem &184 +pos 6 +dimension 50 +uid 80,0 +) +*228 (MRCItem +litem &185 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *229 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*230 (RefLabelRowHdr +) +*231 (TitleRowHdr +) +*232 (FilterRowHdr +) +*233 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*234 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*235 (GroupColHdr +tm "GroupColHdrMgr" +) +*236 (NameColHdr +tm "GenericNameColHdrMgr" +) +*237 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*238 (InitColHdr +tm "GenericValueColHdrMgr" +) +*239 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*240 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*241 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *242 (MRCItem +litem &229 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*243 (MRCItem +litem &230 +pos 0 +dimension 20 +uid 98,0 +) +*244 (MRCItem +litem &231 +pos 1 +dimension 23 +uid 99,0 +) +*245 (MRCItem +litem &232 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*246 (MRCItem +litem &233 +pos 0 +dimension 20 +uid 102,0 +) +*247 (MRCItem +litem &235 +pos 1 +dimension 50 +uid 103,0 +) +*248 (MRCItem +litem &236 +pos 2 +dimension 100 +uid 104,0 +) +*249 (MRCItem +litem &237 +pos 3 +dimension 100 +uid 105,0 +) +*250 (MRCItem +litem &238 +pos 4 +dimension 50 +uid 106,0 +) +*251 (MRCItem +litem &239 +pos 5 +dimension 50 +uid 107,0 +) +*252 (MRCItem +litem &240 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Board/hds/morse_ebs3/symbol.sb b/05-Morse/Board/hds/morse_ebs3/symbol.sb new file mode 100644 index 0000000..57084b2 --- /dev/null +++ b/05-Morse/Board/hds/morse_ebs3/symbol.sb @@ -0,0 +1,1755 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 115,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 5,0 +) +) +uid 117,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 119,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 121,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 8,0 +) +) +uid 123,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 116,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 118,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 120,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 122,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*57 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 97,0 +) +*58 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 98,0 +) +*59 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*60 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 101,0 +) +*61 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 103,0 +) +*63 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 104,0 +) +*64 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 105,0 +) +*65 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 106,0 +) +*66 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "morse_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "08:06:36" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "morse_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Board\\hds\\morse_ebs3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:06:36" +) +(vvPair +variable "unit" +value "morse_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,19800,7700" +st "clock" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,63500,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*69 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,6625,44750,7375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,6300,43000,7700" +st "morseOut" +ju 2 +blo "43000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,62500,8800" +st "morseOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*70 (CptPort +uid 134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 135,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 136,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 137,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21700,8700" +st "reset_n" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 138,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,63500,5600" +st "reset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*71 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,7625,44750,8375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "Verdana,12,0" +) +xt "35400,7300,43000,8700" +st "RxD_synch" +ju 2 +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,63500,6400" +st "RxD_synch : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD_synch" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*72 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,8625,44750,9375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +font "Verdana,12,0" +) +xt "39900,8300,43000,9700" +st "TxD" +ju 2 +blo "43000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,63500,7200" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 6 +suid 5,0 +) +) +) +*73 (CptPort +uid 149,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 150,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,9625,44750,10375" +) +tg (CPTG +uid 151,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 152,0 +va (VaSet +font "Verdana,12,0" +) +xt "31700,9300,43000,10700" +st "morseEnvelope" +ju 2 +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 153,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,63500,8000" +st "morseEnvelope : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*74 (CptPort +uid 154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 156,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 157,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,19200,9700" +st "RxD" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 158,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,63500,3200" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*75 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,22000,10700" +st "morseIn" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 163,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,63500,4800" +st "morseIn : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseIn" +t "std_uLogic" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,30300,16000" +st "Board" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,33900,17200" +st "morse_ebs3" +blo "26800,17000" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8800,45200,10000" +st "User:" +blo "42000,9800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,44000,10000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 301,0 +activeModelName "Symbol:CDM" +) diff --git a/05-Morse/Board/ise/morse-XC3S1200E.bit b/05-Morse/Board/ise/morse-XC3S1200E.bit new file mode 100644 index 0000000..81920c1 Binary files /dev/null and b/05-Morse/Board/ise/morse-XC3S1200E.bit differ diff --git a/05-Morse/Board/ise/morse-XC3S1200E.mcs b/05-Morse/Board/ise/morse-XC3S1200E.mcs new file mode 100644 index 0000000..afd1861 --- /dev/null +++ b/05-Morse/Board/ise/morse-XC3S1200E.mcs @@ -0,0 +1,30019 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C8006800000003E0C80048000008CA74D +:100020000C800380804307C90C000380000000009F +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A802BC21E +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000002000000000008A5 +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000400000000B8 +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000A00A000000000000000000001E +:1008300000000000000000000000000000000000B8 +:100840000000000000000031005100000000000026 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A00000000A00000001200000000000000000034 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000020000000000000000000D5 +:100C00000000540118000000000000000000000077 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE00000000000000010010000000000000000F2 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000010000000010 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000100000000000052 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F7000000000000000000000000000C0000000A1 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:10260000000000000000000080000000000000004A +:1026100000000000000000000000010000000000B9 +:1026200000000000000000000000000000000000AA +:10263000000000000000000000008000000000001A +:10264000000000000000000000000000200000006A +:1026500000000000010080000040000000010100B7 +:102660000002000000100000000000000000000058 +:102670000000000000400000000000000002000018 +:102680000000000000200000000000000002000028 +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000B000000000000000000000000000D8 +:102850000000000000000000001000000000000068 +:102860000000000000000000005000000000000018 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00030000000000000000000000000000000A7 +:102A000000000C00000000000000000000000000BA +:102A100000000000000000000000000000000000B6 +:102A200000001000000000000000000000000E0088 +:102A3000000000001000000000000000080010006E +:102A400000100000000C0800000C00000000000056 +:102A50000000000000000000000000000010000066 +:102A600000000000000C000000000000003000002A +:102A700000000000000C000000000000000000004A +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F5000000004000000000000000000000000006D +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:103120000000000000000000000000800800000017 +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:103160000000000000000000000000000000FFFF61 +:10317000FFFF00000000FFFFFFFF00000000FFFF57 +:10318000FFFF0000000000000000FFFFFFFFFFFF47 +:10319000FFFFFFFFFFFFFBBF7DFFFCCFF99FFFDEBF +:1031A0007DFF7BFFFFF67BFF7BFFFFF100800000D0 +:1031B0000000000000007BFF7BFFFFBE7BFF5F1372 +:1031C0003F155F133F155F135F13FFFF01005F1390 +:1031D0005F1377075F1301000100010005055F130E +:1031E0003F1501000100FFFFFFFFFFFFFFFFFFFF93 +:1031F000FFFFFFFFFFFFFF370703FFFFFFFF030096 +:103200002322EDCC0100FF31FF3509903CFF5547EB +:10321000DF10FFF77545CFCFBB11FF7FFF7F0000A9 +:103220000000FF7F0F030000000000000000F5F524 +:10323000AFAF0505FFF0FFF0F5F57FFFFF55FFFF8E +:103240007FFFFFCCDDDD00000000BBBBFFCC00003A +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:103310000000000000000000180000000000008015 +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000080240E000000000000008C0200001D +:10337000000080240E0000000000000000008024F7 +:103380000E0080240E0080240E0090241E00902445 +:103390001E0090241E0090241E0090241E00900405 +:1033A0000C00000000000000000090241E0090248B +:1033B0001E0090241E0090241E0090241E00000079 +:1033C0000C0090241E0090241E0000000C00000041 +:1033D0000C0090241E0000000C0068408002684031 +:1033E0008002684080026840800200201E00684021 +:1033F000800200000C0000000C0048000C200000BF +:103400000C0090241E0090241E0010001C000000E0 +:103410000C000000000000000C0000000000000094 +:10342000000090241E0090241E0090241E00000026 +:103430000C0000000C0090241E00000000009024EE +:103440001E0000000000000000000000000000005E +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:103500000000000000000000000000800800000033 +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000488060A94F8060B94F80F3 +:1035500060B94F8060B94F8060B94F8060B94F80CB +:1035600060B94F8060B0000000004F8060B84F80AD +:1035700060B84F8060B84E8060B1000000004880A5 +:1035800060A94F8060B94F8060B94F8060B94F80AB +:1035900060B94F8060B94F8060B94F8060B94F808B +:1035A00060B94F8060B94F8060B0902412009024C1 +:1035B0001200000000009024120000000000000033 +:1035C00000009024120000000000902412009024BB +:1035D0000200002012000020120000000000000085 +:1035E000000000201200002012009004000090044F +:1035F0000000000000008004000000000000100037 +:1036000010000000000000000000000000000000AA +:10361000000000000000008000284F8060B94F804B +:1036200060B94F8060B94F8060B94F8060B94F80FA +:1036300060B000000000000000000000000000007A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F0000000000000000020110000000000004059 +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:10373000000000000000000000000F0F33330F0FE7 +:103740005555FF00333355550F0FFF005555333393 +:103750000F0F555533330F0FAAAA0A0AFFFFAAAA63 +:103760000F0FAAAAFF5FFCFF0F0FFF7FCCCC00005A +:103770000000FF003333FF0033333333FF00FF001B +:1037800033330F0FFF003333FF00555555550F0FDF +:1037900033333333FF00555533335555F0F05F1352 +:1037A00077075F133F150F0001005F133F150100FE +:1037B0000100FFFFFEFF5F137707FFFF003377076E +:1037C000770755AAFFFF0100FFCF551557070100E6 +:1037D0001D1DCDCF55FF01FF131101FF1311778878 +:1037E000FF410F0EF7F30301F3F3FFFFFFFFBFBF2E +:1037F000FF153F303F30FFFFFFFFFFFFFFBF00001F +:1038000000000000000000000000FFFFFF00FF00BC +:103810000F0FFF00555533330F0F5555FF0055550A +:10382000FF00FF00AAAAFFFFFFFF0000000000004A +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E0000000000000000000000000864000000012 +:1038F00000002000000000000000000000000000A8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000003453 +:1039400000004020005000000000000000000020A7 +:1039500000004020008080090000800000028028D4 +:103960000000C020008A80080000C0200020000065 +:103970000000C020001080010000C02000020000F4 +:1039800000000000000000000000C02000D6000081 +:103990000001C02080E70000040240200840000031 +:1039A0000402C02028540000000100008000000034 +:1039B00000014020008118000403C02024450000BD +:1039C0000402C020044400000001C0200006700072 +:1039D00004030000200000000402000000000000BA +:1039E0000402C02004007000040340209C810000F9 +:1039F00000000000000000000000000000000000C7 +:103A00000000C020000000000000402000800000F6 +:103A100000008000000000000000800000020000A4 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000008000008010000000000000000000C6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000002000000000000000000000000065 +:103B400000000028000000000010800000000001BC +:103B5000000480280000000100088020000000010F +:103B600000108020000000010004802200000001FD +:103B7000002800000000000000008020000000017C +:103B80000004C02080000001081001200000000097 +:103B90008008812000000001000440008000000037 +:103BA000080040208000000008104100800800014B +:103BB00040E201000000000180E24020800000019E +:103BC00008324100800000004000010000000000B9 +:103BD0008000812000000001106049248000000066 +:103BE0001040000000000000000000000000000085 +:103BF00000008020000000010060002000000000A4 +:103C00000040800000000001002080000000000152 +:103C10000020000000000000000000000000000084 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD0000000000000001000004000000000000094 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D1000000000000000000300000000000000039D +:103D200000001000000000200010020703220060C5 +:103D300000000000000000C000070207012200C0D0 +:103D400000000300000000C002C00300C0220040C9 +:103D500000E2C320030000C003400300020700C5C7 +:103D6000004706000B000010000300000000002ABE +:103D7000E3C007034400004308810303CA0700C0EF +:103D800000C3E207442300C3E3E20303E32300404C +:103D900000CDC801900001C5E081C913542200C0C4 +:103DA0000000C103C723000000C0C420030002229A +:103DB000C0804622810500DB13D31345C7130000E2 +:103DC000D0050000C000000303C70043C30000E2A9 +:103DD0000380C005C80700000000000000000000CC +:103DE000000000000000000000030007020300457F +:103DF00000000000E00000C00000002000000303FD +:103E000010000000000000000000000000000000A2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000220000000000000000D0 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F0000000000000000000000000080000004002D +:103F1000000000800001000000000000000004001C +:103F200020000040000100000000004000000400EC +:103F300004000010000008000100000404902100AB +:103F4000100000A00004180021010204082109004B +:103F500004000004002004000800000000800000AD +:103F60000000000008840208008000A0000321482F +:103F7000040020200003040004000021401021411F +:103F800008000040000020018000400400032000E1 +:103F9000000000020000C0014000000000200802F4 +:103FA0000400040001020400C000000000200040E2 +:103FB0000400000180200001C00000080810022158 +:103FC00018002020200280000000000000000000F7 +:103FD0000000000000000000000000400008040095 +:103FE00084080420400000000000081000000000C9 +:103FF0000101088201000000000000000000000034 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C000000080010000000000000000000000006F +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:104100000000000000000E0000000000E0000E00B3 +:1041100000C00800E00000000000000000000000F7 +:1041200000004800100000000004150440000000DA +:1041300000084C0000000047050200C0097000059F +:1041400050A800000910800084006CC0030000002B +:10415000000000000000400810082CC0039000E898 +:10416000797900C4E9749E5F01091CC4F130089597 +:10417000F5880080F97040A5913A0008A90040C078 +:10418000173008C01160008FF0B82D80F130484C16 +:104190009500A00E42420C952D101D80F3B91E0013 +:1041A000040008013138B000603AC854F0800014AF +:1041B00050040800C191400050680884037000005A +:1041C0005000010400100000000000000000404505 +:1041D00079000000400000000000000040000000E6 +:1041E000000000000140020080000E0000300000CE +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000010000000000000000FD +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F0000000000000000001E002100000000000CB +:104300000800100000380200080000000000000053 +:10431000E002000000080E030000000000280D006D +:10432000580010000000020700001008E0382800C4 +:1043300001780018C0BA008003900600E0000CC4A9 +:10434000018400000000000040020607808200C0D7 +:1043500001300080E3280080010A0005C0B801C8D0 +:10436000110000D3E010A094413806C7E0690C802A +:10437000EB140C87077E0C20E17010A3607810C04E +:1043800023F22007E0342804C1182D48E0B4112797 +:10439000C100208F072880040370060018900827AA +:1043A00051C000832300000041781600C002280499 +:1043B0000178060000024000001800000000000024 +:1043C000000000070800000008001008C0000000FE +:1043D0000010000003290000024810000000000047 +:1043E00000100000000000000000000000000000BD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E000000000000000000000008000400000000C +:1044F00000000000000000000000000000000000BC +:10450000001000004000000000600008040010C01F +:1045100000400002000000000010800000002001A8 +:1045200001000000001810821000000002180201B3 +:1045300006000028000000000000000000000A0043 +:1045400008010020002000928A0808022000308222 +:10455000200C002C40201C1280124053084430696B +:1045600000041002080011268A001800082D00120D +:104570000101002000890C08830000F3940C080955 +:1045800020004088048100004354082010440001AA +:10459000020008000000001C40050AA020000200E4 +:1045A000300888A20008020000000000004000005F +:1045B00000000000000010040000000000010042A4 +:1045C00040010000200810100408000000880000CE +:1045D000000800000038000000000000000000009B +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000260000000000B4 +:1046E000000026000000000040000040008800009C +:1046F0000000260000000003000000000000800011 +:10470000C000000000801E060000120000801C0097 +:104710000000800DD20D0C0003105000822908C04B +:1047200005104015200C2EC0018400000300000B72 +:1047300000004015808F14C0C1744000200000C7E5 +:10474000C178010206007D0304002E020B50000018 +:10475000E009000006120AC84170000280290CC05E +:1047600010300025C80C0CD6D5700207E20C8987E2 +:10477000213800C8052808D001308228E03982E7B6 +:10478000C10A2F0482439C88D138008C80030C908E +:10479000C10D16107000200000C0060080011C082A +:1047A000007800000000000000008004D02D000010 +:1047B00000000004000000C00538000C00003C06AA +:1047C000F070000000004000000000000000000049 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:1048900000004001000000002800000000000000AF +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D0000000000040000000000000000001004057 +:1048E00001023C14000000000000800040000000B5 +:1048F00000083C000000004000000D3E00002000C9 +:10490000000097000000001550000B000414002563 +:1049100058024A000174000528690CC00B000020F1 +:104920000000800600000605500056C00B7A000407 +:10493000605182C0FB828602000C00C0E1788622B2 +:10494000003A2C000002004000415CC0D581008587 +:10495000006A17C0F53040477D483DA00370A2278C +:104960007001408001300E40907A5294DB301F87F6 +:1049700028001CC701104E9C00387CC60914006436 +:1049800002000180C9022003105000C00104200071 +:104990005848400000900000000000000000400562 +:1049A0005000000000708004080000070090000024 +:1049B000000000E0010000000000000600700000A0 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000200020000000000000000E2 +:104AD0000000100000002000000012200020002034 +:104AE00080200020002000000100000100000000E4 +:104AF00000000002000000200040000000402000F4 +:104B00002000000000002000800012200220010090 +:104B1000000004200200000012000000000220003B +:104B20000000002000022040204020002010102122 +:104B30001001800282000020042081210020002238 +:104B40005421001000402200000220010002004019 +:104B500020402410200028210420000032002031B1 +:104B6000301021202100212050200432102000008C +:104B700000000804000800000000020000000020FF +:104B80000401000000000000000000000000000020 +:104B90008000000000000000012000200000000054 +:104BA00000221000000000000000000000000000D3 +:104BB00000010000000000000000000000000000F4 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C400000000080000000000000000000000000E4 +:104C50000000000000200000000000000000000034 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000200000000000032 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC0004000005000002060000000600000200054 +:104CD0000000000000400000000000000000000094 +:104CE00000000000000000A0000000000000000024 +:104CF000000000060000000040000000000020004E +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D2000002020000000900600009000005000507D +:104D300000009000200000200000004000600050B3 +:104D4000000000000000002000000400000000A09F +:104D50002000002020200000900000000000000043 +:104D6000200000006000040000040000000070A0AB +:104D700000000000A00000A0000060000010000083 +:104D800000000000B00E0000000000000000000065 +:104D90000000000000000000000000000000000013 +:104DA00000000050000000000000000000100000A3 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E500000000000000000000000000A0000000048 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA000000000000000000000000A0A0E000A04D2 +:104EB00000000000000000000A00000000000000E8 +:104EC00000000C00000000201000000000000000A6 +:104ED0000C00000C000000000000000400000010A6 +:104EE000002000000E102000000000000000200044 +:104EF00010000400040008000E0200000000000082 +:104F0000040000000008000000000000000820006D +:104F10000020007000000028000C100410000A207F +:104F200004003C0C0010002C001C000000100000CD +:104F30000000000C000C0010000004000000302CE9 +:104F4000040A0A000800002800000C001C001C20B5 +:104F50000E0004180000000000000E000000000019 +:104F60000A00080000000020040C000000000000FF +:104F70000ED0000000000000300000000000000023 +:104F80000830000000000000000C000000000000DD +:104F90000000000000000000000C00000000000005 +:104FA0000080000000000000000000000000000081 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000200000000060 +:105030000000000000000000000000000010000060 +:105040000000000000000000000000000000000060 +:105050000000000000000000005000000000000000 +:10506000000C000000000000000000000000000034 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:1050900000000000000000000000000000004000D0 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000300000C0 +:1050C00000000000000000000000000000000000E0 +:1050D0000000000000004000000000000000000090 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000040000000000000000001000007B +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:1051400000000000000020000000000000400000FF +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000020001F +:10517000000000000000000000000000000000002F +:1051800000000000000000000000000000000030EF +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000030BF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E000000000000000000000000000000000308F +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000002000000000DE +:1052B00000B000000000000000000000000000003E +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F000000000000000000000000080000000002E +:10530000000000000000000000000000000000009D +:10531000009000000000000000000080000000007D +:1053200000000080000000000000000000000000FD +:10533000000000000000000000000000000000006D +:1053400000200000800000000000000000000000BD +:1053500000000080000000000000000000000000CD +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:105460000000000000000000000000000000C0007C +:10547000000000000000000000000000000000002C +:10548000000000000000001000000000000000000C +:1054900000000000000000000000000000000020EC +:1054A00000000000002000000000000000000000DC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000800000000A4 +:10550000000000000020000000000000000000007B +:10551000000000002000000000000000000000006B +:10552000000000000000000000000000000010006B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000100000000000000000003B +:10556000000000000000000000000000000000003B +:10557000000000000000001000000000000000001B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000100000000000000000EB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000100000000000000000BB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:105630000000000000000400000000000000000066 +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:1056700000000000000000000000FFFFFFFFFFFF30 +:10568000FFFF000000000000000000000000CCCC84 +:10569000FF00F0F0FF5FFCFFFF00FF7F00FFFFFF58 +:1056A000FFFFFFFFFFFFFFFFFFFF001030C0FFFF06 +:1056B0000C0FA2A8FFFF00CCF66FAA00FFFD7F7FB2 +:1056C000152AF5F0D5F0FFF66FFF00000000EFBFE0 +:1056D000FFF6FF5FFFAF77073F155F1377073F15B3 +:1056E0003F2F010101003F153F1577073F150100CE +:1056F00001000100FFAF030035050004F7FF01FFC3 +:1057000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10571000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF99 +:10572000FFFFFFFFFFFF90008400FFFFFFFF332715 +:10573000DF1000000000FFFFFFFFFFFFFFFF000082 +:1057400000000000000000000000DDDDFFCCFFFFD6 +:10575000DFFF00000000000000000000000000006B +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000018000000000000000000000050 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000C0000008C02000000000000000000008E +:1058800000004F806CB84F806CB84F806CB84E8071 +:105890006CB180240E0080240E0080240E000000D5 +:1058A0000C0000000C0090040C0000201E000020E2 +:1058B0001E0010000C0048201E2090241E00000036 +:1058C000000090241E0000000C0090241E00902474 +:1058D0001E0090241E0000000C0090241E00902446 +:1058E0001E0000000C0000201E0048001C200020AC +:1058F0001E0090241E0068408002684080026840BC +:1059000080026840800268408002684080026840EF +:105910008002684080026840800200000C000000A5 +:105920000C0090241E000000000000000C0000008D +:105930000C000000000000000000000000009024A7 +:105940001E0000000C00000000000000000000002D +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A2000000000000000000018000000000000005E +:105A300000008004000000000000000000000000E2 +:105A40000000000000000000000000000000000056 +:105A500000000000000000000000000000000080C6 +:105A600060A84E8060B84E8060B0D8A472A9DFA450 +:105A700072B9DFA472B9DFA472B9DFA472B9DFA46E +:105A800072B9DFA472B9DFA472B1008060A84F8040 +:105A900060B84F8060B94E8060B100201200902441 +:105AA000120090040000902412004F8060B94F80D3 +:105AB00060B94F8060B10000000090241200902473 +:105AC0001200000000000000000000000000902410 +:105AD000120090241200900400000000000000005A +:105AE00000000000000000201200900400000020D0 +:105AF00012009024120090241200900400009024C0 +:105B00001200000010000020120000000000000041 +:105B10000000000000000000000010001000000065 +:105B20000000000000001000100000000000000055 +:105B30000000000000000020120000000000000033 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000400000000044 +:105C2000000000000000FFFFFFFF00000000000078 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C500000000FFFFEFFFEFFFEFFFEFFF0F0FF3033 +:105C60007575757575757575FF30757575757373A3 +:105C70007575FF3075750F3F557755770F3FFFFFEF +:105C800000FFFF00FAFFFF3FFF7FFAFF0F0F80804A +:105C9000BE7DFBBFFBBF7F80FFFFFFF6EFDFFFF79F +:105CA000FFFBFFDFEFFF7FFFFFAAFFFF77FFFFF69E +:105CB0006FFF5F13770777FFFFFEDDFF1F0FFFFF0B +:105CC000010077075F135F133F1577070100050099 +:105CD000AB00030001000101FEFFE0F077071D0C9F +:105CE000FFFFFEFEFF150F1D2F0DFFAB00110FA5CF +:105CF000FF0900FF55AA70203A3F8A02FA33F5FDEA +:105D00000C5DFFFFFFFF00000000FFFFFFFFF50538 +:105D10005F500000000000000000353577220000D1 +:105D2000000000000000000000005545F3F30000F3 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E1000000000000000000000000000040200007C +:105E2000080000000000000000000000000000006A +:105E30000000000000000000000000000000000062 +:105E400000000000000000000000000000004020F2 +:105E500000C06B0004030000C800670004030000DA +:105E6000A8002200040300008800AA0804038000A0 +:105E7000042300190000C020009200000000000070 +:105E8000000089000403C02000200B340403C0205C +:105E9000201000300000C02000080000000080003A +:105EA000000E000004038000AC0700000000C020CA +:105EB00000E6000000018000C00C00000403402048 +:105EC000A85000100000C020006480390001C020EC +:105ED00000A300000403C02024D760000403C020F6 +:105EE00044E773000403C020004C00000403C020FA +:105EF0002054000000004020004070100001C0202D +:105F00001044830904028000080040200001402062 +:105F100010400000000000000000000000014020D0 +:105F200010800000000000000000000000000000E1 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:10600000000000000000000000000000000001008F +:106010000000000010000000000000000000000070 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000002040 +:106040000000000000106100800000008000490096 +:106050008000000040004700800000002800E100B0 +:1060600080000001280080280000000100040000DA +:10607000000000000000C122800000012810C120A3 +:10608000800000014004802400000001000880001E +:10609000000000010000C1008000000140048020D9 +:1060A000000000010010C00080000001000041203D +:1060B0008000000040008028000000010010C0287F +:1060C000800000010810410080000001408645006A +:1060D00080000001809251008000000128C2410030 +:1060E0008000000140860000000000000090C028F1 +:1060F0008000000100609100000000011020442198 +:10610000801000000040000000000000000040205F +:1061100080000000004000000000000000000000BF +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000300000000000000008B +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000120000005C +:1062300000000300000000000300C8E380C880C322 +:10624000D7C180E3C8C1C9C183D380DBC4C083EB9D +:10625000C481C8A383E3C0C0C90344000000E04375 +:106260000200000000000000010000000313808015 +:10627000C7CF20C321C00303CA01C0C088880088DB +:10628000112200C000C000000000C30001C0C08097 +:10629000CB13000000C00020E3E200800000C31325 +:1062A000C800CBE247E344C5C8E300000000002279 +:1062B00000000081010000030040C00103C0008312 +:1062C00080C0000000008003DB2313E8CBE8C3A0FC +:1062D00083838080C4C0C4810123090400C30000FB +:1062E0000003000000C000008313C00000C00000D5 +:1062F000C4C093C000C8E00080000000000000009F +:10630000000044400000E080800000000000000029 +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000001000000009C +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000027A +:106420000000000200022004000000002000000222 +:10643000800080030021802000820221C0028000B1 +:106440000002C0000003000288208090404100004C +:106450000000002840C000000800000020100200DA +:1064600004408082040000182010400C0405101025 +:106470000000010001000010001001000100C040F8 +:106480004020108208104000001001000810000297 +:10649000004018000280400404020004042000C0F0 +:1064A0000100010002000003C002010800200101F8 +:1064B00002400005800A000000008001000080000A +:1064C00040008204C08280020040000320102000AF +:1064D00080C000088080004000100000A0008040C4 +:1064E0000010000000208004000000008000000078 +:1064F00000000000000000204002000280020000B6 +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E000000000000000000000000000007000003B +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:106610000000004001000000E0002CC003009006D4 +:10662000E0000000000080100001000000000021D8 +:106630001002800000000006C0001115022C20008E +:10664000400000C001902000A0002E85010200A99A +:106650004270001306044A0000004E05623010002C +:1066600001760C0458490046A01000105C002C0074 +:1066700000881715A0041D2A10760ED36106080A9B +:10668000F17001C077001C844A421FC055B02C84B1 +:10669000C331565A006A1224403A4080700080008C +:1066A000F90A0C1FF08015A0F2602E441C00880A25 +:1066B0000A900107701430D8013840441D28210E7B +:1066C0004110420350280EC409100000F08014004D +:1066D00000100000000000270000000000002C0057 +:1066E000000200000000000000000008040014C0C8 +:1066F000FB7000000000000000000000000000002F +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:10680000000000000000000000000000A0010200E5 +:1068100000004001B001100000001C00000000005A +:1068200000001C000010000500001C06C010000045 +:1068300000000CC70101024007B828000001070052 +:1068400008380020B180A00000008803006C810798 +:1068500010508004C0E04F94C02CE004A01B1680B0 +:106860000300800700000E850000000E0070A1CB21 +:106870003328748003380085F7003410C0710E0B84 +:1068800017909CCB4800200700303CCF04702007B5 +:10689000D011000CC36450836028023611141625F1 +:1068A000EA2C1E8F11301E0000340C2701141647ED +:1068B000E0400C86E5382C17D0753C44008400007D +:1068C00020380200009016000000100600000000B2 +:1068D00000000000C00000000000000000000000F8 +:1068E000C80002C0F1080000000000000000000025 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D0000000000000800000000000000000000037 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000D0010007A +:106A00000800410000144801000008400020100068 +:106A10008000100000201000000820008B20904211 +:106A20002808000900289020000010000000000045 +:106A30001000010400200091200001020002412208 +:106A40003C0480000661088034003000A52000006E +:106A5000040C10000800200040108114212508506B +:106A600010200000902428241209011C8A9A080290 +:106A700000380006210410A0000A01808021204077 +:106A8000800908048D4640400000000212002000EA +:106A9000008308198204305001240114650191001B +:106AA0000081B00104248082C840A4008000C0029C +:106AB0000000000000352000000C10000000300035 +:106AC00000000000040000000000000000000000C2 +:106AD0000000000020100040000000000000000046 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE0000000000000000000000000000000008025 +:106BF0000300000000011C000830000040020000FB +:106C000000000000E0012FC007000007100098807E +:106C10000000000700712FC007000CC0C100000079 +:106C20000080000000001808800000D0E000100084 +:106C30000E0008272078000896013E07420896AF0C +:106C4000880000AE23700100033E4E0600348CAD78 +:106C50000B32804BC0840FF080391486C1060008C7 +:106C600000280108C87000A010400D07C00C0828BB +:106C700020108DC00400A28883686D81ED1010A2E1 +:106C8000218C1CD705700E8487711087E938C000ED +:106C900010384896053000BC8B0B1EE7E3F4061F46 +:106CA000E00D1586010C000090291C00007000000A +:106CB00000001006000000C007002C00C00000000B +:106CC00000000000000000800B0000000000000039 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE000000000000000000000000E40000000084D +:106DF000000020200000000700020EC0010000205B +:106E0000D0088087001000A7013C0EC0010040CFD1 +:106E10000502000000023D00E000080C0430000EF6 +:106E200000790040000000072010000050121CA054 +:106E30004B1008070000BC80D178020010010C261E +:106E4000E0300CC501303C0A02026EC00110000F98 +:106E5000087000856140084001F2510012384C6F03 +:106E6000D812004F720B0C8F51F0008712380C8033 +:106E7000039A8687783120A0D3726E4770388E854A +:106E8000C132000410022CA4D130004220384CB68C +:106E9000C372080050000DDE0930000070000000D1 +:106EA0000008000000000E3E00000040000020002E +:106EB0001002000000000000000000A000000080A0 +:106EC000033A000000000000000000000000000085 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA0000000000000480000000000000000000099 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE0001008100000000000301820802010000061 +:106FF0003008104000202020200820200000400001 +:107000002000202020002040000020200020400000 +:107010002000000000002000201820002000202078 +:107020002000012048300000200010300020200007 +:1070300040000000003040102040200040000000D0 +:107040002040242014502200304410003040220000 +:10705000503420203088104080203020120400015D +:107060001000202020400820202000200040200088 +:107070000000202020101000104020204020200080 +:1070800038404000002000003000000020000000D8 +:10709000200000002000000420000000400000004C +:1070A00000000000000000000000000020000000C0 +:1070B00000000000000400000000000000000000CC +:1070C00010000000000000000000000000000000B0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:107190000000000000000000000000000A000000E5 +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D000000000001004100000000000000400A2E5 +:1071E0007000000000040000000000000004000027 +:1071F0000D0D000D0000090E006000700000090078 +:107200000000000E00000000000E000D0004000051 +:107210000000404000004040602000000900000ED7 +:107220000000700000000000000E000600000000DA +:10723000000A000008700C00101000000D0D000E78 +:107240000D000D062E10080000A0360A00000D00EB +:107250000000000020450066000D046AA000000E3A +:1072600000AD0CA000000000A0383C060040000665 +:1072700000607000000000000000066006000000D2 +:10728000000000000D0000000000000009000000E8 +:10729000000000A0000000A000A00000000000000E +:1072A00000000000000200000000000000000000DC +:1072B0000000000000000000000000000000000CC2 +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D000000020200000000000000000000020202D +:1073E000300010300000200020001020000020306D +:1073F000000020200000000000000000000030001D +:10740000200020002000202000000C202020000070 +:1074100000000020000020000000004000000000EC +:107420003020200000000000210021200420240042 +:1074300010240000300024003030112010200020E3 +:10744000103020200C04000C000010203000001030 +:107450003010002000002100000020200001010069 +:10746000000020300020200000003000001000004C +:1074700000000000300000002000000000000004B8 +:1074800000000000300000000000000000000000CC +:1074900000000000300000000000000000080000B4 +:1074A00000000000000000000000000000000000DC +:1074B00000000001000000000000000000000000CB +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000200000000010000000BB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C000000000000000000000000000300000008B +:1075D000000000000000000000300000000000007B +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000002000000000006B +:10760000000000000000000000000000000000007A +:10761000000000000000000000200020000000002A +:10762000400000000000000000000000000000001A +:10763000000000000000000000100000000000003A +:10764000000000000000000000000000000000003A +:10765000002000000000000000000000000000000A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000200000000020BA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000002000000000000000000000017 +:107770000000000000080000000000000000000001 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000020000000000000000000B9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000B0000009 +:1077D0000000000000000000000000000000200089 +:1077E0000000000000000000000000000000800019 +:1077F0000000800000000000000000000000000009 +:107800000000000000000000200000000080008058 +:107810000000000000000000000000000000000068 +:1078200000000000000000000000000000900000C8 +:107830000000002000002000000000000000008088 +:107840000000008000800000000000000000000038 +:107850000000000000000000000000000020000008 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000800088 +:107880000000008000000000000000000000000078 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:1079600000000000000000000048000000000000CF +:1079700000000000000000000000000000000010F7 +:1079800000000000100000000000010100000000E5 +:1079900000000000000000000000200000000000C7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000100000000000000000000000B7 +:1079C0000020000000000000000000000000000097 +:1079D0000000200000000000000000000000000087 +:1079E0000000000000000000001000000000000087 +:1079F0000000000020000000000000002000000047 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A200000200000000000200000200000000000F6 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000020000000000000000000000000000006 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000010001000000000000000000000072 +:107C10000000FFFF0F000000000000000000000057 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF00000000000000000000C0000000000000077 +:107E000000000000000000000C0000000000000066 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F400000000080100000000000000000000000A1 +:107F50000000000000000000000000000000000021 +:107F6000000000000000000000008004000000008D +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:1081100000000000000000000000800400000000DB +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:108150000000000000000000000000000000FFFF21 +:10816000FFFF000000000000000000000000000011 +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E0000000FFFF010000000000FFFFFEFF000095 +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:108300000000000000000000000000000000FFFF6F +:10831000FFFF00000000000000000000000000005F +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000100001001000000000000000000000B +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:1085000000010000900100000000000000000000D9 +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000040008000000000000000000000006B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F00000004000800000000000000000000000BA +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000C80000DB0000000096 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C0000000080000000000000000080000000099 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000013000000000000000075 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00084102C0000C8000000000001000001003B +:108BB000000020070000000000000000000000008E +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD000003800000000000000000000000000005C +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000E040000000402800000000004B +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000002000000000040100BC +:108F900000000000000001000000000000000000D0 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000010000000000000A0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000060B20000DD +:1091800000300C0000000000000002008001140804 +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A000000000000000000000000000008000003E +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700060802800007800000034000F000000002A +:1093800000000F08001800000000000000000000AE +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E00080000000000000000100000000000000FB +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:1095500000000000000000000000000000004000CB +:1095600000800000000000400000000000000080BB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000100000000000BA +:1096A00000000000000000000400000000000000B6 +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000002000000000007 +:1097600000000000000000020000000000000000F7 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000001000C8 +:109890000000000000000000000000000000800048 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000300000000000000068 +:1098D0000800000000000000000000000000000080 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000003000003000000000003087 +:1099500000000000000000100000000000000000F7 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A90000C000000000000000000000000000000BA +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000001A5 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB000000000080000000000000000000000009C +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000100000000B1 +:109EB0000041000000000000000000000000000061 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F2000000000000000000400000000000000002D +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000A00000000000059 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000400000000000000000000011 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C0100000000000000000400000000000000000E0 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000400000000000000000B0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C070000000000000000040000000000000000080 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000040000000000000000050 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000040000000000000000020 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C1000000000000000000400000000000000000EF +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000040000000000000BF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C16000000000000000000000000040000000008F +:10C1700000000000000000000000000000000000BF +:10C1800000000000020000000000000000000000AD +:10C19000000000000000000000000000008000001F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000300000000000002F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000010000000001E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000001000000000EE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000001000000000BE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000010000000008E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000010000000005E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000030000000000E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C3200000000000000000000000000000300000DD +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000030AD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000001000000000000000000000000000008D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C590000000000000000000000010810800000002 +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C780000000000000000000000000000000008128 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300020020000000000000000000000000000C7 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D9100000000000000000000000000000080000FF +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000800000000000000093 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF000000000000000000000880000000000009A +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000007000000000000000000000000001009E +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C0000000000008000000000000000000000046 +:10E2D0000000000000B0000000000000000000008E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000001000000000000005B +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B00000000000000000000000000000600000F8 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F210000000000000000000000000000000FFFFF0 +:10F22000FFFF0000000000000000000000000000E0 +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000C00000000049 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E00000000000000000000000000000000040D7 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:100410000000000000480000000000000000000094 +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE00000000000000800000000000000000000FD +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD00000000000000000000048000000000000CB +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:101140000000FFFFFFFFFFFF00083F9FFFBBC382C0 +:101150000DD0458AFBBFFFFFFFEFFBBF0BB0EFBF1A +:101160000BB0C382238C00000000000000000000D0 +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000080040C0000000C0090047D +:101340000C0090241E0090241E0000000C0090242D +:101350001E0090241E0090241E00000000000000CB +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B00000000040000000000000000000000000ED +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:101520000000000000000000000000000000900427 +:101530000000008000284F8060B94F8060B94F8064 +:1015400060B94F8060B94F8060B94F8060B00000D3 +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A00000000000000000800000000000000000BB +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000007BFF55FCFFFF5555FF005555333337 +:101730000F0F3333FF00FF0033330F0FFF005555FA +:10174000CCCC000000000000000000000000000001 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:10190000000000000000000000000000000080094E +:101910000000800000048009040280000806000026 +:101920000000C020008200000000800000040000D1 +:101930000000C02000C60000000000000000000001 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000008000000000010020810000000001B2 +:101B10001020802000000001006080000000000113 +:101B20000020802000000001006000000000000094 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000003000000E1 +:101D00000008C300C00000620303C000E000000040 +:101D100000C01400C00003C702C00000440000005F +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF0000800001000001800800000202048120098 +:101F00000000004800100000010008100504010056 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E0000000000000008600848048240170000089 +:1020F000F0030000E97800001000000001780004FF +:10210000102C00C0031000000000000000000000C0 +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D0000000000000001C000000060700100D0EAA +:1022E000007810080000008003140605800200003A +:1022F00002700004800828270194000000000000FC +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C00000000000000000000000020000004200C8 +:1024D00000000000011800290206000022100A3046 +:1024E0001908000000081034C86180000080000056 +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C00000000E040000000C00400007E03800C0CD +:1026D000054000008000000002700007600100C09B +:1026E000C3700000000000000000000000000000B7 +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000007E000878BCC0D144000782 +:1028C000F0001207024406001879008003F8000F98 +:1028D00070380000087A00000000000000000000CE +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:10293000000000000000000800000000000000008F +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000100000000000000110000000014 +:102AB00000000020000000000001102110000000B4 +:102AC0000000000002000000000000000000000004 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B2000000000000000000000000008000000009D +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000232 +:102CA00000000000000000500000000000000000D4 +:102CB00010000000005000000000000000000000B4 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E800000000000000000000000000C0000000036 +:102E90000000000C00000000000000000E00000018 +:102EA000000C00080000000000000000040000000A +:102EB0000000000080000000000000000000000092 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000003000000000000000C0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000040000000BE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:103660000000CFCF3FCFFFFF444400000000FFFF2A +:10367000FFF7000000000BB0FFBE000000000000DC +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:1038500000000000000090241E0000000C0000008A +:10386000000000000C000000000090241E0000007A +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A400000000000000000000000900400000000E2 +:103A500000000000000080040000000000000000E2 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC0000000008000000000000000000000000076 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C300000000000000000000000000000007FFF06 +:103C400033F50000000000000000FFFFFFFF000050 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E300000000110040240200C40000000010000BE +:103E400010010000000080000002000000000000DF +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:1040200000000000000011280000000010404000C7 +:10403000800000000000800000000001002000005F +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:1042100000000000000000000000C08300C3E0C3F5 +:1042200044400000C90000C800DBC000C00000001E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000105A6 +:104410000082004100200000200000000000120087 +:10442000104000000000000000000000000000003C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:104600000000160004001C8403600000000000008D +:1046100000600000103000000010000000000000EA +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F0000000000000002000000000CB018000004D +:10480000C00000000080000080B0000000E4000054 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E000000000000000000000002028000888A04F +:1049F0000000000020010000000000004041000015 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000001005C0 +:104BE000000000070000000020800000008000009E +:104BF00080031C000078000000000000000000009E +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000E05000C00E70100000000080000C4 +:104DE000007000000000400000740000000000009F +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000100000000000010 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC0000000000000000040000000000802000097 +:104FD00010000000000000000000001000010000B0 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B0000000000000000000000D000000000002E0 +:1051C0000C50000E00000000000000000000000075 +:1051D000000D000000000000005000000000000072 +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:105380000000000000060000060000000000000011 +:10539000000000000000000A000000000000000003 +:1053A00000000000000000000000000000000020DD +:1053B0000000000000000000000000000A000000E3 +:1053C00000000000000C00000000000000000000D1 +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:105570000000010001000000000000000000000029 +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000080000000000000031 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:1057900000000000000000200000000000000000E9 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:1059800000000000000000000000002000000000F7 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:107370000008000000000000000000000000000005 +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B0000001000002400000000000000000000089 +:1074C000000000000020000000000000000000009C +:1074D0000000000000000020000000000000040088 +:1074E0000000000000000000000000000400000098 +:1074F000000000000000000000000000000000008C +:107500000008000000000000000000000000000073 +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:107560000000000000080000000000000000000013 +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A000000000000000000000000000007000006A +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D000000000000000000000000000000A0000A0 +:1076E000000000000000000000000000000000009A +:1076F00000000000000C000000000000000000007E +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000060000000000000000F2 +:107890000000000000000000000C0000083006009E +:1078A00000060000000000000000000000300000A2 +:1078B00000000000000000000000000000000010B8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000080000000000000000000000A0 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:1079700000000000000C00000000000000000000FB +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000001000000000000000C6 +:107AB00000000000000000000200000000000000C4 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000100000000000014 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C90000000000000000000000000008000000064 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000010000000000010000100085 +:1099D000000040140000100000000400000000001F +:1099E0000000200001000000000000000000000056 +:1099F0000000200000000000000000000000000047 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB0000000000000000000B000000000000000F5 +:109BC00000501000000000200000300000000000E5 +:109BD0000000B00000000000000000005000000085 +:109BE0000000000000000000000000000000000075 +:109BF00000000C00000000000D00500000000000FC +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA000000000000E00000000000000000C0E008B +:109DB0000000000C00000E000000100C000A000063 +:109DC00000000C0000000000000030000C0000004B +:109DD0000000000000000000000030000000000053 +:109DE0000000000000000100000000000000000072 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000080000000000000000B9 +:109FA0000000000000000000000000000000004071 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000008000000000000011 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000100000000CE +:10A1900000000000000000000000000000000000BF +:10A1A000000000200000000000000000000000008F +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A480000000000000000505F3F3FFFFFFFDAFAF84 +:10A49000F3F3FFFFFF770FFF3300FF7F0FFF330062 +:10A4A000DDDDFFFFBFFF00000000FFFF99BA0000E5 +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000003F15FFFF0000000000000000000099 +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000FFFFFFFF0000CF +:10A590000000000000000000000000000000F100CA +:10A5A000FF070000000000000000000000000000A5 +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000100000000000000000000000000000000A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A670000000000000000000000010201E0000008C +:10A680000C0090241E0000000C0090041C00002010 +:10A690001E0010201E0000000C00000000004800FA +:10A6A0000C2000000000000000000000000000007E +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000010000C00000000000000DD +:10A7600000000000000000000000000000000000E9 +:10A770000000000000000000000000000000684031 +:10A780008002000000000000000000000000000047 +:10A79000000000000C0000000000000000000000AD +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A8200000000040000000000000000000000000E8 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A860000000000000000000000000000000008068 +:10A8700000284F8060B94F8060B94F8060B94F8029 +:10A8800060B94F8060B94F8060B94F8060B0000000 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000009004000000000000000073 +:10A9500000000000000000000000000000000000F7 +:10A960000000000000000000000000000000802443 +:10A9700002000000000000000000000000000000D5 +:10A980000000002012000000000000000000000095 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA100000000000000000400000000000000000F6 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA60000000FFFFFF00FF0055555555FF000F0F79 +:10AA70003333FF0033333333FF0055553333333330 +:10AA8000CCCC00000000000000000000000000002E +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB300000000000000000000000D500B3B30000DA +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000FFFFFFFF0000F9 +:10AB60000000FFFFFFFF00000000000000000000E9 +:10AB70000000AFAE55007F005F1100000000000034 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC50000000000000000000000040200050000044 +:10AC600000004020004000000000C02000A20000C2 +:10AC700000004020009000000000000000000000E4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD300004028000040E000000000000000000007B +:10AD40000000000000000000000000000000000003 +:10AD5000000000000000030004028000080600005C +:10AD600000000000000000000001000010000000D2 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE400000000000000000000000002000000000E2 +:10AE50000000002000000000001080200000000121 +:10AE600000100020000000000000000000000000B2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF2000000081000000000110000000000000008F +:10AF30000000000000000000000000000000000011 +:10AF400000000000000000000000110000000001EF +:10AF500010220000000000000000400080000000FF +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B0300000000000000000000000000000000040D0 +:10B0400000000010E00002000000E305472200C4F9 +:10B05000004003070822010000C000004400000077 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B1100000000000000000C300000000C0000000AC +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000030000C000000547 +:10B1400000E3002303C00000000000000000000036 +:10B15000001001008300000000000000000000005B +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B2300000000020000000000000042000010200C7 +:10B240002000020420200200020020040404000068 +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B3000000000000000000000000000A0000000033 +:10B31000100000000000000000000000000000001D +:10B32000000000000000000000000000008000009D +:10B3300001000000002000004002000000000000AA +:10B340000000000000004000820000000008000033 +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000F000000C00370000FCB +:10B430000000000001700600500800C0030000007A +:10B44000040000400A10000000010000004000005D +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F0000000000000000000000000000000080044 +:10B500000002000A008000000000000000000000AF +:10B51000000000000000000000000000000000002B +:10B52000000000000000000010803400003800001F +:10B530000000000000002C0000100020F0000007B8 +:10B5400000100000010000000000000000000000EA +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B610000000000000000000000006000000000024 +:10B6200000000600C0000000400A0000000000000A +:10B6300000100008E000004000080000600000006A +:10B6400000080000000000000000000000000000F2 +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000010000010680300300000000000008F +:10B700000000000000000000000000000000000039 +:10B7100000000000000000000000000030001000E9 +:10B72000006000000000000000000CC00590000652 +:10B730005000C00400800050000000000000000025 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000102008 +:10B81000010500000012020000010080000040004D +:10B820004610005000082000001400000010000026 +:10B830000002010000010000000000000000000004 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E00000000000000020004010000800000000E0 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910001024020000C000000000000000000C40E5 +:10B9200000400000000000000000003002000000A5 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000780390C0000000004030800015A +:10BA100000000600800200000148004080010CC6C2 +:10BA200005000000E0000C00000000000000000025 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD000000000000000000000000F0000801407BC +:10BAE0000004000000000000000000000000000052 +:10BAF0000000000000000000000000000000000046 +:10BB000000000000C00000000080000000000000F5 +:10BB10000000008000800007400000180000008046 +:10BB20000100000000000000000000000000000014 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF000000000000000000F00000100D0104028ED +:10BC0000008080000038200F04000000011400E0D4 +:10BC10000100012000700000000000000060000032 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000020072 +:10BCD00028006C3A00000000000000000000000096 +:10BCE0000000000000000000000000000000000054 +:10BCF00000000000000000002C383C000040000064 +:10BD000000000000000008A00000163AD00100006A +:10BD10000000000002000000000000000000000021 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000400000000013 +:10BDF00000000000100000000002000020004000D1 +:10BE00000022000000000000000000000000000010 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC00080000000000140000100000000000100AF +:10BED000000000000000000100040001000100005B +:10BEE0000000001000008000000C2004100004007E +:10BEF00000422100000000201102004000008002EA +:10BF000000420000000200000000000000000000ED +:10BF1000010000000000000004000000000000001C +:10BF2000000000000400000000000000000000000D +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000200000000000000031 +:10BFF0000000000000000000004000000000000001 +:10C000000000000000000000B00000500000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C00090000000000000500000B00000000000E0 +:10C0D000000000000000002000500000900C000054 +:10C0E00010009000900000301000000020000000C0 +:10C0F00090000000B0000000000000000000000000 +:10C10000000000100000000000000000000000001F +:10C11000000000000000000000000000000000001F +:10C120000000000000000000000000000008000007 +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000010000000000000000000000009E +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000040000000000004B +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A0000000000000000000300000000008200036 +:10C2B0000800000000000800000000000000060860 +:10C2C000060C000C000C00000E000A000E001E0000 +:10C2D0000E58000000000C500E3C08F080000020BA +:10C2E0000C0C00100000300C0018000000080000CA +:10C2F00000000000000000800C00000000000000B2 +:10C300000C00000000000000000000000800000019 +:10C31000000000000000000000000000000000001D +:10C32000000100000000000000000000000000000C +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000004000000000000000003D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000030000000000000000000002D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000010000000007B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000B0000000000000BB +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000003000000000000000000000000000003A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C7200000100000000000000000000000000000F9 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C780000000000000008101000000000000000027 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000020000059 +:10C7C0000000000000000000000000000000002049 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000003200000000000000000000055 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C9100000000000002000000000000000000000F7 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000330054 +:10C9B000BBBB00000000330033000000000000009B +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA6000000000000000FFF707777FFF135FDFFF84 +:10CA7000BF00000000000000000005043F2A550030 +:10CA8000EFEF0000000000000000FFF3EFFFFFFFEA +:10CA9000FFFFFF7F0100FFFFFFFFFFFFFFFFFFFF23 +:10CAA000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF96 +:10CAB000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF095 +:10CAC0003F300000000033FF0100000000000000C4 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000010000000D5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA000000010201E000000000010001C0000000B +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC50000000000000000000000000201E00002076 +:10CC60001E0000000C00000000000000000000207A +:10CC70001E0000000C00000000000000000000008A +:10CC80000C006840800200000C0068408002684090 +:10CC900080026840800268408002684080026840EC +:10CCA00080026840800268408002684080026840DC +:10CCB000800200000C000000000000000C000000DA +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000040B3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD900000000000000090041000000000000000EF +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE4000000000000000000000000000000048207A +:10CE50001220002012000000000048000020000006 +:10CE6000000000201200002012000000000000005E +:10CE7000000000000000480000200000000000004A +:10CE80000000480000200020120048001020482028 +:10CE90001220488000204080002000201200000066 +:10CEA000000000201200002012000000000000001E +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000040000000000000000000000000B1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000FFF003030000AC +:10CF90000000FFFFEFFF00000000000000000000A5 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000FFFFFFDFFEAE23AF00000000FFBFC8 +:10D050005FFF00000000FFBFDD0D5551DDD0000077 +:10D06000000000000000DFFFFFEFCFFFFFAFA404D0 +:10D07000220020007F7F373F775F00803939FFBB78 +:10D08000220A77FF00477B21271B9F060F0FABBBB0 +:10D0900013110500FFABFF371F000DFF3301000028 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D17000000000000000000000000000000180002E +:10D180008024000000008000002200000000000059 +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D230000000500000018000002000000402402097 +:10D240002010000000000000000000000403402047 +:10D2500010800000000000000000000000008000BE +:10D260000000000000008000000660000001C020F7 +:10D27000100600000403C020140700000000C020B6 +:10D28000004600000402C02024C000000403402027 +:10D2900044C1000000000000000000000000000089 +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D360000000000000000000000000000000C000FD +:10D3700080000001080080000000000100000000A3 +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D42000000000000000C000800000010800012092 +:10D43000000000000000000000000000000041208B +:10D44000800000001010000000000000000000003C +:10D450000000000100220000000000010022600026 +:10D460008000000100E241008000000110E20000A5 +:10D470000000000100E201000000000100E24100A4 +:10D48000800000008090000000000000000000000C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D560000000C00000C880130100000000C00000DF +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D6100000000000000000000000000000002000EA +:10D62000800000220322C522440000000000000008 +:10D6300000000005C6812100442300000000000016 +:10D64000000000020020100000000045001004004F +:10D6500000000008008100008100C4A38180C40094 +:10D66000C4C100000000000000000000C405002349 +:10D67000C40000E3C501C40580A30004A0000000AD +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000010000100800040400100B7 +:10D760000010000001200000000000000000000088 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000040208082000000040020000000000082 +:10D8200000000000000000000403200000000000D1 +:10D830000000000000000080042000000000004004 +:10D84000000000000000000104030400C002000406 +:10D85000C0020000002100000000000000000008DD +:10D8600000000000000000204001000080020000D5 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000004007001C0074 +:10D95000E06000100000000040E000000000000057 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA0000000000007C3C1D002060020280112C4EB2 +:10DA10000100080000000008000010877C3220EFA1 +:10DA2000F110000000000000000048C075062C0046 +:10DA300000110EC8790150A0CB800E877048901657 +:10DA4000F0004E422C3C2D05E2402043653800CECC +:10DA5000066D088368304EA8FA901E93020000A459 +:10DA6000F1720000000000000088000000000000CB +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000200F0F500800300000000B00000BB +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF000000000000000002002000800003C301877 +:10DC0000E00C002101481800003840030000200B00 +:10DC1000EC7800C1518000000000000000003000DE +:10DC2000E4300CC7C5B0300020300D4650112F0233 +:10DC3000201416840538224226080CB00134C2078D +:10DC4000F87C4106E00060848B340C11B0802084A5 +:10DC50000300008EC30800008000000000000000E8 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000400000000000000000000000000000030 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000008000000DB +:10DD400020040000000000000000000000000000AF +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000026090004 +:10DDF000200000000010000E004402000008000C8B +:10DE00000000810C00203030008000000000000085 +:10DE1000000000C84002028A2088005021080080CB +:10DE200000820014E90102D008542401020C000011 +:10DE300026924808800082402000842114120002AB +:10DE400000812859000808610081000010000000CE +:10DE500000140000000000000000000000000000AE +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000A0000000000010000041 +:10DF30000000000000700000000000000000000071 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE000063000000071B008108001C9030800006D +:10DFF000000000090000006860108200A0400000DE +:10E000000000000000001C08E03800040168AF8236 +:10E01000670090C44C804312000090C7A101108299 +:10E02000274002870D30000FE8B10006C0080E122D +:10E03000228000D7F46006AFE07402C6C960000019 +:10E0400080011C0000300000000000000000000003 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E120000080000000022800D0180000000000005D +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D0000000000078080000008802075400108644 +:10E1E000091016000000000400000644000308D0D7 +:10E1F000F10100000000000000002C838072BCCB05 +:10E20000B640824581684A4FA0410382620040982F +:10E2100043618C888139102F00000343613A809656 +:10E2200009B10917A00000A7F1300E8478291CA4B9 +:10E23000C962000000004000006000000000000013 +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000100000CD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C00000200000800104008000000000004440A4 +:10E3D000002000210401300010422054101012309F +:10E3E0000102000002401410080000010010304833 +:10E3F00080028200400014401010000100504800CC +:10E40000124A0C542201840A01120A008000800082 +:10E410000101200210203002200C000010201120E9 +:10E4200001000020022000000000000000000000A9 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200008000000000000050000000000000000DE +:10E5300000300000000000000000000000000000AB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B0000000000000000000000000000000000259 +:10E5C0000000000000100012000000002000B03029 +:10E5D000303019200C100900000A002014009000AF +:10E5E0009020106060000000000010001020B06853 +:10E5F0004010B850303808107600B00818109C0948 +:10E60000B03000500050B0600000000D0A1810003B +:10E6100000BE30A900601040000000000000900023 +:10E6200000000000300000000000000000000000BA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000010000000000000000000000F8 +:10E7200000000000000000000000000000000000E9 +:10E730000000000000000080000000000000000059 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A00000000000000000400002000030080000EF +:10E7B000324000000000240000B0009C040C100057 +:10E7C000001C1010000000300D88004208200400DA +:10E7D0008E000A080000000010081C000000003035 +:10E7E0000A00000D0E1020004088002004081404C8 +:10E7F000D90C04703BF03050085C10780000100C0D +:10E8000030D080000010082004008020083E000066 +:10E810000000000000000000800000000000000078 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000020000000000000000058 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D00000000000000000000000000000008000B8 +:10E8E0000000000000000000000000000000000028 +:10E8F00000300000000000000000000000000000E8 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E950000000000000000000000000400000000077 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000003000000040000017 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000023000000000000025 +:10E9C00000000000000000000000800020000000A7 +:10E9D00000000000200000002000000000000000F7 +:10E9E0000000000000000000001000000000028095 +:10E9F0000000000000000000000000000000000017 +:10EA0000000C0000000000000000000030000000CA +:10EA10000000000000000000208000000000000056 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000200000000000000000000000000016 +:10EAE0000000000000B00000000000000000000076 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB40000000000000000000000000000000003095 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000080000015 +:10EB80000020000000000000000000000000000065 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000000000008002000000000003D +:10EBB0000000000000000000000000000000102025 +:10EBC0008020000000000000800000000000000124 +:10EBD00000000400040000000000000000800000AD +:10EBE0000000042000000000000000000000000001 +:10EBF000000000000802000000000000000000000B +:10EC00004000000000000000000000004020000064 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000C000000000000000000000000000A4 +:10ECB0004300000000000000000000000000000011 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000002000000000000014 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000010000000000000000000000000082 +:10ED9000000000000000000000000000040020004F +:10EDA0000010000000000000000000000000000053 +:10EDB00000000030002000000000000004200000DF +:10EDC000100000000400040004080000000000001F +:10EDD0000000000000000000000000000000000033 +:10EDE000000000000000000004000000000000001F +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF700000001F0FDFCC0105FFFF0100FBFA0000BE +:10EF80000000000000007FFFBB0BFFAFFFEC0101A2 +:10EF9000808045CFAF8C2727FF3FFFFFFFFF010099 +:10EFA00051557FFF01000100333110540100FF77FC +:10EFB000FF7FFDFF0F07FFDF0302FFDF0022FFFFE0 +:10EFC000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF51 +:10EFD000FFFFFFFFFFFFFFFFFFFFFFFFFFFF00003F +:10EFE0000000FFFFFFFF0000000000000000000025 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F1600000000000000000201E0090040C000000C1 +:10F170000C00000000000000000000201E00002025 +:10F180001E0000000C0090241E0000000C006840CF +:10F19000800200201E0000000C0000201E00000065 +:10F1A0000C0048000C2000000C0000000C000000C7 +:10F1B0000C0068408002684080026840800268401D +:10F1C0008002684080026840800268408002684097 +:10F1D0008002000000006840800200000000000083 +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F25000000000000000000000000040000000006E +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F3700000009024120000000000000000000000C7 +:10F38000000000000000000000000000000000007D +:10F39000000048000020902412000000000090248B +:10F3A00012000000000048800020408000204880BB +:10F3B000002040800020000000000020120000001B +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F44000000000000000000000000000000000407C +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000BBBBDDDFCCFFBE +:10F55000FCFF0000000001000100000000000000AE +:10F56000000001000F000BBB51F300000000FFFF83 +:10F570000100000000000002FFFBFFBF1333FFBBD0 +:10F58000058D333B0100FF7FFF5F1B331B333FFFC4 +:10F59000BFFF3555EF00000CFBFFB7129F067D4102 +:10F5A000FF009F061D47D714FF0023FF01000080C6 +:10F5B000F00F00000000000000000000000000004C +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F740000000800000020000000040200080000057 +:10F7500000000000000080080001C0200010000030 +:10F76000000080000008000000008000002200006F +:10F7700000004020004000000000402000C00000C9 +:10F780000402000000000000040200000400000069 +:10F790000000C020004200000000C02000C6500051 +:10F7A0000001C020104300000000C02000660000DF +:10F7B00000008000000400000000000000000000C5 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F930000000800000000001000400200000000022 +:10F9400000080000000000000000C020800000014E +:10F9500040048000000000010000000000000001E1 +:10F960000002002000000000004000200000000015 +:10F970000010010000000000100001000000000065 +:10F98000100000000000000100E200000000000183 +:10F9900000AA40008000000100C600000000000135 +:10F9A00000C2000000000001002200000000000072 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000310000000C2 +:10FB300000C70000040000000000000000000000FA +:10FB400000C84600800000C300000000C3000000A1 +:10FB5000000000000000C0000200004044424405D4 +:10FB6000420400000000C4C3C0C30323C0C303C3D6 +:10FB7000C0000400C0E3000000000000000000001E +:10FB8000000000000000A080000101000000000053 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000008001004080A2 +:10FD2000014020000404200000000000000000004A +:10FD30000000004000000400800000180540008022 +:10FD4000180000000000000000000103040500206E +:10FD500040A000000500000000000003C0030400F4 +:10FD6000802140038000000080200000000000008F +:10FD70000010100000000000000000020001400020 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000002020B1 +:10FF100054B0080EE038005078054000003800006A +:10FF2000000000000000008225A80EAA2B604013EC +:10FF300000080080D23000DF79702840F03C8F80CC +:10FF4000F000102FC5000680F0300066C060080584 +:10FF5000502800D8FB398DA0088001A0F38A568272 +:10FF60002528342602424E436508210E00014C82AA +:10FF70002414142EF000000220000000088000006D +:10FF80006890000000600000000000000000000019 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:100100000000000000384100081400D003000D007A +:10011000E112000000000000000030CB05784046EE +:100120005000800722A82CD00494000060011440E5 +:10013000D4400010C83511470A68203F634040C1D1 +:1001400024C20832C380084340100602003800264B +:10015000210000A7E06C6CC6C4680EA7E888CC57E5 +:1001600024402117E8022C2E400E4014800201800A +:1001700003B0000080B82C00001400000000000054 +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000004000000FB +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F000000000000000818040100000008000022B +:1003000002800420204000000000000000000001E6 +:1003100040041580802000004063040000000000BD +:100320000100104040010400860202000409400060 +:100330002105000062C0425051008214404004C9AF +:10034000000144C3401003064120008000C1700C2E +:10035000E040005000460102040008800000000850 +:1003600090000600000100002001010000030000D1 +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000020880908064D +:1004F00000080000E03A0040400C0000000000004E +:100500000000906830401026E0084013862828003C +:100510000004009883012C00507006180328C04086 +:100520000A040F18D01014CC41181C0CE680600689 +:100530000138280816080C2100700C05C82C021878 +:100540000400434480ACD60640081C0360AC8146DE +:1005500022C00003600000D001700000000C1400F5 +:10056000006000000000000000000000000000002B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000F0806C27001000D00500000034120000DC +:1006F0000000000000000004503110E0D3F20000C0 +:1007000068800000D0780020D2B42000C0C017D587 +:100710006180008AA7100343722C81864B112C4004 +:100720005D0012C62390104410020D06E17450873C +:100730007D080C26024102477028110402418E45B3 +:100740005B01080F05154007744800000AC000004F +:1007500028000000008000000000000000000000F1 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D00000000000000000000000001000400000C8 +:1008E00000018001100092001040302820244200B6 +:1008F00084028211042010290012904140312030DE +:10090000410990025011488010310001181238023C +:10091000981410000C402248882C04080441080058 +:100920004448012808549C882411200900082010FC +:10093000000900201002000C280108021008020023 +:100940000102002200020800000000020000100066 +:10095000000004000002000000000000040000008D +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000A000000000000000000000000009C +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000020003000001CAA +:100AE0000038600000004018000D18080000051ACA +:100AF00008000018B0041040003044601A10904004 +:100B00002420349D2C102A400802006C646C00A044 +:100B100070100808407808A80C30146C00390044A4 +:100B200000400020000C995A10606C5C94109010EA +:100B30000D0090007970900090000C50900DB00066 +:100B40000000200000A0000000000000B000000035 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000100000000C3 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000A0000000000000000000000003A +:100CB0000000000000000000000000000000000034 +:100CC00000000202000000000E081A0C0252180078 +:100CD00042102282228520001054180904020B1CA5 +:100CE000420C1A880B0C1A230C0C3004000C203A0E +:100CF0000A2C000800083004100C0000000004104A +:100D000030300C000C9C000138200D20003C1430C9 +:100D100028580E7C000000500A080000000001085E +:100D20003E880080000004000C080030000800002D +:100D30000000000C000000000E000C000008000085 +:100D4000000000000800000000000000000000009B +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000100000000000000000000000004052 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000300000000000000000000000004002 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA00000000000203000000000000000000040B2 +:100EB000000000000000000000000000000C000026 +:100EC0000000000000000000000000000000000022 +:100ED00000000000001000000000200000000000E2 +:100EE0000000000000002040020000002000000080 +:100EF0000000000020000000000000402020000052 +:100F000000400000203020000000004000000000F1 +:100F10000000004000000000000000000000000091 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:101040000000001000000000000000000000000090 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000001000000000000000000000000060 +:101080000000000000000000000000000000000060 +:1010900000000000000000000080000000000000D0 +:1010A0000000002000000000000000000000000020 +:1010B000000200000000000000000000000000002E +:1010C0000000000000000400088000000000000094 +:1010D0000800000000000000000080210000000067 +:1010E0008000000080000000800080008000000080 +:1010F0008080000000200000009000000000002020 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000000000000000000000300000000000008F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000040420000009D +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000100000000000AE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000001000000000007E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000100000004E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000102E +:1012B0000000000000000010000004000400000016 +:1012C00000001000040000000020000000000000EA +:1012D00000000000000000000020002000000020AE +:1012E00000000000000001000000008052201000FB +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000200000AD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:101460000000CCFFFF7F00000000FDFDFDFD00003F +:1014700000000000000000000000F8FAEBEB0000A4 +:101480000000CF010B0BFEFFFF7F000000000000FB +:101490000000FFFD0999FFDF3F0FFFEFF3F3FFBFF0 +:1014A000300C5400F5C405000100FB01F3F3DD0D21 +:1014B000D5007FFFFFF7FFF30100FFFFFFFF33FFC2 +:1014C000FF7FFFF5FF7FF7FFFFF5FFFFFFFFFFFF48 +:1014D000FFFFFFFFFFFFFFFFFFFFBE7D7FFFF66FF8 +:1014E000FFBFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1014F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC +:10150000FFFFFFFFFFFFFFFFFFFF000000000000E5 +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:101580000000000008000000000000000000000053 +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:1016500000000000000000000C00000000009024CA +:101660001E000000000000000000000000009024A8 +:101670001E000000000090040C0000000C000000A0 +:1016800000000000000000201E0000000C0080246C +:101690001E0090040C0000201E0000000C0090248E +:1016A0001E0048000C2048000C2000000C00684080 +:1016B000800200000C0048000C2000000C00684074 +:1016C000800268408002684080026840800200001A +:1016D0000C0000000C0068408002684080026840F6 +:1016E0008002684080026840800268408002684052 +:1016F00080026840800268408002684080020000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000040000000000000000029 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:10186000000090040000902412000000000000001E +:1018700000000000000000000000900400000000D4 +:10188000000000201200002012009024120000002E +:1018900000000000000000000000480000200000E0 +:1018A0000000000000000000000000000000000038 +:1018B00000009024120090241200488000204080F4 +:1018C000002000000000000000009024120090247E +:1018D0001200902412000000000048800020408088 +:1018E00000200000000000000000000000000000D8 +:1018F0000000800400000000000000000000000064 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000C000000000B7 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000AFAA3D +:101A500003300000000095FF0300BBFFCFFFFBF049 +:101A6000BF7FFF7FDD0F000000003F3F0101CF8AF5 +:101A70001100FFFF01007FFF0777FFF7DD0DFFBFBC +:101A8000B3FF20203B00FFBFFF7FEFFF0100BFFF40 +:101A9000FFF7010057558830BFFFFF7FFF20808090 +:101AA000EFFFFFFEFFEF1B331B3335552F0DB71232 +:101AB000271B7B213333F66FFFBFFF7FB3FFF00F90 +:101AC0005559CCCC0FF055990F87FFFFF00F330F0E +:101AD0007744CF03FF00000000000000000000007A +:101AE000000000000000FFFFFFFF000000000000FA +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C30000000000000000000000000300000402014 +:101C400000100000000000000000522404034020A7 +:101C500088400000000040200080000000000000DC +:101C60000000000004024020048080280403C020FB +:101C7000002001000402402020100000000040204D +:101C800000C0000000000000000000000000402034 +:101C90000090000000000000000000000403C020CD +:101CA000C88200000000C0200044000000000000C6 +:101CB000000010000403C020102200000402C02015 +:101CC000004000000000C020000200000000C02012 +:101CD000004400000000C0200020030004020000B7 +:101CE00008000000000000000000000000000000EC +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E2000000000000000000000000000000000288A +:101E30000000000000000000000000000000512031 +:101E400080000000281000200000000000100000AA +:101E50000000000000000120000000008008C128F0 +:101E60008000000128101120000000000000002068 +:101E70000000000000400000000000000000000022 +:101E80000000000000800000000000000000410091 +:101E90008000000180B200000000000100B20000DC +:101EA00000000000000051008000000110C211007D +:101EB0000000000110E200000000000100E200004C +:101EC0000000000100E2000000000001009211008B +:101ED00000000000100000000000000000000000F2 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:102020000200020700220000030000000000000080 +:10203000000003C803C1442AE31300C0E000A0C0AD +:10204000C300000000000400000044E22040080338 +:102050000000C3C302C003858300A0E344420340E1 +:10206000444000E20347A00708E20000000000002F +:1020700000000800A3000000000820200900040060 +:102080000420C703C593D3C393C900000000000018 +:102090000000101000854010040503DB93C980E8A0 +:1020A00004030023A3E3C303C7E30000000500000B +:1020B000000000000000010000000000000000001F +:1020C0000000C0030300000000000000000000004A +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:102210000000000002000400000000002000000098 +:1022200000000000000020004005000040004005C4 +:1022300004014004210000004000002000000002D2 +:1022400020200001000430A00440080284020002A3 +:1022500000A0042000408004022040000040000450 +:10226000000200200000040002000000080000003E +:10227000200300000000400140028021800100088E +:10228000000000000000002000040100040214000F +:102290008001C000042110000402C00140200001A0 +:1022A0000000000000000800000020000000000006 +:1022B0000008000000008001400000000000000055 +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000100000300020000C6 +:1024100001000C000000000400008F470180008EC6 +:10242000430001A71180088EF39000000000000017 +:10243000400100056880800021380EA7F1080804DB +:10244000F6B8910300322020F16230AF00500C0644 +:10245000F200484801802887A7010F44040010F6C5 +:10246000F13140C451B18A8402000243600800087F +:102470002516A207740834C8016068157803000A9D +:10248000F9604203644814C8E13860000C004E074C +:10249000E9901EA41010148009B140041438108073 +:1024A0000260000410501500040400000000000049 +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F0000000000000000005000000100500C004FD +:102600000030002003002000002800090000080717 +:10261000031028C709001000230ABCE7E3B000003C +:10262000000000004000D000033800E40200224017 +:1026300002802C8BC1420E2020B1000E0380088046 +:10264000030054C6C3E21627000010C6E20C1E0F9A +:10265000EE0001A0C16020902378001C104182236D +:102660007BB815C7CAE08207F84C104BA44A08C7CC +:1026700021101C86E3180217E002950905100023BB +:10268000E2000C400048069360561440E2C0000788 +:10269000E00014200004401360020D90013000009F +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000400000000000000B5 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000003000000000B9 +:1027F00002000028000000000000400000088000E7 +:1028000000002469050080A2000000941210480214 +:10281000081000000000010080001000A4044040E7 +:1028200060C0240402080C980000A00440040020AA +:102830000400A0A24AA11828004003000B01940044 +:102840002081010400002000146400204504405051 +:1028500000884A500029104A00004840000014092E +:10286000004004440000025A4C4407000680025015 +:1028700020400C09802400802001181221018A00C8 +:102880000000002440920A1001240008B00000005B +:1028900002820000000400000000000000000000B0 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000003F4 +:1029E00000000040000000030000000000000200A2 +:1029F000000000070000108001008020E00032305D +:102A0000C0012CC1D1710040060008000000B608CA +:102A100022004081013810182616800ED07400580C +:102A2000C6085484C5490E836008D00019700D1D76 +:102A3000005414C4CB1018C5230D95D6A408A325A3 +:102A400088B97C01091AAE22300082CEE0694C942C +:102A5000C00300B3A13C8E87E4008A004040000F11 +:102A6000C03800400094080DC009009701304607A7 +:102A7000E02800C80170800490B00000020040050A +:102A8000C08001C0046000000038000000000000A9 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000600000300000000EC +:102BE000000001000078002000000060017000007B +:102BF00000028E40280C16070864004000400000C8 +:102C0000F0000E141000218A471008830001010013 +:102C1000D0480C4009010BE0211400C7F500400624 +:102C2000E8F8028394280D2F28714EAD0150008FD3 +:102C30004210060490283C0402108C4220090C86A5 +:102C4000C6660D636194002A024253C485845C0FFA +:102C5000C078000B582D2C0F0010280000002CAF5E +:102C60000AE007400B940046040C00077000008047 +:102C700001780002200010800178000000000000B0 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000002020000000004000000182 +:102DD000001100000000000000100000200004208E +:102DE0000040001400000200100202000042100126 +:102DF00030200000201440404000400980000800BE +:102E00004481211004001108480182511002001071 +:102E10004000080180200440105014241A01108141 +:102E2000900034102011982012094144922114512D +:102E3000121001121A2230111801102910120488E0 +:102E40008841280152420424424220229420002A30 +:102E50000014803429210422202200000182003045 +:102E60000002120000220400000200000002000024 +:102E70000000000000000000000000010000000051 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC00000000000002000000000000000100000D1 +:102FD00000000006B000003000000000004000606B +:102FE00000000000200000000000000000000004BD +:102FF00000000C0070000D2000103D0C040AAD0E06 +:10300000100E60100C0074420A0906001029000915 +:103010001408004900001030682A0C6920644970C7 +:103020000600303E00007902106D36301009106441 +:10303000182A790C444DB45D025C6C024242604631 +:10304000266A6600400E0022906E0D0E000C0D00E8 +:10305000001D083E09002E0D0DAE9040000000102E +:10306000900000A000700000000000007000A000B0 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A00000000000000000000A0000000000000015 +:1031B0000A00000000000008000C000000000000F1 +:1031C0000A000A00300000000010000400000400A3 +:1031D000000004000004000800300000200430302B +:1031E00000001008324000002C381C4200C30C00C4 +:1031F0000058181C030C43420100005812100832FA +:103200004212441C0C0D4A383A0010002B083A00B8 +:1032100050780C241828080C080A0C00000C100820 +:103220000A080A3C010C00301E2C000C00010500AD +:1032300000000034141E000C0000301C1C3C083C34 +:103240000019000004A80130000C0C000038000038 +:1032500000080080000C00000000000000000000DA +:10326000000000040000000000000000000000005A +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000100000000000002D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000302000000000000000000000000000AD +:1033D00000000000000000000000000000000000ED +:1033E0004000000000040000000000000000000099 +:1033F000003000000000000000000000000000009D +:103400000000000000000020202000080000000054 +:1034100000000000000020002040024000180000D2 +:1034200002000042000040000000200000000000F8 +:10343000000000000000000000000000000000008C +:10344000000C000000000000000000000000000070 +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:1035700000000000000000000000000000800000CB +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A00000000000000000000000200000000000FB +:1035B0000000000000B080000000000000000000DB +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000002000000000000E9 +:1035E000000000000080000000000000000000005B +:1035F0000000800000000001000100808400000144 +:10360000000000000020000000000000002008A0D2 +:1036100000420000809100008101200000000400B1 +:103620000000000000000000000000000002000098 +:103630000000000000020000000000000000000088 +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000020000000000E8 +:1036E000000000000000004000000000000000009A +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000200009 +:1037A00000000000000000000020000000000000F9 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F0000430000000000020003000000000100035 +:10380000100010C200004001002400000020100041 +:103810000010140000000000000000000000000084 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:1039700000000000000000000000F3FFF5FFFFFC66 +:10398000F5FFF5FFF3FFFDFDFBFBFFFFFEFFEFEF94 +:10399000FFFF00000000CFFFBBFF0333DDFFFFFF91 +:1039A000FFFF000000000000000000000000000019 +:1039B000000000000000000000000F0F3373000043 +:1039C0000000FFFF01007FFF3F2AFFFFFFFFFFFF17 +:1039D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7 +:1039E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7 +:1039F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD7 +:103A0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC6 +:103A1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB6 +:103A2000FFFFFFFFFFFF000000000000000000009C +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA000080000000000000000000000000000000E +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B600000000000000000000000000000009024A1 +:103B70001E0090241E0090241E0090241E000000B1 +:103B80000C0090040C000000000090241E00902403 +:103B90001E0000008C020000000000000000000079 +:103BA00000000000000000000000000000004820AD +:103BB0001E200000000000000C0000201E006840D5 +:103BC000800268408002684080026840800268404D +:103BD000800268408002684080026840800268403D +:103BE000800268408002684080026840800268402D +:103BF000800268408002684080026840800268401D +:103C0000800268408002684080026840800268400C +:103C100080026840800268408002000000000000CE +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C900000000040000000000000000000000000E4 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D500000000000000000000000000000000080E3 +:103D600000284F8060B94F8060B94F8060B94F80A4 +:103D700060B94F8060B94F8060B94F8060B94F80A3 +:103D800060B94F8060B94F8060B94F8060B09024B7 +:103D900012000000000000000000000000000020F1 +:103DA000120090040000902402004820122000001D +:103DB000000000000000002012000020120000009F +:103DC0000000000000004880002040800020488063 +:103DD0000020408000204880002040800020902467 +:103DE000120000000000000000009024020000000B +:103DF000000090241200488000204080002048806D +:103E000000204080002000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E800000000000000000400000000000000000F2 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000FFFF33330F0F3333FF000F0F5555B2 +:103F60005555555533330F0F33333333FF00555504 +:103F70000F0F3333FF00FF005555FF003333555506 +:103F800000FFDDFFCFFF0000000000000000000088 +:103F90000000FFDF07770FFF0100FF0FFFFFFFFFAC +:103FA000FF7F00000000FFEFCF457FFFDD0D7FFFAB +:103FB0000BBB03010FFF0004F7FF35537B217B216F +:103FC000FF007B219F066F09FF009F066F099F0678 +:103FD0000F0F5FA03393FFFBFFFDFFFFFFFF55AA0D +:103FE000FFFFFFFFFFFF2D2DDF20330F3F0CCF031F +:103FF0003333CF03CF037744FF00FFFFFFFF000001 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000008000000A0000E5 +:104150000000C02000260010000040200040002089 +:1041600000004020005080280000C02000640000B3 +:104170000000000000000100040200002400000014 +:1041800000000000000090090403800000201000DF +:1041900004030000200000000000C02000460000D2 +:1041A0000403C020040700000000C02000C4000079 +:1041B0000000C02000C000000000C020004400003B +:1041C0000000C020004002000402C0200006700071 +:1041D0000001C020804730000001C0208041000065 +:1041E0000000C02000CA00000000C0200040000005 +:1041F0000000C02000D0000000000000000000000F +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:1043300000000000000000000000800200000001FA +:104340000000803400000001001000280000000080 +:104350000008002800000000000080280000000184 +:10436000001000000000000000001100000000002C +:1043700000000000000000000000C10080000001FB +:1043800028005100800000004000002000000001D3 +:10439000006241008000000128E2000000000001EE +:1043A00000B200000000000100E200000000000177 +:1043B00000E200000000000100B203000000000164 +:1043C00080C640008000080140E2400080000001FB +:1043D00008E200000000000100C20000000000012F +:1043E00000E200000000000100A200000000000048 +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:1045300000000000030300600000E02203001003FD +:104540000000000744220008E00300C00000004310 +:104550000008C0C0E000004000000003001003039A +:104560000323000000000000000000000000040021 +:10457000CBC0C4C0000000C00080800000C00000AC +:104580000200000044008000C483C023C400000077 +:10459000D00000C00010000000000000000000007B +:1045A0000000000500000000010000000000C1C381 +:1045B000C3D0C7E307208193CBC0C993DB0300803E +:1045C00093CBC801009300000000A0000000000091 +:1045D000000000000000010000C00000C00000005A +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:1047200000000000000000020928402000000000F6 +:1047300008C0008000004000040004000080000465 +:1047400000000028020001100080404000000080AE +:104750000000040140000000000000000000000014 +:1047600000000000044000400040002000048000E1 +:104770000002800084000000002080000082010010 +:1047800000020000010000400000000000000008DE +:1047900000028000080000000000800020100000DF +:1047A0000000A082842002020200C00202404002F7 +:1047B0000080000280800001000200000010000064 +:1047C0000000080000000000000030000040000071 +:1047D00001000000000000000000000000000000D8 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000004000093 +:104920005000000E081000050000000002100002F8 +:104930000000000040004100000008C003100620F5 +:10494000000000000000060000000004000000005D +:104950000000000000002ED005845C0ED0100E1068 +:1049600000300000C070009363011280F23842678B +:104970007C08350EF24002C7739031C4F138828250 +:1049800028B51420040442A3644A17640248684707 +:1049900075521384A5348055573A1D4E4644400045 +:1049A00004000080023800055C081480E2601E8369 +:1049B000634A1CE401385C841530908A09B04004D5 +:1049C00014382D800AE00000000000000000000004 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B0000000000000000000000000000C0000000E5 +:104B1000001010080000008001004002E01000209A +:104B2000010806000000000000000600C0000100AF +:104B30000510100003000000000027000000000026 +:104B4000000000000000000000001880633812061A +:104B5000F0801080032C80030808C00580023020FC +:104B600002002907E2801406A28220A221B090C987 +:104B7000A46003248A30159601308807F808088657 +:104B8000A5328C07F0108C9609301004E3B88D0F15 +:104B9000A1110005E00001D00170000DE00030001F +:104BA000E1100614800A5523E0040017E376085E3E +:104BB0000040000360001000011800000000000029 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000100B3 +:104D000008010000080400004000000242300004D6 +:104D10000841008008000A010000202020008000D7 +:104D20008101000000C30000001000000000080026 +:104D300000000002000000000000000000002080D1 +:104D40002080400A10000000001500001010000034 +:104D5000022000100C410001298080624008841C60 +:104D600040010010085090010200425208065028ED +:104D700080A24012044548400180005608063004D5 +:104D8000608020041A25000441900620008800035A +:104D9000241408202B2D90502001100008000288B8 +:104DA000A4000A5000060004C012008000000000A9 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000280E300000000484014E00200C00F +:104F000005600097E10100070080800000000C00B0 +:104F1000E00000000000001005100200000000008A +:104F2000E000080000000007000000000000000092 +:104F300000000120600A60010000000086380800BF +:104F400000008008800100C0C970494000300C009A +:104F500000080022C2308091C1408207F08001C861 +:104F600030682847E0302A5800400802208840C3B3 +:104F7000A06A004400305444E040000000300010BB +:104F8000C5180085018800C0E170C803731000C017 +:104F90000060460360300080413A4013008000808A +:104FA00005300000000000000000000000000000CC +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E0000000000000000000780100000040000403 +:1050F00010011680E266A003000080000030060464 +:10510000000000000001080F70000000D110010035 +:1051100000000000000128000000800000000000E6 +:105120000000000000000C0010000E04A018000099 +:105130000400010000400095293835C0C1802C488A +:10514000800040A7A53640035A812880B145874595 +:105150005031008801301244103008840130688BCF +:10516000E430028A0130405C28040A040672400DD3 +:10517000D0011C00003280952B300080F73228A32C +:1051800060723440064C174413F8000002400005DA +:10519000000016C003B8000000000000000000007E +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000020000000000000000000000000000008C +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00020400000300010000000004010200000AE +:1052F000002030000010142000000100100A1002ED +:105300000004000800080000000020000000000069 +:10531000000000000000000000002001000040200C +:1053200000804289100104540044101A040008143B +:1053300028100449414010111000085040504010FE +:105340001A24884400443910001110001010820102 +:10535000202418011C198010049000524244444833 +:10536000524230440044302C101A01002804042218 +:10537000000049400420301010410002200429148C +:10538000000000000000001000008000000000008D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D000000000000000000000000000005000007C +:1054E000100000000000000070202060000000009C +:1054F00000000000000000004E000000000A000054 +:105500000000000000000C00000800000800600D12 +:105510000C00080D000070543009081E00BD383022 +:105520003D060020342A000408B91832380900303A +:10553000483A9C0D340098000E5D0C0D0800107068 +:105540000C00BD7970080C0C243479083C1D6C16D5 +:105550000602BA0420620D02700008700200694061 +:10556000007A084D0D6A000A00722810300A000EF9 +:1055700000000020000A0C000900090C0EB0A00079 +:10558000B00000000E0009000000007000000000E4 +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D000000000000020000000302000000000203A +:1056E000000008000008000800040000000000009E +:1056F0000000200000000000000001000001000088 +:105700000100300C0300310000303C3C0008013047 +:105710004E3001408800000C9E400C0CBD204F0C08 +:105720000F42000001222100083010040E181C0056 +:105730000B080E000101380C103900090408300173 +:10574000811001240008202004002038003421208A +:10575000000C08003004051800003C3004203B0019 +:105760000018000C3008080C0000010000000001C7 +:105770000000200000000000000000000000000009 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000001000000000000000000000E8 +:1058B00000000000000000000000000000000000E8 +:1058C0000000000000000000400000000000000098 +:1058D0000000000000002000000000000030000078 +:1058E0000000000000004000000000000000000078 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000004000000030000027 +:10591000000000000000002004000000000004005F +:105920000000000000000000300000000000000047 +:1059300000000000004000000400042000200000DF +:105940000000300000400000004004604000000003 +:1059500000002400800000000000000000000000A3 +:105960000000000000000000000000000001000036 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000C0000000000000000000000008A +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000008000000000000086 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000020000000C6 +:105AC00000000000000000000000000000000000D6 +:105AD00000B00000000000000000200000000000F6 +:105AE00000000000000000000000000000000000B6 +:105AF0000000000000000000000000000020000086 +:105B000000000000000000000000208001000000F4 +:105B1000000001000000000800000000400000003C +:105B2000000000000008000000002020010000A488 +:105B30000001000000004000000000000000010023 +:105B40002000000000000000300000000000000005 +:105B50000030000000000000001000000000000005 +:105B60000000000000000000000000000000000035 +:105B700000000000000000000030000000000000F5 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF000000000000000820400000000000000001F +:105C00000000008000000000000000000000000014 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000001000D4 +:105CC00000000000002000000000000000000000B4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF0002000000000100000000000000000200054 +:105D0000000000000000000000000004000200008D +:105D1000000000000000000000040000100020202F +:105D200004000824003400001000000400000000FB +:105D3000000000100000000000001800000000003B +:105D40000020004040200000000000000020000073 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000020000013 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA0000000000000000000000000000000FEFFF5 +:105EB000FF33FF7F10000100BFFF00000000000063 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000333B7FFF0000D6 +:105EE0000000FFFFFFFFFFFFFFFF00000000FFFFBC +:105EF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB2 +:105F0000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA1 +:105F1000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF91 +:105F2000FFFF00000000FFFFFFFFFFFFFFFFFFFF7D +:105F3000FFFF00000000FFFFFFFF00000000000067 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000008000000D9 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A000000000000C0000000C0000000C000000CC +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000020B0 +:1060D0001E0000000000684080026840800200004E +:1060E000000068408002684080026840800268408A +:1060F00080026840800268408002684080026840F8 +:1061000080026840800268408002684080026840E7 +:106110008002684080020000000068408002684001 +:106120008002684080020000000068408002000099 +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000040AF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:106290000000902412000000000090241200000072 +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C000000000201200000000000020120000204A +:1062D00012000000000090241200000000000000E6 +:1062E000000048800020408000204880002040803E +:1062F000002000000000000000000000000000007E +:1063000000000000000080240200000000000000E7 +:1063100000000000000000000000802402000000D7 +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000040000000000000000000000000AD +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000FFFAFBFB00000000FFFC22 +:10649000FFFCFFFFFEFF0000000000000000000006 +:1064A00000000000000000000000000000000000EC +:1064B00000000000000080FFCF450100050DFF3304 +:1064C000F5C4FFB3F53100000000F3A223AFFFFFD6 +:1064D000FFFF000000005F503F30F303FF00772212 +:1064E000353535350F0FFFFFFFFF00000000FFFFC0 +:1064F000FFFF0000000000000000FFFFFFFFFFFFA4 +:10650000FFFF00000000FFFFFFFF00000000FFFF93 +:10651000FFFF00000000000000000000000000007D +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:106670000000000000000000000002000402000012 +:106680002C000000040200000C00000000000000CC +:1066900000000000000000000000000000000000FA +:1066A0000000000000000000000000300402402054 +:1066B000249000000403C020446500000001800015 +:1066C000000400000000C020004000000000C020C6 +:1066D00000E600000000C020004C00000000C020C8 +:1066E000004600000000C02000C610000001C020CD +:1066F00090C3000000008000000200000000C020E5 +:1067000000CA0100040280000C020000000000002A +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000110017 +:1068700000000000000011000000000020000000E7 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000128CF +:1068A00000000000000041008000000180924000D4 +:1068B00080000001082200000000000100E200004A +:1068C0000000000100C200000000000100C2000042 +:1068D0000000000100E200000000000100E24000B2 +:1068E0008000000100E20000000000010022000022 +:1068F0000000000100C21100000000011022000091 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000C00000C00004C0A3C702C00040C0B6 +:106A700000C0000000000000000000000000000056 +:106A80000000000000000000000000000000000006 +:106A90000000004000C0C4000043C1C103C0C000EA +:106AA00083C300DB8193800000CD000000C0008024 +:106AB00000C3000000000000000000000000000013 +:106AC0000000000000C00000C00000000000000046 +:106AD000C0C08093C88113010100000000C0000005 +:106AE0000080000000800000C000C000C700C70593 +:106AF00000C30000000000000000000000000000D3 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C5000000000000000800000200000800404A06C +:106C60008810012008020000000000000000000061 +:106C70000000000000000000000000000000000014 +:106C800000000000000000400020002000A0412182 +:106C90000240010082A00000C0028000000000004D +:106CA0000040000408C000000000001000000000C8 +:106CB0000000000000000000004000000100100083 +:106CC00000000000014080020003000140000000BD +:106CD00000400000000400000004100001008000DB +:106CE000400004000021000000000000000000003F +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E4000000000000000000000001600500040207C +:106E500000704C001C7850003290000000000000D0 +:106E60000000000000000000000000000000000022 +:106E700000000000000000000000106F013000CE94 +:106E800025000C0BE00C0E0AE242000084802C006E +:106E9000E008800FF0011080013006C2233814E9A9 +:106EA00002324C0364521087A262400364802D00BA +:106EB00001104002240011800260400DD0F90C4006 +:106EC00002600000500000000004400BE0000C4095 +:106ED0000AC800006400120000000000000000006A +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000480300F40390C700120001B00000E4 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000200000 +:1070700002908004C102016A220100A00134008054 +:107080006038280030300002200011C0006808AAD3 +:107090002139004120400007E01010C0C8600007FF +:1070A000E0300C4002C00017E0020CE00174000365 +:1070B00060380220011400009038280000E000072A +:1070C00080000D800130000000321C0000B0000084 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000001004000000000000200A00884A23D +:1072400040040000000000000000000000000000FA +:10725000000000000000000000000000000000002E +:107260000000001003080807800038002000031207 +:10727000273400000700200060D00000000402B0A6 +:10728000000C0644C0981820020A0C24400218641E +:107290000128002C40020420000900000000080022 +:1072A000012000220022224000A00000200900004E +:1072B0000000000010240200000000000008100080 +:1072C000004100000000000000000000000000007D +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000080010008C080074211803 +:1074300066002C2000480000000000000000000052 +:10744000000000000000000000000000000000003C +:10745000000000000000039C05B02D60C000002C5F +:1074600002000040004000A0803D0000E000001C41 +:1074700080330C000000800CE0481CC40138065723 +:10748000E0324103D0800000609400800170800CE5 +:1074900090310E8001300007C00C1CC00460000059 +:1074A000208200000070001390110EC00130000017 +:1074B000708C200000700000000000000000000040 +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:107610000000000000000000000000001000010059 +:107620000038000070001C40E11000000000000065 +:10763000000000000000000000000000000000004A +:1076400000000000000000000000030000B00CC0BB +:107650005301084DD200009ED130004068043C0028 +:10766000E031000360004040000820441129C08040 +:1076700007642CC551D014060A900000783000C071 +:1076800009900004108015C009B8000778000000B8 +:10769000021800002010000000F8400410080D40FF +:1076A00009B80000742800000080000000000000FD +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:1078000000000000000020000000000020002420F4 +:107810000000400000004850000000000000000090 +:1078200010000000001000004000000000004000B8 +:107830000000000040800000000000000000400147 +:1078400024000088400004040848004040800004F0 +:1078500008020000400A000000409008212080003B +:1078600000100C414404000110004411000C1810D9 +:1078700010100011181400800010541434940004E7 +:1078800054140440208400200444244000021024A6 +:107890004000000000800000000000000002000026 +:1078A00000000000000800000000000000000000D0 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000900090000000075 +:107A000000000C0D000000000000440660060600A7 +:107A10000000000E000050B0000050000D000000FB +:107A20000000000C000000000000080000000D0035 +:107A300000000C0D00000000000060600C0430002D +:107A4000300C007000000D0030700D09060018BCED +:107A500070090892081E080D700090023002761E10 +:107A6000000C18320D19063D1C0D00520C003000A0 +:107A70000D19500018009940491E00005C000000DC +:107A800059BE00007C507900000A000E90000002F0 +:107A9000000A00B00000000000000000000000002C +:107AA0009000000000000000000000000000000046 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000200075 +:107BF00000000000200021200000300000000000F4 +:107C00000000000000000000000000000000000074 +:107C10001000000000001001000000001030010002 +:107C2000000000000000315C1C00003030000C0837 +:107C30004000803080310008000C0E00B00C0000C5 +:107C400000301B003800110E7B000C28380C000C93 +:107C500000003C08000800000000000C0E0000308E +:107C60000F003C000834000815080C3020B8003024 +:107C7000053C3430000C00183F00000000300000CC +:107C800000000000000C00000000000000000000E8 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E100000B00000000000000000000000000000B2 +:107E20000000000000000000004000000000000012 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E500000000000200000000010000000000000F2 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000080000000000000000011 +:107FF0000000000000000000000000000000000081 +:108000000000000000700000000000000000000000 +:1080100000000000000000800000000000100000D0 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:1080400000000000000000000000000000B0000080 +:108050000000000000000000000000000000000020 +:10806000000000000000000400000000000000000C +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000004000000000000000000006A +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000100000002E +:1082400001610004000000000000000000000000C8 +:10825000000000000000000000000004000000001A +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000FFFFFFFFFFFFFFFF000074 +:10841000000000000000FFFFFFFFFFFFFFFFFFFF66 +:10842000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:10843000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:10844000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:10845000FFFFFFFFFFFF0000000000000000000022 +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F00000000000000000000000684080026840A9 +:108600008002000000000000000068408002684016 +:1086100080026840800268408002684080026840B2 +:1086200080026840800268408002684080026840A2 +:108630008002684080026840800268408002684092 +:108640008002684080026840800200000000000054 +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C000000000000000000000000040000000006A +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F00000000000000000000000000000004880B1 +:108800000020408000200000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000488080 +:108830000020408000200000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B0000000000000000000000000000000004078 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000AF050F5577220F0F000000000000A8 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000CF033F0C550FFF00000000000000C6 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD00000000000000000000000C02000020000B3 +:108BE00000000000000000000000C02000C00000E5 +:108BF0000000C020000400000000C02000D20000DF +:108C00000000C020000600000000C020008000001E +:108C10000000C020004200000000C02000840000CE +:108C20000000C02000020000000000000000000062 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000001A2 +:108DD00000E20000000000000000000000000001B0 +:108DE00000E200000000000100E2000000000001BD +:108DF00000A200000000000100E2000000000001ED +:108E000000E200000000000100AA000000000001D4 +:108E100000E200000000000100E20000000000008D +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000008000000000000011 +:108FE0000000000000000000000000000000000081 +:108FF000000500000000000000000000000000006C +:109000000000A000000000000000000000000000C0 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000010000000000000100400007B +:1091D000000000000000000000000000000000008F +:1091E0000000000000000000000000000000000877 +:1091F0000000000000000000100000080000000057 +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A000000000000000000410000C00011000008C +:1093B000000000000000028FF17A60380000000316 +:1093C00060104CC00138400414802D000414400A81 +:1093D000A0345C80056C400BE080088009B8000F69 +:1093E000F000164002380E836138D60E0880400B1C +:1093F000E400300001100000000000000000000048 +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000401360160D00F5 +:1095A000028000000000000000001E03683814C1A3 +:1095B000086800136042104000400017E0320C5071 +:1095C00000C00007600016500040000760283610F9 +:1095D0000000400480002080053002D4847E1444C2 +:1095E00042C00007E0343420028400000000000084 +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900030000230000C0000000000000000101833 +:1097A00080A120A40008001200012830000C00084D +:1097B00080000000000000200005001000040000F0 +:1097C0001082000000800008B0A000000040C330FC +:1097D0000003000208000008A020000000000000B4 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:109980000000C005D00000C0006000000000000022 +:109990000000000C800914C800E2000C80811180D6 +:1099A00001300007E00000C00170001700B20000A5 +:1099B000020040046070008007304003600802002D +:1099C00000008204900000A0C3B44005C030000035 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B70000000000000000005500000C00268000066 +:109B800000000000000000877330004608C0000796 +:109B9000780000C00378800770B50E400998000473 +:109BA00014000000027800088038200002780003CA +:109BB00060100CC00BF802022014000002420004E6 +:109BC000109000C00BF80000000000000000000032 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D200000000000000000000000400000000000F3 +:109D30000000000000000000000020000000000003 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000004080000043 +:109D60000000004040000000000000402000000013 +:109D70004044000000042040401000000080120019 +:109D80000080104010101801001440100000402006 +:109D900010291040408044400040214020004000F5 +:109DA0004002002020404420002420800080210028 +:109DB000200200000000200000020000000000005F +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000900000000000000000028 +:109F30000000000000000900000000000000000018 +:109F40000000000000000000000000000000000011 +:109F500000000000000000000900000000090800E7 +:109F600000000000000D080000007C00001E5C9E48 +:109F7000080D3D0000BD1C90181030000C105C1244 +:109F800000B096703CBC10097090000032B00970AF +:109F9000789076005D0830007070000000000000CE +:109FA00000BC0000000500020D00000000000000E1 +:109FB0000000000000000000000005000D0000008F +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000030000000000000000000000000000F +:10A12000000020000000000000000000000000000F +:10A13000000000000000000000000000000000001F +:10A14000000000003010000000000030100000018E +:10A150000000010020000000003C01400008111038 +:10A16000300001000110080000300130010000083B +:10A17000010D110000001010013C70001030103073 +:10A18000F0303830210010001009F0303030341039 +:10A19000003C102000311C00300C000000001000BA +:10A1A00000080000000000000000000000000000A7 +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A3400000000000400000000000000000000000CD +:10A350000000000000000000000008008400000071 +:10A3600000000000000000000000000000000000ED +:10A37000003000400000000000000000000000006D +:10A38000000000000000000000000000008000004D +:10A39000000000000080000000000000000000003D +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A5300000000000000000002000000000000000FB +:10A540000000000000000000000000800200010088 +:10A5500032000000000000000000000000000000C9 +:10A5600000000000004000000000000000000000AB +:10A5700000000000000000000000000000000000DB +:10A58000003000000000000000300000000000006B +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000004000000000000000000000000000005 +:10A7500000000000000000000000100000000000E9 +:10A7600000000000000000200000000000000000C9 +:10A7700000200000000000000000000000000000B9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000FFFF19 +:10A94000FFFF000000000000000000000000000009 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB300000006840800200000000000000000000EB +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000040000000000000000025 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF1000000000000000FFFFFFFF00000000000035 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B1000000000000000000000000000000008000BF +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000010022000000000000000000001A +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F0000000000000C0A0000000000000000000EC +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000400000000000001A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D000000000000000000000000000E001000087 +:10B8E00000C0000000000000000000000000000098 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD00060003000003000000000000000000000A6 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC00000000000802204000020000000000000AE +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000070000012 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B00000F8000000000000000000000000000088 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000090008000008E +:10C2A000000000000000000000000000008000000E +:10C2B000000010000088400800000000000000009E +:10C2C00000000000002020000000000080000000AE +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000090000C3 +:10C47000000000000800080000000000000D50004F +:10C4800000000000007000BD00000000000000304F +:10C49000080D000D00090000087E00800100010069 +:10C4A000518A000000000000009C0008000001000C +:10C4B000080D000A000000000070000000000000ED +:10C4C000008E0900000000000900000000000000CC +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000100010000000000C8 +:10C6700000000000000000000000000000000000BA +:10C680000000003001300000000000000100000048 +:10C69000000000000000000000000000003030003A +:10C6A0000000000001000000000000000030300029 +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A000000000000000000000000000000900005E +:10E9B000000000000000000000000000000DB0009A +:10E9C00000000000005900000000000000000000EE +:10E9D00000000000000050000000000000000000E7 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000001000000000000037 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D900000600000000000000000000000000000F3 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000010000000021 +:100ED0000000000000000000000000000000000012 +:100EE0000001000000010000000000000000000000 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000400000000000000000000021 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000001000006D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:1015600000C00000000000000000000000000000BB +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000100000000000000CC +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040005F5 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA50000000000000000000000000000000005076 +:10DA600005000000000000000000000000000000B1 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC4000000000000000000000280000A00000000C +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B0000000000000000000000000000000000843 +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040006F4 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000002CF +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D00000000000000000000000000000100000F8 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000400000000000000000020 +:101CC00000000000006000000000000000000000B4 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB00000000000000000000040000000000000E2 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:1020900000000000000000000000000000000C0034 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000001000000000000000000000000000001E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:102490000000000000C0000000000000000000007C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040007F3 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000010000000000000000000000012 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE0000000000000000080000000000000002052 +:101EF00020000000000000000000000000000000C2 +:101F000000000000000000002000000000000000B1 +:101F100000000000000000200000000000000000A1 +:101F200000000000000000000000000000000000B1 +:101F300000004400000000000004800400040000D1 +:101F40000004201000000000042000000404000829 +:101F50000000001000000000000000000000000071 +:101F60000000100000000000003000000000000031 +:101F70000000000000100000000000000000000051 +:101F80000000000000000000000000000010000041 +:101F90000000000000000000000000000010000031 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:1020700000000000000000800100000000000000DF +:102080000000000000000000000000000000000050 +:1020900000000000000000000C0000000000000034 +:1020A0000000000000000000200000000000000010 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E0000000000E000000000000000E00000000D4 +:1020F0000000000000000D000000000000000000D3 +:102100000000000D00050000000000A000000D0010 +:10211000000000000000000000000000000A0000B5 +:102120000000000C00000800000000000000090092 +:102130000000000000000010000000000500090081 +:102140000D000000000000000000000008000C0A64 +:10215000000000000000000000000000000000007F +:10216000000D000000000000000000000000000A58 +:102170000000000000000000000D00000000000052 +:102180000810000000000000000D000C000000001E +:102190000030080000000000000000000000000007 +:1021A000000000A00000000000000000000000008F +:1021B00000000000000000000000000C0000000013 +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:102270000000000E00000000000000000000000050 +:10228000000000000000000000000000010000004D +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C00000000000000000000000000000000030DE +:1022D00000000000000000202000000000000000BE +:1022E00000000000000000000000000000000000EE +:1022F000200000000000000000000000000000209E +:1023000000000000000000000000000000000000CD +:102310000000000000000001000035000000000087 +:102320000000100000040000000400000000000095 +:102330000C200000080C000000000000000000005D +:10234000010001000000000000000000000000008B +:10235000002000000000000000000000000000005D +:10236000000000000000000000000000000000006D +:10237000000000000100000000000000000000015B +:10238000000000000000010000000000000000004C +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000012C +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000205C +:102460000400000000000000000000000000000068 +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B00000000000000000000000000040000000DC +:1024C00000000020000000000000003000000000BC +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F000000000200000000000000030000000008C +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:10252000000000000000000000000030000000007B +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000030000000004B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000030000000001B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B00000000000000000000000003000000000EB +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000003000000000BB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:10261000000000000000000000000030000000008A +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B00020000000000000000000000000000000FA +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000002000000000000000000000000000017 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000001000000000000000000000000038 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000100000000018 +:1028B0000000001000000000000000000000000008 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000001000000000E8 +:1028E00000000010000000000000000000000000D8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000010000000000000000000000000A7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000001000000000000000000000000077 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000001000000000000000000000000047 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000001000000000000000000000000017 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000010000000000000000000000000E7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000010000000000000000000000000B6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000003A7A5 +:105160000C000180000000500C000180000000C015 +:10517000040000000400000004000000040000001F +:10518000040000000400000004000000040000000F +:1051900004000000040000000400000004000000FF +:1051A00004000000040000000400000004000000EF +:1051B00004000000040000000400000004000000DF +:1051C00004000000040000000400000004000000CF +:1051D00004000000040000000400000004000000BF +:1051E00004000000040000000400000004000000AF +:1051F000040000000400000004000000040000009F +:10520000040000000400000004000000040000008E +:10521000040000000400000004000000040000007E +:10522000040000000400000004000000040000006E +:10523000040000000400000004000000040000005E +:10524000040000000400000004000000040000004E +:10525000040000000400000004000000040000003E +:10526000040000000400000004000000040000002E +:10527000040000000400000004000000040000001E +:10528000040000000400000004000000040000000E +:1052900004000000040000000400000004000000FE +:1052A00004000000040000000400000004000000EE +:1052B00004000000040000000400000004000000DE +:1052C00004000000040000000400000004000000CE +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000C000180000000A00C0005807B +:10537000000000000C0000800000FAEA0C00018030 +:10538000000000B004000000040000000400000061 +:045390000400000015 +:00000001FF diff --git a/05-Morse/Board/ise/morse-XC3S500E.bit b/05-Morse/Board/ise/morse-XC3S500E.bit new file mode 100644 index 0000000..b47012b Binary files /dev/null and b/05-Morse/Board/ise/morse-XC3S500E.bit differ diff --git a/05-Morse/Board/ise/morse-XC3S500E.mcs b/05-Morse/Board/ise/morse-XC3S500E.mcs new file mode 100644 index 0000000..24d2ffc --- /dev/null +++ b/05-Morse/Board/ise/morse-XC3S500E.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000080000000000000000D5 +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:100290000000000000020000000000080000000054 +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:100500000000003100510000000000000000000069 +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:100640000000000000000000000000000000A0000A +:100650000000120000000000000000000000000088 +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000002000000000000000000000005401A4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000100100000000000000000000000086 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000001000000000000000015 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000000000004000000000000000000000007F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000001000000000000003D +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:101570000000000000020000000000000000000069 +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000040A4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000100000000000000000C0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B0000000000000000080080000000000000094 +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000080000000001A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000080000000000000000099 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000002011000000000000000000000066 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000086400000000000200030 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000800014 +:102C40000040100000000000000000000000000034 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC00000001000004000000000000000000000B3 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F4000000000220000000000000000000000005F +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C000000000000000000000000000000080017F +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000010000000000000000000000006D +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D000000000000000000000000000800000006D +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000004001A9 +:1036E000000000007000000000000000000000006A +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C0000000008000000000000000000000000077 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F0000000000090000000000000000000000037 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000E67 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000002000000000D4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D1000000000000000000000040000000000009F +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E10000000000000000000000000000000003072 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000004000000000000000000000000001 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000008000000000000000000000000000088 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000001000000000000000007F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000004000000000000000000000000003B +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:105530000048000000000000000000000000000023 +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:1055800000000000000000000000000000200000FB +:1055900000000000000000000000000000200000EB +:1055A00000000000000000000000000000200000DB +:1055B00000000000000000000000000000200000CB +:1055C00000000000000000000000000000200000BB +:1055D00000000000000000000000000000200000AB +:1055E000000000000000000000000000002000009B +:1055F000000000000000000000000000002000008B +:10560000000000000000000000000000002000007A +:105610000000000000020000000000000020000068 +:10562000000000000000000000000000002000005A +:10563000000000000000000000000000000000204A +:10564000000000000000000000000000000000005A +:10565000002000000000000000000000000000002A +:10566000000000200000000000000000000000001A +:10567000000000200000000000000000000000000A +:1056800000000000002200000000000000000000F8 +:1056900000000000000000200000000000000000EA +:1056A00000000000000000000020000000000000DA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:1058300000000000000E000000000000000000005A +:105840000000000001000000000000000000000057 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:1058800000000000005000000000000000000000C8 +:1058900000000000003000000000000000000000D8 +:1058A00000000000003000000000000000000000C8 +:1058B00000000000003000000000000000000000B8 +:1058C00000000000003000000000000000000000A8 +:1058D0000000000000300000000000000000000098 +:1058E0000000000000300000000000000000000088 +:1058F0000000000000300000000000000000000078 +:105900000000000000300000000000000000000067 +:10591000000000000030000000000000000C00004B +:105920000000000000300000000000000000000047 +:105930000000000000300000000000000000000037 +:105940000000000000000010000000000000000047 +:105950000000000000000000001000000000000037 +:105960000000000000000000000000100000000027 +:1059700000000000000000000000003000000000F7 +:1059800000000000000000000000000000180000FF +:1059900000000000000000000000000000000010F7 +:1059A00000000000000000000000000000000000F7 +:1059B00000100000000000000000000000000000D7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F0000000000000000000100000000000000097 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000100000000000000066 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000200000000000000000000000000000063 +:105B4000000800000000000000000000000000004D +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA0000000000000000000000000008000000075 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC000000000000048000000000000000000008C +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00001000000000000000000000000000000083 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E400000000000000000000000000000001081C1 +:105E5000080000000000FFFFFFFF0000000000003E +:105E60000000000000000000000000000000000032 +:105E70000000FFFFFFFF0000000000000000000026 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB0000000000000000000000000000000FFFFE3 +:105FC000FFFF0000000000000000000000000000D3 +:105FD0000000008100000000000080040C000000B0 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000008C0200000000000013 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000080040C00000000000000000000803F +:106150000800000000000000000000000000000037 +:10616000000000000000000000000000000000002F +:10617000000000000000008060A84E8060B84E80E3 +:1061800060B00000000000000000000000000000FF +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F00000000000000000000000DDDDFEFFFEFFEA +:10630000FEFFFEFFF0F000000000000000000000B3 +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000FFFFFFFF00000000000050 +:10645000000000000000000000000000000000003C +:1064600000000000000080000004000000000000A8 +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C000000000000000000000000000000080004B +:1065D00000040000000000000000000000000000B7 +:1065E000000000000000800000000001002000000A +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:1067400000000000000000000000000000008000C9 +:106750000000000100200000000000000000000018 +:106760000000000000000000000000000000000029 +:10677000C003000000000000000000000000000056 +:1067800000000000120000005A000040000000005D +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D000000000C300000000C00000000000000035 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000010080000000000000000000080 +:106900000000000000000000000040000001004006 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A500000000000000000480000000010000000DE +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000070000096 +:106A9000F0002EC00300000A3000000000000000DB +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF00000000000A002000000000C0000000000E7 +:106C00000000000000000000000000000000002064 +:106C100002002C0000000D0000005000D000000019 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D600000382800000000000000000000000000C3 +:106D70000008000000000000000000000000020009 +:106D80000000000000000000000000000000000003 +:106D9000000000400000000000000000021082001F +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE000000000000008000000000000000000009A +:106EF0000000000000000000100000000000000082 +:106F00000000000000000000000000000000000081 +:106F1000000000000000002000000000C0031C0072 +:106F20000028000F1000000000000000000000001A +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:1070700000000000000000000000000050000000C0 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000004001000000AF +:1070A00000002CC001D000240000000000000000FF +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F0000000000000000000000000000088000007 +:10720000000000000000000000000000000000007E +:10721000000000000020840000002000800000200A +:107220000000800001400420000000002020002019 +:107230000002000000000002000200000000012225 +:107240000002000000000002000000080000000032 +:10725000002000000000000000000000000000000E +:1072600000000020000000000000000000000000FE +:10727000000000000002000000000000000000000C +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000040000000000000000000000009A +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:107350000004000000000000000000000000000029 +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A0000000000000000000B0000000000000002D +:1073B00000000000B010B00000000000000000005D +:1073C00000000000000000000000000000000008B5 +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000008000000000000C +:10750000000000000000000000000000000000007B +:1075100000000000000000000000000000003050EB +:10752000000000003E0000000000300008200400C1 +:1075300000000000100000000088000000000008AB +:107540000008000000000C18000800000000000CFB +:10755000000000000000000000100000000000001B +:10756000000000000000000000000010000000000B +:107570000000000000000000000000000008000003 +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E00000000000000000000000000C000000008F +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:107650000000000000000000000800000000000022 +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:107990000060000000000000000000000000000087 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B300000000000000000000000FFFFFFFF000049 +:107B400000000055FFFF0000000000000000FFFFE4 +:107B5000FFFFFFFFFFFF0000000000000000FFFF2D +:107B6000FFFF000000000000000000000000000017 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C7000000000000000C3820DD0458AFBBFA58430 +:107C80007DFF6FFF21A5EFDF0BB0C3820DD0000099 +:107C900000000000000000000800000000000000DC +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC0000C000000000000000C000000000000009C +:107CD000000080240E0080240E0000000000000040 +:107CE000000080240E0000000000000000000000E2 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000090241E009024FD +:107E00001E0090241E0090241E0090241E0090242A +:107E10001E000000000000000000008008000000BC +:107E20000000000000000000000000000000000052 +:107E3000000000000000D8A472A9DFA472B9DFA47A +:107E400072B9DFA472B9DFA472B9DFA472B9DFA47A +:107E500072B9DFA472B100000000000000000080D1 +:107E600060A84F8060B84F8060B94E8060B100005C +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F700000000000000000000000008000284F808A +:107F800060B94F8060B94F8060B94F8060B94F8051 +:107F900060B94F8060B000000000000000000000E9 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000BB33BB33BB33F7 +:107FC000AF0FFF444F4FFF444F4FBB33FF0AFF2219 +:107FD000AF0FFF0CAF0F5D5DBB3300000000000072 +:107FE0000000FFFFF0F03333FAFFFF77FF7FFCFF65 +:107FF000FF00000000000000000000000000000082 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000FFFF82 +:108100003333FF00555533330F0FFF0055553333CD +:108110000F0F0F0FFF005555CCCC000000000000E2 +:10812000000000000000000000000000000000004F +:1081300000000000000000000000000000007300CC +:1081400004030000100032000403800010027300DA +:10815000040300009C013200040300001401001914 +:108160000000C020004400000000000000000010DB +:10817000000040200040000000000000000000005F +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000C02000C6000048 +:108290000000C02000C600000000C02000C6000092 +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000005100800000001000D100800000017B +:1082D00010205100800000001000510080000000BC +:1082E0001000802800000001006000000000000075 +:1082F0000000002800000000004000000000000016 +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:1084000000000000000000000000802000000001CB +:10841000006080200000000100608020000000015A +:1084200000600000000000000000000000000000EC +:10843000000000000000000000000000000000003C +:1084400000000000000000C800C393C1C71303C0B0 +:1084500003C381E3C51300C003C381C1D71300C0A8 +:1084600000A3C901D313000000000207032200008B +:1084700000000000020000C00000440002000000F4 +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000040AB +:108590000000C300E00000400000C300E00000E273 +:1085A00000C00300440000000000000000000000C4 +:1085B00000000000000000000000000000000000BB +:1085C0000000000000000000000000000003800325 +:1085D000040008020811C020400000204003C0032E +:1085E00000000002000240018000000000000400C2 +:1085F000090000000000000040000004000000002E +:108600000400000000000000000000000000000066 +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000040065 +:108710000000002000401800020000200040180067 +:108720000200001000042100000000000000000012 +:108730000000000000000000000000000000000039 +:1087400000000000000000000000000000000640E3 +:1087500001000000E000008001800007E0000CC084 +:1087600061300004E0000000000430000000000060 +:1087700000006000000000000000000A40002840E7 +:1087800001000C04000000000000000000000000D8 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:108890000000000000000008F4000000E878000874 +:1088A000F4001C80E378000F502C00C003900000FF +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D000000016000300000000001000003828000F +:1088E000000001801574000800003E80073CDC0495 +:1088F0005000000000000C000000002002000000FA +:108900000000000008004000E0000000000000003F +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A100000000000000000000000100800000080BE +:108A20000100100800001EC007744005002B00273D +:108A300001B0000000000000000000000000000085 +:108A40000000000000000000000000000000000026 +:108A50000000000000001000051000002000008051 +:108A60000020000020001080000000002000000016 +:108A7000080010000000000000000400000000409A +:108A8000000000026000044004000800080000002C +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000028AD +:108BA0000206088020890000020002002000102038 +:108BB0008846845000800000000000000000000093 +:108BC00000000000000000000000000000000000A5 +:108BD00000000000000000000000320008008C0EC1 +:108BE000D0012340060C0007E00010000800800EB2 +:108BF000D0350140000000001000000000001C0003 +:108C000000000000000000000000030000008006DB +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000300000050 +:108D200000000007E03801D00560800DC02D00C0B4 +:108D300007840004800800C0C17800000000000023 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D600000000106C000024000002D2FF0020000AC +:108D700000000006C00002400000010110000000D9 +:108D800000500D000000008001000000E0002E40B7 +:108D900001703C180000000000000000000000000E +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000020B2 +:108EA0000000000000000007F000148702600005C9 +:108EB000F00000070000000F10001C80037200008B +:108EC0000000000000000000004800000000080052 +:108ED0000000001000000000200000000000000062 +:108EE00000000000000000002000500000002020D2 +:108EF0000421222008004800202001020000002058 +:108F0000212002200000002020000242200000203A +:108F100000000102002000000000212000020100EA +:108F2000000201000000000000000000000200003C +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA0000000000000000000000000000000004081 +:108FB00000000000000000000000000000000000B1 +:108FC000000200000000000000000000000000009F +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:10902000000000010000000000000000000000003F +:1090300000000000104010000000000000000004CC +:109040000008000000000000000000000000000018 +:10905000000A0C00000000100000000000090000E1 +:1090600000000000000000000000000000002000E0 +:109070000000000000007000040004000050000028 +:109080000000000000009000000000000000000050 +:109090000000900000000000000000000000000040 +:1090A00000000010000000000000000000000000B0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B000000000000000000000002000000000008F +:1091C00000000000000C0000000000000000000093 +:1091D000000000000000000000000000000000008F +:1091E00020000000000000000000008000000000DF +:1091F00030002E0000000020040C2420000000009D +:109200003020080C000000300C300400000000206A +:1092100000000C380000002E00000C0C0010000EA6 +:10922000000E0C00000C0C8000080800000000007C +:109230000000000000080000000000000000000026 +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B000000000000000003000000000000000007E +:1092C0000000000000000000000800000000000096 +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:109320000000000000000000000000080000000035 +:10933000000000000000000000000000001000001D +:109340000000000000000004000000000000000019 +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:10938000000000200000000000000020000000009D +:10939000000000000000000000000000000000408D +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000405D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000004000000000000000000000000000001C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:1094300000000040000000000000000000000000EC +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000004000000000000000000000BC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:10949000000000000000004000000000000000008C +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000800000000000001C +:1094D0000000000000000000000800000000000084 +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000020000000000000000000000000003B +:10951000000000000000000000000000000000004B +:10952000000000100000000000000000000000002B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:1095500000000030000000000000000000000000DB +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000003000000000000000000000AB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B000000000000000003000000000000000007B +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000300000000000004B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000030000000001A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000001000000A +:1096500000000000000000000000000000480000C2 +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000020000000001000000000AA +:1096900000000010001000000000000000000000AA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:10980000000000000000FFFFFFFF0000000000005C +:10981000000000000000FFFFFFFFFFFFFFFF000050 +:109820000000FFFFFFFF00000000FFFFFFFFFFFF42 +:10983000FFFF00000000000000000000000000002A +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C00000000100AF05F1F0070500000000377748 +:1098D000BF370000000000000000FFFFFFF7553316 +:1098E00055330000000000000000000000000000F0 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000FBBF6D +:1099400055FC00000000FFFFFFFF000000000000CA +:109950000000FFF7BFB0FFFFFFFB000000000000AA +:1099600000000000080000000000000000000000EF +:1099700000000000000000000000000000000000E7 +:109980000000000000000000000080040C00000047 +:109990000000000000000000000080240E00000015 +:1099A0008C020000000080240E00000000008024D3 +:1099B0000E0080240E0000000000000000000000E7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A400000000000000000000C0000201E000000CC +:109A5000000048000C200000000000000000000092 +:109A60000C0010001C0000000000000000000000BE +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC000000090040C000000000080040C00000066 +:109AD00000000000000090040C0000000C000000DA +:109AE00000000000000000800C00000000000000EA +:109AF0000000000000000000000000000000000066 +:109B0000000000000000488060A94F8060B94F80CD +:109B100060B94F8060B94F8060B94F8060B94F80A5 +:109B200060B94F8060B04F8060B84F8060B84F80A0 +:109B300060B84E8060B14F8060B84F8060B84F8091 +:109B400060B84E8060B1000000000000000000001E +:109B50000000000000000000000000000000802461 +:109B600002000000000000000000000000000000F3 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000002075 +:109BD0001200000000000000000000000000000073 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000004000000000B4 +:109C700000000000000000000000000000000000E4 +:109C80000000000000000000000033335555FF00C5 +:109C9000555533335555FF00FF003333FF00333341 +:109CA000FF00FF003333333300FFF0F00F0F00FFEE +:109CB000FF3FFCFFFF00FF7F00FFF0F0FF0000FF11 +:109CC000FF77FAFF3333FF7F00FF00000000000042 +:109CD0000000000000000000000000000000000084 +:109CE0000000FFFFFFFF0000000000000000000078 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D4000000000000000FFFFFFFF00000000CDDD6D +:109D500033FFFF5707030000000000000000FFFF73 +:109D6000FFFF0000000000000000FFFFFFFF0000F9 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000FFFF004000000000FFFF7FFF0000C9 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E100000000000000080000004000000000000BE +:109E200000000009000080000002000000000000A7 +:109E30000000003000004020008000190000C02019 +:109E400000200000000000000000000000000000F2 +:109E50000000000000000000000000000000000002 +:109E60000000300000010000400100000000000080 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED00000000000000180001003000000000000EE +:109EE000000001000402000008009008000180004A +:109EF000100300000000000000000000000000004F +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000800091 +:109F500000048009000080000004000000000000F0 +:109F60000000003000004020004000000000000021 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F90000000000000008000000000010020000020 +:109FA000000000000000800000000001000400002C +:109FB00000000000000000280000000000088028C9 +:109FC0000000000100100000000000000000000080 +:109FD0000000000000000000000000000000000081 +:109FE00000000000000040008000000000000000B1 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A05000000000000000C00080000001002000009F +:10A060000000000000001100000000001000C0000F +:10A07000800001010020000000000000000000003E +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000800010 +:10A0D00000000001002080000000000100200000BE +:10A0E0000000000000000028000000000040000008 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A1100000000000000000000000000000C0C000BF +:10A12000C00300000000000004000800C00004009C +:10A13000C00000400000000085000000000000C0DA +:10A140004740E0000040C0874721000000000000B9 +:10A1500000000000000000000000000000000000FF +:10A160000000000000000000000000001381130048 +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D0000000000000000000C0000000008300003C +:10A1E00080010000000001000000000000000003EA +:10A1F000000000C800000300C000000000000000D4 +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A250000000000000C00003000000030000000038 +:10A260000000000000000000002040070340020042 +:10A27000E0000000000000000000000000000000FE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A0000010100010080000000000000000000076 +:10A2B0000100004010000040000000000400000009 +:10A2C00000000004202000000020102008400000B2 +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000300000000000000000000000000005B +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000001000040BC +:10A3600000820200820100000000200000000000C6 +:10A37000000000010000000800800900100000003B +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000100008000000085D +:10A3E000000000000000000000000000000001006C +:10A3F00020400200080000000000000000000000F3 +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A420000000060000000000000002100000000014 +:10A4300000000040030000000000000000000000D9 +:10A440001002084000000818000202200048F00036 +:10A450000000024000000000000002000000002098 +:10A4600000000000000000000000000000000000EC +:10A4700000000000003000000000000000000000AC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E0000000024008040800F07100000C002C007D +:10A4F00000100000000000000000000080001C8030 +:10A500000310000000000000000000000000000038 +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000090B10000BA +:10A560000010001000020000400000000080000009 +:10A5700040000000040840C001100000000000007E +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A0000000000000000100000000000000260084 +:10A5B000030000000000260000000000000026004C +:10A5C00000000000200038A00130020000002E80B2 +:10A5D00003001001A0003C0000000000C0022C009D +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000400000000000001B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A66000000000000000234C040001C7C57000007A +:10A6700000000000001000000000000000000000CA +:10A6800000000000001800000000000000000000B2 +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000E088280000640000033828000000000013 +:10A6F000072800000001001FC02C10E000800000AF +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000100000000000000019 +:10A7300010000400000000000000000000001000F5 +:10A740000000000000080000000000800040000041 +:10A75000000004000000000008000180000000006C +:10A7600000000400000000000000000000000000E5 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E00000000000000000000000000488210008B4 +:10A7F00000600000000000000052000000000000A7 +:10A80000000000100001098000C1000000000000ED +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000200000000002000008000000BE +:10A870003000000008000000100000080012000076 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000800000000000000A0 +:10A8B000080000000000000008000000000010A0D8 +:10A8C00000001C00000010000000000000000E400E +:10A8D00000000000000001000080080400000C805F +:10A8E00007000000C0020100000080060000000018 +:10A8F0000000000000000000000000000000000058 +:10A9000000800000000000000000000000000000C7 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A96000000000000000000000000000000016557C +:10A9700080010881E948000080002C0000100000E0 +:10A98000002800000000000C0040140000600000DF +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000200000090008055 +:10A9F0000300000000000000030000000000000849 +:10AA0000C08000C0018000000000000000000000C5 +:10AA10000000000000000000000000000000000036 +:10AA200000000000000000000000008000000000A6 +:10AA3000E000008000000016E00000800000000040 +:10AA40000000060000780100000006000000000081 +:10AA5000000020C001343C0000000E0000003D203A +:10AA6000000000000000000500000E0000000000D3 +:10AA700000000000000000000000000000000000D6 +:10AA8000000000000028000000000000000000009E +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000AC006030C0C0E916000018010A0078 +:10AB0000007400000002000000000000000800C007 +:10AB100001600000000000000000000000000000D4 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB70000004000000000000E000000000000000F1 +:10AB8000E000000200003CC00500000000000000E2 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB000000000000000084040204000000040006D +:10ABC000002000000808200020204028200020004D +:10ABD000200000200000002430202000202020043D +:10ABE0002000000020012000202000200021300053 +:10ABF00000000010000000000020000000003002F3 +:10AC00000000000000110000000000000000000033 +:10AC10000000010000000000000000000000000033 +:10AC20000000000000000100000000000000000023 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC7000000000008000000000000000400402020C +:10AC800000100000010100000000000080400000F2 +:10AC900000000000010200000000000000000000B1 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE000000000000000000000040004000002401A +:10ACF00000000000000020224000000008001000BA +:10AD00001008000000000201000000000000000028 +:10AD10002000000000000000000000000000000013 +:10AD20000000000000000000000000000000000023 +:10AD300000000000020200000000040800000A00F9 +:10AD40000600060E060209060000000000000D00C5 +:10AD5000060009000D00500A000C000010000D0054 +:10AD600000000D0000000000000D00A0A000000089 +:10AD700000A010000000003000A000000000000053 +:10AD800000000000000000000020000000000000A3 +:10AD90000000500000000000000000000000000063 +:10ADA000000000000000000000A000000000000003 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE000050000000002000000000000000000000D2 +:10AE100000500000000000000000000000000000E2 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE8000040000000000000000004000000000007E +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000002171 +:10AEC00000202000000030000020000000002000D2 +:10AED0002030101000002000100000100001002899 +:10AEE00030301000102E1004000000000A0C20006A +:10AEF00000300020000C10000000000000000000E6 +:10AF000000300000000030080000000000040E00C7 +:10AF10000000000000000000000004000A00000023 +:10AF2000000000000000000000000000000004001D +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF70000000000000000000000000003E00005043 +:10AF8000000000001A0C040C00000000080C000077 +:10AF9000000000001010000000000000080C00007D +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000008000C00000800000000000000000C29 +:10B000000000000000000000000000000000000838 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000002040000000002000000000000080 +:10B0500000000000000000000000000000002000D0 +:10B060004000000000000000000000000020000080 +:10B0700000000000000000000000000000000000D0 +:10B080000030000000000000000000000000000090 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000100000000000000000000000000DE +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B19000000000440000000000000000000000006B +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C00000000000000000002000000000800000DF +:10B1D000000000000000000000000000000000204F +:10B1E00000008000000000000000000000000000DF +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B3100000000000000080010000000000000000AC +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000001000000000ED +:10B3500000000000000000000010000000000000DD +:10B3600000000020000000000000000000000000BD +:10B3700000000000001000000000000000000000BD +:10B3800000000000000000000010000000000000AD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F0000000EEDD7BDE00CCDE7B0088F66F000016 +:10B50000000000000000000000000000000000003B +:10B51000000000000000080050A00033F7FF00000A +:10B5200000000000000037FF008000000000000065 +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B570000000000000000000000000000000FFFFCD +:10B58000FFFF00000000FFFFFFFFFFFFFFFF8A0239 +:10B59000EE0F044004402333F7F501014544FFFF5B +:10B5A000FFFFFFBFBF045F50F303FFFFFFFF00007B +:10B5B0000000F3033535000000000000000000002B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000F3F3B7B7FFFF000F0000D9 +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B630000800000000000000000000000000000002 +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000090241E0000201E0000209A +:10B680001E0000000000000000000000000000009C +:10B690000000000000000000000000000C0000207E +:10B6A0001E00000000000000000090040C000000DC +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B70000000068408002000000006840800268403D +:10B71000800200001C0000000C0090040C000000DF +:10B720000C0000000C0090241E0010001C00000003 +:10B730000C000000000010001C00000000000000D1 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B780000000000000000000000090241E000000E7 +:10B790000C0000000000000000000000000000009D +:10B7A0000000000000000000000000000000000099 +:10B7B000000000C0040000000000000000000000C5 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000009004000000000000000084 +:10B83000000048201220000000000000000000006E +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B880000000000000000000000000000000902404 +:10B89000120090040000002012000020120000009E +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000001000100000000000000068 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F00000000000000090241200000000009024CE +:10B900001200000000000000000000000000000025 +:10B91000000090040000000000008004000000000F +:10B920000000000000000000000000000000000017 +:10B9300000000000000000400000000000000000C7 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000000000005595FFFF0000AF +:10B9B000000000000000BBAAB3AA000000000000C5 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA000000000000000000000000FFFFFFFF00003A +:10BA100000002F0D73239595FF0933131F15503028 +:10BA2000EE0FAFEFF444EBAA0100FFBBFF07FFFFEF +:10BA3000FFFFFF7F0F03FF7FFF7F55FF3F300000B9 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000F3F3FFF00000F1 +:10BA8000000033FFFFCC00000000000000000000B9 +:10BA90000000000000005F9FFFDD00000000FFFFCE +:10BAA000FFFF000000000000000000000000000098 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000244 +:10BB000000008000000000040000C02000000000D1 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000008009000080000006020004 +:10BB300004020000040060340001402010C0000036 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000004020008030000403C020108200001C +:10BBA000040340200000000000004020000010308E +:10BBB0000001C020408280080000C02000C0800832 +:10BBC000040280002C0600000000000000000000BD +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC0000040200000C000000040200000800000014 +:10BC100000000000000070300001402090C00000D3 +:10BC20000001000090000000000000000000000083 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC80000000800000000001002080220000000170 +:10BC90000060000000000000000000000000000044 +:10BCA0000000000000000000000080000000000113 +:10BCB0000020110000000000100060208000000043 +:10BCC0000040000000000000000000000000000034 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD1000000000000000000000C0410080000001A1 +:10BD200010E24120800000002840002000000000B8 +:10BD30000040C02880000001006080280000000151 +:10BD40000028910000000001000400000000000035 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000100000000001000010000000000A1 +:10BD900010000000000000000000402880000000AB +:10BDA0000040400080000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000000000000000000000000C000000300402F +:10BE1000004000224400000000000000000000007C +:10BE20000000000000000000000000000000000012 +:10BE300000000000020000000000000003000000FD +:10BE400000000100030000000000000000000000EE +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE9000000000000000000000000000C0000000E2 +:10BEA00013DB0003DB2300800040C7634580E00014 +:10BEB00003C3E305C00000D0C303C047D30100C0E3 +:10BEC00000400007C00003C303000000C4000000DE +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF000000000000000000C000230000000000C08E +:10BF100000030000000000000000000000000040DE +:10BF200000C00440C8800000C800000000C8000035 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF80000000000000000000000000000000108021 +:10BF900001080020004001000080000000000000B7 +:10BFA0000000000000000000000000000000000091 +:10BFB00000000000080000800208000000000000EF +:10BFC00040000008000040002000000000000000C9 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C0200009000000000000210000000200200420A0 +:10C030004002000012C0200001000010184801203A +:10C04000100100044020010010000811050001004B +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000200000000AE +:10C09000000000200001000000000000000000007F +:10C0A0000000002000040020000400000000000048 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000241021000070400000B00C00013000002D +:10C12000000000000000000000000000000000000F +:10C13000000000000000400200000000083810006D +:10C140000014000000004018023C02002200000021 +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C190000000000000000000000000000000000F90 +:10C1A000000008C00500800FF0109407E1302882DD +:10C1B00080012C2EF97220A31D0408C7F1120E0075 +:10C1C0000809100400101645010001B442020000E5 +:10C1D0000442080400080000000000000000000005 +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C2100000000004000000000040000000000000DA +:10C22000000000000000000000000080030000008B +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C2900000000000000000000078000060381C0072 +:10C2A0000318000000000000000000000000000073 +:10C2B00000000000000000000000001720000080C7 +:10C2C00001600000000002000000000000000040CB +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000070010028001000C82C38015B7D6 +:10C33000D934480020280886C364860BEA349CE47C +:10C3400020F0100000001D0000F420000300000693 +:10C35000C2010000000000080070000000000000A2 +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000006000000400400000600000000004D +:10C3A000000000000000000000000000000000E0AD +:10C3B000F30000000000000000000000000000008A +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C4100000000000000000006010000000080000A4 +:10C420004015182000080000000000000000000077 +:10C4300000000000000000000000000000000008F4 +:10C4400010020010020C80000000800000000000BC +:10C45000000400F0340000000000000000000000B4 +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A0000000000000000030004000000000D00844 +:10C4B0009604025400248481045066121002240061 +:10C4C0000002184000081000001A1002001080033B +:10C4D000053860000000140020000800000C000077 +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C510000000000000000A000000000800000F00FA +:10C5200000000000000000000000000000000038D3 +:10C530000F020000000000000000000000000000EA +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A00000044000800120C001780000000000006D +:10C5B000000000000000000000000000000000007B +:10C5C00000000008600C1000016800000000000777 +:10C5D0000000804C063800402000000000000000F1 +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C620000000000000000000000000030000008087 +:10C630000100000D87B109C0C1782C5807001CC744 +:10C64000C184166CC0023CE7E10B12008080010E31 +:10C6500000040E050A000CC7F1012E0000020006BE +:10C66000003000000000000000000000000000009A +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B0000000000000000000C0000000004000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000380000000000C005140000F8 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000030000708002100000E4 +:10C7500000400020000000440000400022000000D3 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000C7D +:10C7B00000800AC0090020800100408FD372084029 +:10C7C000080100C7410206CF0142122F01102E00BE +:10C7D000902814260060891500003CC0E900000084 +:10C7E0000000002000000000000000000000000029 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000060012 +:10C820000000000000000600000000000000000002 +:10C83000000000000000000000000000D03A0000EE +:10C8400000380000000000000000000000000000B0 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C880000000000000000000200000000000000088 +:10C890000000000000000000000000800000000018 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000001000000000000000000000000068 +:10C8C0000000000000104000000000020002000014 +:10C8D00000000200001000000000000000000080C6 +:10C8E00000810100000000020000000000000000C4 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000010000000000000026 +:10C910000200000000000000000000000000000015 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000001100000000000E6 +:10C940000201802022302028203004000020000036 +:10C950001000000200000020002410083000002019 +:10C96000082000000000000000000000000000009F +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000008000000000000000000000000017 +:10C9A0000000000000020000000000000000000085 +:10C9B00000000000000000400000000140000000F6 +:10C9C000000800100100002000200000000000000E +:10C9D0000000000000100000000000000000000047 +:10C9E000000000000000000000000800000000003F +:10C9F0000000000000000000000000200000000017 +:10CA0000000000000000000D00000000000100B068 +:10CA1000000000000900000000000000000000000D +:10CA20000000000000000000000000000000000006 +:10CA3000000A0000000000300000000000080000B4 +:10CA400000000000000000000020000000000000C6 +:10CA500000000000000000050030000000000000A1 +:10CA6000000070A070A00000900000A000A00000D6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA000000000000000000000000000A0000000E6 +:10CAB0000000000000000000000000000000200056 +:10CAC0000050000000009050002000040020606032 +:10CAD00000000000000000000000000005000C0C39 +:10CAE0002000000004009000000000000000000092 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000C003000000000000A00009F +:10CB500000000000000E000000000000000A0000BD +:10CB600000000000000000000000000000000100C4 +:10CB70000000000000000000000000000000008035 +:10CB800000000000000000000000000000000000A5 +:10CB90002000000000000000000000000000000075 +:10CBA0000000003000000040000000000001000014 +:10CBB0000000000000000000000000000000000A6B +:10CBC0000001000000000000000000000000100054 +:10CBD0000000000400040000000E0400000000003B +:10CBE0000E00000000000020002C04000000000CDB +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC100004000000000000000C0000000000000004 +:10CC200000000000000000000E00000000000000F6 +:10CC300000000000000000000000000000000000F4 +:10CC400000080A0000000000080C1000040000208A +:10CC50002000000000000000000000040000003080 +:10CC6000003901000000002000000000000000006A +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000200000000074 +:10CCA000000000000000000000000000000800007C +:10CCB0000000000000000000000000000000003044 +:10CCC0000000000C10000000000000000800003010 +:10CCD0000010000000000000000000000000000044 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD200000000000000000000000000000004000C3 +:10CD300000000000000000000000002000000000D3 +:10CD400000000020000000000000000000000000C3 +:10CD50000000000000002000000000400040000033 +:10CD60000040002000000000000000000000000063 +:10CD700000000000000000000000000000000000B3 +:10CD80000000000080000000000000000000000023 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000003000300000000000000000000013 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000030000000000000000000000013 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000200000000000000000000000000052 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000020000000002012 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000200000000000000001 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000800080000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF60000000000000000000800000000000000041 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000001000000000000081 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000010001000000000001000100000A0 +:10D0500000000000000000000000000000003000A0 +:10D0600000000000000000000000001000000000B0 +:10D0700000000000000000000000000000000000B0 +:10D08000000000000000810000000000000000001F +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F000000000000004001000000000000000001C +:10D10000000000000000000000000000000000001F +:10D1100000000000000000000000000000200000EF +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000008000000000000000000000000B7 +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E0000000EFDFFDDFFF5F0770000000000000C0 +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D210000000000000000000000077077707000012 +:10D220000000FFFF0100FFFFFEFFFFFF0100770787 +:10D230007707000000000000000000000000000070 +:10D2400000000000000000000000FFFFFFFFFFFFE4 +:10D25000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10D26000FFFF0700FFF0FFFFFFFF000000000000CE +:10D27000000000000000FFFFFFFF000000000000B2 +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B0000000AFAFF3F3FFFFFFFB070FF5F5FFBF74 +:10D2C000FF55FFFFFFBF0FFF33FF0000000000000E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000040000002A +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000090241E0010000C000000CF +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D3900000000000000000000000000000009024D9 +:10D3A0001E000000000000000C0000000C00000047 +:10D3B0000C0090241E00000000000000000000008F +:10D3C00000000000000000000000000000006840B5 +:10D3D00080026840800268408002684080026840A5 +:10D3E00080026840800200000C006840800200005B +:10D3F0000000000000000000000080040C0000009D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000090241E0000000C000020EE +:10D440001E0000000C0000000C0090241E000000D4 +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D47000000000000000000000000000000000802C +:10D480000400000000000000000000000000000098 +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D520000000000000009024120000000000902481 +:10D5300012000020120090241200000000000000E1 +:10D5400000000000000000000000000000000000DB +:10D550000000000000000000000090241200902451 +:10D56000120090040000000000000020120090242F +:10D5700002000000000000000000000000000000A9 +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B00000000000000000000000008000284F80F4 +:10D5C00060B94F8060B94F8060B94F8060B94F80BB +:10D5D00060B94F8060B00000000000000000000053 +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000C00000000000000000000000005A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D680000000FFFF505500000000000000000000F7 +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000003F1577070100A7 +:10D6B00001005F1377070100FFDD77075F130000AC +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000FFFFFFFF00000000DF026D +:10D6E0007323CCCC99997788575D4182F6F601FF78 +:10D6F000070533CCFFFF0000000000000000000021 +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D730000000000000000000000000000000FFFFEB +:10D7400055555555FF003333FF000F0F3333FF009E +:10D750003333FF0055550F0F00FFFFFFFFFF0000A1 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F000000000000000C020004400000000000005 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D820000000000000000000000000000001402097 +:10D8300010410000000100001000000004038000FF +:10D8400014030000000000000000000000000000C1 +:10D850000000000000000000000000000000C020E8 +:10D86000000030000403C020140002000402C020A5 +:10D8700004821000040380009402000000000000F5 +:10D880000000000000008000000200000000000016 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000004020004000000000402058 +:10D8D00000C0000000008000000400000000000004 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000080200000000100600000A6 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000402017 +:10D9B0008000000000404000800000000000D10016 +:10D9C00080000001102000000000000000000000A6 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000100E241008000000110E211008F +:10D9F0000000000110E24100800008011022000038 +:10DA00000000000000008000000000010020000075 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA40000000000000000020000000000040002056 +:10DA500000000000004080000000000100200000E5 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF000000000000000000000000022208700005D +:10DB0000C0C0000000000000000000000020000075 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB30000000008000C84613E107008001DB000000 +:10DB4000100000DB0013C123800000000000000073 +:10DB500000000000000000000000000000000000C5 +:10DB60000000008000000000C00000E893C980E0D1 +:10DB700093C100C3C3C3C020C310C58180DBC85399 +:10DB8000C4C50000000000000000000000C000C08C +:10DB900000C00000000000000000000000000000C5 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000C00000000590 +:10DBD0005400C200020A40620002C00000080000B7 +:10DBE000C000001000000000000000000000000065 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000004000030100000000000000000000050 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB000000000000000000200000400400000021C +:10DCC00040000800000000000140500080000000FB +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000004000800000100C00067 +:10DCF000800180208003800303418020C010400306 +:10DD0000880000400020000000000000000000002B +:10DD100000100010001000000000000000000000D3 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000004CF +:10DD500000000000000005000280054080800940AE +:10DD600000000000100000020000000000000000A1 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000FE4800800014000000000000056 +:10DE100000000000000000002000000000000000E2 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000008200280080B8 +:10DE40004B002800000754044149460084049C808C +:10DE5000A1600000000000000000000000000000C1 +:10DE600000000000000000000000000FF01009009A +:10DE7000099046022478A0000880408555006C0F68 +:10DE8000F1110E0804002C0E00000000000000003C +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000F000000C003380100D000000067 +:10DEE0000000560000000000017800000000002043 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000004002001002200308000012 +:10DF900000000000000000800300000000000000FE +:10DFA0000000000000000000000000000000000071 +:10DFB000000000000000000000000000000040051C +:10DFC00000A800805101080020000D14C0012007A6 +:10DFD000E0001007A8620000000000000000000040 +:10DFE000000000000000000000000000000000052C +:10DFF000C034028001300017E00A68870130100742 +:10E00000E0300C20411C2000E008A80B01F00000CB +:10E0100000000000000000000008280000000000D0 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E050000000000000000000C000000000000612E8 +:10E06000C0000000411200050000000002002C006A +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000800000503F +:10E1100000000000000000000000000004000000FB +:10E1200020000000000000000000000000000000CF +:10E1300000000000000000000000000000000000DF +:10E14000000000810640008002000C900E0080025A +:10E150000010680005040A000342000000000000EF +:10E1600000000000000000000000000000000000AF +:10E1700000000010201105500124C2000AC06400F4 +:10E180000330386CC90E008102102400C3500020F7 +:10E190000008000000000000000000000040000037 +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000241100000A +:10E1E0000010801000010020004001000108000024 +:10E1F0000010000000080000000000000000000007 +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E280000000000000000000000000000000800C02 +:10E29000800D0C00014C0000000000000000000098 +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000009F07000080E100400007 +:10E2D00000000007AA0202C42080C000E060000025 +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000C000103808C0017886044B +:10E3000090B81C030110060003480047E04087084E +:10E31000003C00170070000000000000000000003A +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000004B9 +:10E3600000080000C00000180302004000784802C6 +:10E37000000000000070000000000000000000002D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000005200001000110000000000000C5 +:10E42000000000000000000050000000000000009C +:10E4300000000000000000000000000000000000DC +:10E44000000000000000000000000000000C1200AE +:10E45000FA0226000001801AA07080E02901008ADB +:10E46000D27E00000000000000000000000000005C +:10E4700000000000000000000000000410021480F2 +:10E48000037060041400100FD51400055030BC0850 +:10E49000D64502031049AD0709F80000000000004E +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E0000000400F00802C14001208232068000058 +:10E4F00004900000F00000C0D17400000000000093 +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E570000000000000040001400000000400000052 +:10E580000000020002040000300100000000000052 +:10E59000000000000000000000000000000000007B +:10E5A0000000001000000000000000000400000057 +:10E5B000000000000000000000000000000000005B +:10E5C00000000000000002008000000000000000C9 +:10E5D000010080200041000222800020441200003F +:10E5E00001000024810220000000012041020120DE +:10E5F0000020000000022020000000202000042154 +:10E600000000202201010802004C30424400148026 +:10E610003120200021144010040010000414004098 +:10E6200000000000000800000000000000040008D6 +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000200000000020000000005A +:10E680000004400040040000000000000000000002 +:10E690000000000000000000000000000000040076 +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E7000000000000000000000000000030000000D9 +:10E7100000000000000000000000000C00004000AD +:10E7200000060000000000000000000000000000E3 +:10E7300000007000050000700000000000000000F4 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E760007020000000000000000000000000000019 +:10E770000000000000000000000000000000000099 +:10E780000000000E000000080000040900041E0242 +:10E7900000000E6220020000002040006000000027 +:10E7A00000000900000000000D00A00E00000000A5 +:10E7B00000000C0C00000000000000000000000041 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000C0000600000000050000000000000004C +:10E8100009000000000000000D00000000000000E2 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000020002000000000000000008000884 +:10E880001000000008000000000008000808000058 +:10E89000300800000000000000000000000000013F +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000008000000000000000000000050 +:10E8C0000000000000000000005E000000000C0ED0 +:10E8D0003000000000000000080030100E1C000096 +:10E8E00034200020300C000008000034180800001C +:10E8F00000000C203808080000000000000830006C +:10E90000000000303000003800000E1D08080E0C1A +:10E910000004200C380000200420300028003000C3 +:10E9200004000000080400300000000000000000A7 +:10E93000000000000008010000000000000082004C +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E98000000000200100000000081000100C000032 +:10E990000000000000000000000000000000000077 +:10E9A000000000000000080000000000000000005F +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000002000000000000000C6 +:10EA30000000000000200000000040200000000056 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000400056 +:10EA80000000400000000000000000000000000046 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000081008000000064 +:10EBB0000000000000000000000000000000200035 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000010000000000000000000000000E3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000100000C3 +:10ED400000000010000000000000000000000000B3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000001000000000000000000000000083 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000002043 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000010000000000003 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE800000007BFFEFBF000000000000000000005A +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000FAFF39 +:10EEE0007F33FFFF77FF00FE77075F13770705008B +:10EEF00001000800DFFF0100010001000100F1F046 +:10EF0000ABAAFFFFFEFFF101FFFFBFAF3000000023 +:10EF1000000000000000FFFFFFFFFFFFFFFFFFFFFB +:10EF2000FFFFFFFFFFFF00000000000000000000E7 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF800000000000000000000000BBBBFFAA000062 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000C0000000000000035 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F0000000000000000090241E000000000000002E +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F06000000000201E0000000C0000201E00902464 +:10F070001E0000000C0000201E0000000C0000001C +:10F080000C0090241E0000000C0090040C00902442 +:10F090001E00000000000000000068408002684080 +:10F0A000800268408002684080020000000000008A +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F10000000000000000000000000000000090244B +:10F110001E000000000000000000000000000000D1 +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F140000000000000000000000000800C00000033 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000902412004F8060B94F80F2 +:10F1A00060B94F8060B10000000000000000000066 +:10F1B000000000000000000000000000000000004F +:10F1C00000000000000000000000900400000000AB +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000090241200902412009024120000209D +:10F200001200900400000000000090241200000092 +:10F21000000000000000000000000000000090243A +:10F2200012000000000000000000000000000000CC +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F2900000009024120000000000000000000000A8 +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C00000000000000000000000000000000040FE +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000EFDFEFDFFFBF93 +:10F32000FFFBFFDFEFFF7FFF55FF00000000000045 +:10F3300000000000000000000000000000000000CD +:10F340000000000000000000000000000000D0E00D +:10F35000FFFF0000000000000000000000000000AF +:10F3600000000000000000000000BBFFFF015FFF85 +:10F37000EFFF1100FEFF77075F133F155F1377075D +:10F380003F150100FFDD5F130100010001005F1365 +:10F390003F1500000000FFFFAA0000000000000071 +:10F3A00000007323BF1000000000000000000000F8 +:10F3B0000000FFFFFFFF0000000000000000000051 +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F410000000000000003300BBBB00000000000043 +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F4900000008000000200000000000000000000EA +:10F4A0000402000000000000000000000000000056 +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000100001000000000000000000000001B +:10F4E000000000000000000000000000000000001C +:10F4F00000004020008000000001C0209083000038 +:10F500000403800014010100040300001000002423 +:10F5100000014020104180090000C02000000000D0 +:10F5200000000000000000000402C0200082000073 +:10F530000000C02000820000000000000000000069 +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F5900000000000000000000001800010050000D5 +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F610000000800000000001002000000000000049 +:10F6200000000100000000001000000000000000C9 +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000004000800000000000000000000000EA +:10F66000000000000000000000000000000000009A +:10F6700000000020000000000040C02080000001C9 +:10F680000060C110800000011020430080000000D5 +:10F690001000402080000000004080200000000199 +:10F6A00000600000000000000000010000000001F8 +:10F6B00010E200000000000100E200000000000075 +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000C00080000001A8 +:10F7200000200000000000000000000000000000B9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000003000300000063 +:10F7A000000000000000002384830180C5030000E6 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000130000000016 +:10F7E0000000000000000000000000000000000019 +:10F7F000000000000000004000200300542240C828 +:10F8000000C2835002EA00C380C08013C9C304CD84 +:10F810000013C805C8C001C813C0804080C00000E4 +:10F820000040C00000C00000000000000000000018 +:10F830000000C40300000000008000000000000081 +:10F84000C000000000C00000000000000000000038 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A00080C0000000C80000000000000000000050 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000800880DF +:10F92000080000000000000000000000002104208A +:10F9300004010000000000000000000000000000C2 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000200000020164 +:10F98000000001000090884003000021802080409A +:10F9900040030000000000000020400000408020E4 +:10F9A00080040400004010C00004000000000000BB +:10F9B0000000000000100001000010000004000022 +:10F9C00000000000010000000040000000000000F6 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA20000000000080100100000000000000000045 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA00084000000003000000000000000000C6036 +:10FAB0000002000910000140008030070000000033 +:10FAC0000000000000000000000000000000000036 +:10FAD00000000000000000000000000000000080A6 +:10FAE0000100000000000000000000000000000015 +:10FAF00000000000000000000000000000000242C2 +:10FB000000310004F2104064F6403047E2F04C80CF +:10FB100081300860E212406850000C80EB623E00C9 +:10FB2000002800C4E170001380500C000030000079 +:10FB3000000000000000960FF00112C60138400FCF +:10FB4000F40012C0013800000000000000000000B6 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA00000000000000000C0F7000000000000009E +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000E00000000010000000000000E4 +:10FC300000000C0008108004A00000200B389C0479 +:10FC40004002000000000000000000000000000072 +:10FC500000000000000000000000000000000000A4 +:10FC60000000004000000000100000000000000044 +:10FC70000000000000000000000000000000000084 +:10FC80000000603080B04000508030170000140049 +:10FC9000514801C725AC000E20C02087802C885415 +:10FCA000C090088505008C08110800000000004085 +:10FCB000006800000000000000000004803C6806AE +:10FCC00004000005C0302800000000000000000013 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD2000000000000000000000000000E0000000F3 +:10FD3000006400000000000000000000000000005F +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000800038000046000055 +:10FDB00000000000000062000A004001080004404A +:10FDC00000000200400000000000000000000000F1 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE0000000000000000A488004040810400804001 +:10FE1000002C102022001001A081080060080D00B5 +:10FE200051220A0162082031011902213010000418 +:10FE3000000008200040000000000000000003084F +:10FE40008000205800440000004405200008000005 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000A800000000000000000000000000000B8 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF2000000000000000000000000000C00D000004 +:10FF3000008000000000000000001080034000006E +:10FF4000E00016000078000710000000000000002C +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000800000089 +:10FF7000D0000000000000000000000000000000B1 +:10FF8000000000000000000000000E08030000C197 +:10FF900021080618120100274810AFC807900C1757 +:10FFA000C17886088A3CA0C6C01801D485110AB65B +:10FFB00011000000908100C0013C00000000000022 +:10FFC0000000180C803911C40178001C803B08E047 +:10FFD00003F400000000000000000000000000002A +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:100030000000000003900000000800000000000025 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0007000000000000000000000000000004090 +:1000C00000380000D0022240002A920F40000000B9 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000008090 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000024CB +:10011000006800C0D94001650000960F20BA0EC4E7 +:10012000111042344516065490103C8027160E805C +:1001300001020DA6C340000F10000100183000009E +:100140000000000000000003600208A50370000327 +:1001500060001C8003700000000000000000000030 +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B00000000000000000C001100000F07000000E +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000001002030203021FC +:100240001000004000202000000100021000000407 +:100250000040000000000010000200001400140024 +:1002600000200008000840002000200000000000DE +:10027000002000000000000000000000000000005E +:1002800000200000000000000000000000400040CE +:10029000002000400000800000022A205020203072 +:1002A00030420A202420202C44482000202400280A +:1002B0004020010002000000442040140000000023 +:1002C00000000000000000000000004000000000EE +:1002D00000040000000000000014400010001000A6 +:1002E00040000000000000000000000000000000CE +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:10034000000000001000000000000000000000009D +:100350000040000000000000000000000400000059 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000300030000070000000000000000000005D +:1003D000300070005070000000000020000000009D +:1003E000000000000000000000000000000E0000FF +:1003F000000000000000000000000000000E0000EF +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:100420002000001000BA0000000009040D040800BC +:100430000D000DA4050A004000000000700000003F +:1004400000000000000000A0000000A0000000006C +:10045000000000000000000E00700C000000000012 +:100460000000000C0000090000000000090000006E +:100470000000000C0000000D000000000000005013 +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000D00002F +:1004C000000000000850000000000000000D0000C7 +:1004D000000000000070000000000000000E00009E +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000E00AD +:10054000000C000000000028000000100000000067 +:100550000E0C000C0000000800300000000000003D +:10056000000C00000000000000300000000010003F +:10057000200020000000000000300000000000000B +:10058000000000000000000000300000000000003B +:100590000000000000300010003000000000100ECD +:1005A000000C00002030000000280420242000203F +:1005B0000820310000040010000008000C000000BA +:1005C00038103000000000000000000000000000B3 +:1005D00000000030000000000008000000000100E2 +:1005E00000081000000000013000000000000000C2 +:1005F00000000000000000010000000000000000FA +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000B000000000000009F +:10065000000000000000000000300000000000006A +:100660000000000008000000000000000000000082 +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000002000000000C9 +:1007100000000000000000000000000000000000D9 +:1007200000000020000000000000000000000000A9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000002000000000000000000000000079 +:100760000000002000000000000000000000000069 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000008000000080000000002000000028 +:1008B0000000000000000000000000000000000038 +:1008C00000000000000000000000800000000000A8 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000C0000000000000000000000000000007B +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000010000000000000000000000000C6 +:100A200000000000000000000000000000000000C6 +:100A30002000000000000000000000000000000096 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000100000000086 +:100A60000000000000000000000000100000000076 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000080000004000000000000000000000082 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B40000000000000000000000000000000FBBFEB +:100B5000FDDF00000000EFBFFDF7FDF7FDF7FFBE72 +:100B6000FDF7EFDFFBF7FFF67DFFFFBE7DFFFFBE6A +:100B70007BFF0000000000000000000000000000FB +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000005003704FF778F +:100BB000FFDD77073F153F157707110001000101A1 +:100BC000AB003F155F133300010000000000000080 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C9000000000000C000000000000000000000048 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD000000090241E000000000090241E009024BC +:100CE0001E0090241E0090241E0090241E009024BC +:100CF0001E0090241E000000000000000000000004 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D2000000000000000000000000000000048007B +:100D30001C2000000C0090241E0090241E000000C7 +:100D40000C0000000C0090241E0000000C000000AD +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000800000000000000CA +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000488060A94F8060B94F8060B94F8022 +:100E600060B94F8060B94F8060B94F8060B94F80E2 +:100E700060B94F8060B94F8060B94F8060B00000AA +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB00000009024120090241200000000009024F2 +:100EC0001200000000009024120000000000902496 +:100ED000120090241200000000000000000000003A +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD000000000000000FF00555533330F0FFF00E5 +:100FE00055550F0F5555FF00555555550F0F0F0F00 +:100FF000FF003333FF00FF00333355550F0F3333FA +:10100000F0F0FFFFFFFF0000000000000000000004 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:101030000000000000005F13F75577075F13FFFF04 +:1010400001003F155F13010005053F153F15010025 +:10105000010077075F133F157707000000000000CD +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000080000F +:101160000000000000008000000200000000C0201D +:10117000000000000000C020008200000000C0202D +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B00000000000000000000000000004034020C8 +:1011C0009C0100000001C02010010000000100008F +:1011D0001000000000014020108100000402000007 +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000080008E +:1012E000000000010020800000000001002080209C +:1012F00000000001006080200000000100608020EC +:10130000000000010060000000000000000000007C +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:10133000000000000000000000000000000041204C +:10134000800000001040C0208000000100604000CC +:1013500080000000000040208000000000400100EC +:10136000000000001000000000000000000000006D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:101460000000000000000400C0C0000000080000F0 +:10147000C0E00000030000C0C240C0C744C000007C +:1014800000400062E0C0C02000C00000000000C0BA +:101490000008000000000000000000000000000044 +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000C003418043C885008010C04793DE +:1014D000030300C90108C80080C1C88047C0449305 +:1014E000E081C4E3C0C00000C4C0000000000000F0 +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E000000000000000004000000040101000401B +:1015F0000000804010100040200001111420141041 +:10160000400401000020012000041000011000022D +:10161000000000400000000000000000000000008A +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000002004218021200400028E +:1016500000040802214800014000001080410002FF +:10166000204000040003000280200000000200006F +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:10176000000000000000000000000000F0840C00F9 +:10177000A0900000F0000000004440058004140028 +:10178000424040088000520009E8000FF400604029 +:10179000E8F8000000000006000000000000000063 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C000000000000000000000000E00842C706982 +:1017D000E260000FF2002D94E34A9214F0002CA175 +:1017E000431153CD05001CE8390520000002000418 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000001000E8 +:1018F00000390D0000B1100000010000F040100799 +:1019000000010240004800A001011087016010089A +:101910000000000000000000000040000000000087 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000002275 +:1019500008001004E0E440042010100041140004CA +:101960008010000021750E40200030880110120008 +:1019700000088004000000000000000000000000DB +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A700000000000200002000000000080060000BE +:101A800000240004200000A0829810608020808044 +:101A900000802000002400002000800000080000DA +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD000000015140820000428003000242904E028 +:101AE00020040001112A00E0000200282E00080056 +:101AF00004200100000000020000000000000000BF +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF000000000000000000018002000006000004D +:101C0000603000000868401010080C80F1708005FA +:101C1000800120C000700003600800C0C5040000FF +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C500000000000000018D403000ECC498646B2F4 +:101C6000280200C0E16141C417142CA7E108230435 +:101C700022BCAC90E50D12000080000700000000BF +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D7000000000000000000000000080108030071C +:101D800000E800000000000740600000108040876D +:101D90000530000750283CC00A78400BE40800C01A +:101DA000037A0200000000000000000000000000B4 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD000000000000000000000004C40000001C0B6 +:101DE0004B0000822002148F0B3902C00128BC9ED8 +:101DF000D3120E8400302C8FC1100200000800277F +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000200000000000000040000CB +:101F10004010000000800000002800400004000085 +:101F20000000004000200000000000000000000051 +:101F30000000000000000020000000000000000081 +:101F40000000000000000000002000000000000071 +:101F500000020000000000000000400000000040FF +:101F600000004000200440203000002024205400C5 +:101F700024202020000004001020004004841010C1 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:10208000000000000002000000000000000000004E +:10209000000006060000000000000000000400B080 +:1020A00000B0000000000000000000000000000080 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000AF6 +:1020E00000000006000002020000000010B0000224 +:1020F000090900400000000000000656200000060C +:10210000006A1D000D000000000E0C0000000E0013 +:102110000000A0000000000000000000000000001F +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:1021400000000000000000000000000D0000000082 +:10215000000000B0000000000000000000000000CF +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:102190000009000000000000000000000000000036 +:1021A00000005000000000000000000000000000DF +:1021B0000000000000000000000000B0000000006F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:102210000000000000080000000000000030000086 +:102220000020003000080000000000000030000026 +:10223000000000000000000000000000000000108E +:10224000000000000000000000000000000000008E +:102250000010000000000000000C00000000000062 +:10226000000010000000000000000000000030200E +:1022700000000020280024000420302000000C0072 +:1022800000200000083800000000000000000100ED +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000A00A3 +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A0000000000000000008000000000000000024 +:1024B000000000000000000000000000000000809C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000020004B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000100000000A9 +:10263000000000000000000000000000000000009A +:10264000000000300000000000000000000000005A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000002000000000000000000000000000BA +:1027000000000000000020000000000000000000A9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D10000000FFFF33000000000000000000000082 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A0000000080000000000000000000000000017 +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000010000000000000000001B +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:103620000000000000000000000006000000000094 +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000010018 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000020000000000000000000000000085 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000002000000074 +:103C300080400000000000000000002000000000A4 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB000000000000000000009000000090E0000E3 +:103DC000000000000000000E0000000000000000E5 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000020000000103000000000000021 +:103F40000000002000000000000000000000000051 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00040000000000000000000000000000000BD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E0000000000000000000000040000000000078 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000D00000000000000000000000000000009 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF0000000100000000000000000000000000095 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000002000000000070 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000800E9 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000600000000000000000000F1 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F90000000DFDF001B0FFF0F33000000000000B8 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:1081100000000000000048001C2000000C000000CF +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:1081400000000000000000800000000000000000AF +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:108290000000000000000000000000000000482076 +:1082A000122000000000000000000000000000009C +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000077FF00530000000000000000000083 +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A000000000000001402090400000000000009A +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000004020800000000040000029 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000008182448200FF +:1088B0004400000000000000000000000000000074 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000541 +:108A3000842084000000000000000000000000000E +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB0000000008001041C80231000000000000061 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000083010010400C00000053 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB0000000000000000000000000518D020A8048 +:108EC0004002000000000000000000000000000060 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000001C14 +:1090400000801000E284000000000000000000002A +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C0000000004301306C0020020000000000009D +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:109330000000000000000000000000000000040029 +:109340000000000004000001000000040000000014 +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E0000000000000000000000000A000000000DC +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:109640000000000006000000000000000000000C08 +:109650000000000400000000000000000000000006 +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:1099200000000000000000000000000000000040F7 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C5000000000000000DDDD0100AB007F0F3313CA +:109C60005713ABAF1303000000000000000000001A +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000C00000077 +:109DE0000C0000201E0000201E00000000000000EB +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E100000000080000000000000000000000000C2 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F500000000000000000000000000000000020E1 +:109F600012000000000000201200000000000000AD +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E000000031FF550103001FFF7F3F57000BFFAA +:10A0F000030300000000000000000000000000005A +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000402000028000000C0 +:10A270000402C0200CE40000000000000000000008 +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000100000000006C +:10A3F000000081200000000110400000000000006B +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000023C8 +:10A570000002C4E0A0000062C700C400C4C0000024 +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F00000000000009000200008022004C00000BC +:10A700000020000000000000000000000000000029 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000008852172000E21390688C2 +:10A880006530CE8F2A150000000000000000000097 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F000000000000000000000001C8561081CE849 +:10AA000041142000C8820CC623380000000000005A +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB70000000000000000000000000000000109431 +:10AB80004401900021008550000200008000000078 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD000000007C008603A20002380898010000873A +:10AD100013780000000000000000000000000000A8 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE8000000000000000004002141C87F31400A022 +:10AE9000F13480070890000000000000000000006E +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B00000000000000010000000000000020000002E +:10B01000000000010000000000000000000000002F +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B18000000000000000000000300000000000008F +:10B19000000200000000007000005050010E00008E +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000008000000000000000000F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F0000000000000000000000000000A00000044 +:10B30000000000000000000000000000000000003D +:10B3100000000000040000000060000C00000000BD +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000080000000000000000000001C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B600000000080000000000000000000000000032 +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000100000000000000A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000004000000000000000000B5 +:10B790000000000000000000000000000000100099 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000100000000000000001000053 +:10CCD0000400040100000400000000000000000047 +:10CCE000000400040000000000000000000000003C +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000030000000000000B2 +:10CE50000000000000000000001000B00000000012 +:10CE600000000000000000011000000000000000B1 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000A00000067 +:10CFC0000000000000000000000000000000000061 +:10CFD00000000000000C00000000000800800C00B1 +:10CFE00000000000000000000004000880000000B5 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000020000000000000000000000BD +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000010400000082 +:10E990000000000000000001000000000000005026 +:10E9A0000001000000000000000200040000000060 +:10E9B0000102000000000000000000000000000054 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB2000100000100000000E00000000B0500000B7 +:10EB3000000A0070090A0000000000000000000048 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000808000A000006000000000078DC +:10ECA0000000007080000010060C00000000000052 +:10ECB00050080008000000000808000000000000E4 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED7000000000000008000000000000000000008B +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000200000000000010 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000FFFFFFFFFFFFFFFFFFFF68 +:10F2B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:10F2C000FFFFFFFFFFFFFFFFFFFF00000000000048 +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F42000000000000000000000006840800268400A +:10F430008002684080026840800268408002684024 +:10F440008002684080026840800280240E00000034 +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000008000000000000000000C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B00000000000000000000000802402000000A5 +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000080000000008B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F73000000000000000FFFFFFFF00000000FFFFCF +:10F74000FFFF000000000000000000000000FFFFBD +:10F75000FFFF0000000000000000000000000000AB +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000008000000000000000C020E8 +:10F8C000008402000402C020080400000000C020E0 +:10F8D000000280080000C02000400000000000007E +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000100220000A3 +:10FA40000000000100E211000000000110E20000CF +:10FA50000000000100E280000000000100E0000062 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000010044 +:10FBC0000000000500C00000C30000C300C0C42343 +:10FBD000C00300050000000000000000C0C30000DA +:10FBE000C000000000000000000000000000000055 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD4000000020000000000000400000030000212F +:10FD500000200800800100000000000000000008F2 +:10FD60001248010001000000000000000000000037 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC00000000000280100000000000FF0084A8038 +:10FED0000664000220721C000260000FF000018026 +:10FEE0000230000F000000C0010000000000000010 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:100040000000000000000000E00010000048000771 +:10005000E040109001300012803A0CB00134000AE8 +:1000600060010E9001B0000F00001EA00100000012 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C00000000000000000000000000000003000FF +:1001D0000041001080030010000200189006180073 +:1001E0000002000000000020008900280004300008 +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000800110000060C0000028008003304000D1 +:10036000E000009005300012C06E02C00460000082 +:1003700000080E80010000000000000000000000E6 +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D00000000000680000000044000500800000EB +:1004E00001B8000410500000027C800554381CC084 +:1004F00003400000000008C00900000000000000E8 +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000001000004000000A5 +:100650000000000000008000000000410041010097 +:1006600000400241000481028400240004040108C7 +:1006700000400000102A00000004000000040000F8 +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000007000A9 +:1007E00000000000000090700010B0000000000940 +:1007F000900090089000000000049010000000009D +:100800007000000000000000000000000000000078 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000600000000D1 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000008000008000000000000000000300057 +:10096000000A001C00180850001054180E883000AF +:1009700018002C000C5C080000100000000C008027 +:1009800000080000000C0000000000000000000053 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000200000000000000020000000000F2 +:100B000000000000000000040000000000000000E1 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C7000000000000000080000000001000000006B +:100C80000020000000000000000000000000000044 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000002000000000000000000008BA +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F6000000000000000FFFFFFFFFFFFFFFFFFFF8B +:100F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81 +:100F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFF66F0A +:100F90007FFFDE7BFFDFFFFFFFFFFFFFFFFFFFFFA6 +:100FA000FFFFFFFFFFFF00000000FFFFFFFF00004B +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E000000000000000000000006840800268402E +:1010F0008002684080026840800268408002684048 +:101100008002684080026840800268408002684037 +:10111000800200000C0000000C0068408002684063 +:101120008002684080026840800200000000684041 +:10113000800200000000000000000000000000002D +:10114000000000800000000000000000000000001F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:1012700000000000000048800020408000200000A6 +:10128000000090241200002012000000000048809E +:101290000020408000200000000000000000488086 +:1012A00000204080002080040000902412000000F4 +:1012B000000000000000000000000000000000002E +:1012C000000000000000008000000000000000009E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000271B9F061B27C4 +:101400000F0F000000006666870F00809933000010 +:1014100000009F06D7149F060F0FF66F7FFFFF7F18 +:10142000FFEA47473F0CF505FF00FFFFFFFF55A50B +:101430000F87000000000000000000000000000016 +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:1015700000000000000000000000C02000D40000B7 +:101580000000C02000C070000001C0201004020054 +:101590000402C020082000000000C02000800000DD +:1015A00000000000000000000000C0200084530084 +:1015B0000403C0209C950000000080000002000091 +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000001E9 +:1017000000A200000000000100E240008000000193 +:1017100000E211000000000110C200000000000102 +:1017200000E20000000000000000000000000001D6 +:1017300000AA51008000000110A20000000000017A +:101740000006000000000000000000000000000093 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:1018800000000005000000000000000000000093C0 +:10189000C880C901DB1303D0030B008303100000D1 +:1018A000000000000000002008A001000105000069 +:1018B00000000000A00000C113C11301CB01000013 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A10000000000404024001000002200480008451 +:101A20000200000000000000000000000004200090 +:101A30003000000000000000000008030003000167 +:101A40004001000000000000000000000000000055 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B8000000000000000000410502D0000001EC4E2 +:101B900017302C0AE405000224281080023846037E +:101BA0006C800C800130868FF08D1140E008B017FA +:101BB00008710086F1B04087743080460AC800E79B +:101BC00079002C000882000080110D000048000000 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000C00230080C309D +:101D10000114C8047074108CE1390014E3023E50C1 +:101D200004402007E0282A070400260480088D0EBE +:101D300040038CC3610002A9E3B20207E374008F81 +:101D40001130008B630128000280000020000E008B +:101D50000030000000000000000000000000000053 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90003040001000070220020286800000001877 +:101EA00084140050804449290012000801E00CC845 +:101EB00080204020061880088000C00006900204A0 +:101EC00045801950000400830008000090040000C1 +:101ED00000102400000800000000000000000000C6 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:10201000000080071000015000409607F028800F54 +:10202000F0080007EA380080C1380005E01200041B +:102030000038000220304026C1140EE7E1000026DF +:1020400041302D0220688D44C0480005D6140DD0C3 +:10205000C560000030800C0000700000000000002F +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:1021900000000000000080077000008002600D4514 +:1021A000508C004E0444801410010A80D26206054F +:1021B0005002805E0840A0041013208A02404104AF +:1021C00074000A1451108C0C9009108001328005A3 +:1021D00014700180237A000010010000007C0000D0 +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C00000000000000000000000000000000040CE +:1022D00000000040000000020000000000000000BC +:1022E00000020000000200000000000000000000EA +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000040800000010000804000400000FC +:102320008041080202408000040220045202285C1E +:102330002010415C08202A5101242202002822207A +:102340000C21302002042202400024001200000070 +:102350000200101200003042202001000000002086 +:10236000010000000000000000100000000000005C +:10237000002000000000000000000000000000003D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:1024600000000000000000000000000090000000DC +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A0000000000000000C0000B0000D0000000063 +:1024B000106E940C501D003C940000000D790C002F +:1024C0009C0C09000C50280D90409000405D9050ED +:1024D00020000010001010000000300000600040DC +:1024E000000000000010B00000000000A01000007C +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D0000000000000000010000000100000000CCF +:1025E00000000000000000000E08000000080000CD +:1025F00000000000000000000000000000000002D9 +:1026000000000000000000000000000000000000CA +:102610000002000000000202000000101A00000C7E +:102620000200301000200A80101C0A0008101A0056 +:1026300000CD0000000C20002A0A28300A300418BF +:102640005C04050001003430041C31300004340CFB +:10265000005030000C0000D00C8000000000300062 +:1026600010200C0E00000020088000000000000078 +:10267000000E0080000000000010000000000000BC +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A00000000000003000000000000000000000F9 +:1027B00000000000000002000000000000002000F7 +:1027C0004000000040000000300000000040000019 +:1027D00000000000002000000000000000000000D9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:10292000000000000000000000800800000000001F +:102930000000000000000020000000000000000077 +:102940000000800000000001204000004000002046 +:102950000020000100000000812104000000002090 +:102960000000000000000000002000000000000047 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000040022 +:102AB00000000000000000000000002000000000F6 +:102AC00000000000000000000000000000200000E6 +:102AD000804010200008009004040000043004002E +:102AE0000000002000100000000000000020000096 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE0000000DFFF0F0B5540FF885F13FFFFFFF76B +:102BF000DD0DFFBFDD0D010011312727FF3F000074 +:102C00000000FFAFFF7F4040FDFF0000000000001C +:102C10000000000000000800BFFF000000000000EE +:102C20000000000000000000000000000000FFFFA6 +:102C3000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA4 +:102C4000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF94 +:102C5000FFFFFFFFFFFFFFFFFFFFDFFFFFEFFFFFB4 +:102C6000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF74 +:102C7000FFFF00000000FFFFFFFF0000000000005A +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D600000000000000000000C0090040C001000A7 +:102D70000C0000201E0000201E0000000C000000BF +:102D80000C000000000048000C2000000C000000B7 +:102D90000000000000000000000000000C00000027 +:102DA0000000000000000000000000000000000023 +:102DB00000006840800268408002684080026840ED +:102DC000800268408002684080026840800268405B +:102DD00080026840800268408002684080020000F3 +:102DE0000C006840800268408002684080026840B1 +:102DF00080026840800200000000684080020000FD +:102E0000000000000000000000000000000000C002 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000002012000000A0 +:102F000000000020120048000020480000200000BF +:102F100000000000000090241200000000000000EB +:102F200000000000000000000000000000000000A1 +:102F30000000000000004800002000000000000029 +:102F400000000000000000000000488000204080D9 +:102F500000209024120000000000902412000000C5 +:102F6000000000000000000000000020120000002F +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F9000000000C000000000000000000000000071 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:1030700000000000000000000000030000C07FFF0F +:10308000F35100000000FFF7AF23FFBFFFBFFFFABF +:10309000BFBFFFBF0B0000000000BF04FB0000002B +:1030A0000000000000000000000000000000000020 +:1030B00000000000000000000000F755F757FFFF78 +:1030C000FFFF00000000000000001101F3F39F0665 +:1030D000B7127B21FF0000FF0FF0CDDD01005FA0E4 +:1030E0005595EFFFDFFF00000000000000000080AA +:1030F000AA55FFFFCC33FFFFFFFF000000000000D8 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F0000000000000008000000C00000000C02063 +:10320000005600000402402000800000040200007C +:103210000C000000000000000000000000010000A1 +:10322000900100000000000000000000000000000D +:10323000000000000000000000000000000080000E +:10324000000600000000C020008400000000C02034 +:10325000000200000000C020000603000402C0209D +:1032600000CC03000402C0200CC400000000800059 +:10327000000201000402C020248C00000000C020D5 +:10328000006200000000800000020000000000005A +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000800000000001000080202C +:1033800000000001002001200000000010400100AA +:1033900000000000100000000000000000004000DD +:1033A000800000000000000000000000000000009D +:1033B000000000000000000000000000000000000D +:1033C00000000001002200000000000100E20000F7 +:1033D0000000000100C600000000000100E2110032 +:1033E0000000000110C209000000000110C600002A +:1033F0000000000100221100000000010092000006 +:103400000000000100C200000000000100060000F2 +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000100CB +:10350000C003000000000000E000034502C30322E6 +:103510000000002300C0C4030400002010000000CD +:103520000000131313130000DB010005000000006E +:10353000000000000000000000000000000000008B +:1035400000000000000000000000000000C00000BB +:10355000C0000000000000000000000500000000A6 +:103560000000C3C30BE3C503C3E3C7E3C0E3A3E0A9 +:10357000C72800000040000240000423C4000000EF +:10358000C700000000C00000C300000500000000EC +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:103670000000000000000000000000000000000842 +:103680000008200018080005000005800000042044 +:1036900004A00400000000000020008000000000E2 +:1036A0000008000000000000000000000001000011 +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000020000000000000DA +:1036D0000040000001000010040000000020000075 +:1036E000000108000000820304202001820202027F +:1036F000C04004204000000008480080010800008D +:103700000000000002000000004000000300000074 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000004003207916C00510004F25021C005F +:1038100040B0020210C101C7014C0CA20038008E5A +:103820000200488378320000F100009001785000D7 +:1038300040010000540000000040000000000000B3 +:10384000000000000000000000000000123C2C00FE +:10385000400040036C900C000000004FF0300E0060 +:10386000F0000C436BBC14270406005220A8204E25 +:10387000F99800EFF1001044EAC84008500815001C +:1038800005001E2FF00A0C400248000360001400DF +:1038900000000000843054000038000000000000E8 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000E03000C0009400A033 +:10399000001228C0E0313000003A8024013021803C +:1039A00000000C01E1020027C038000852080000A6 +:1039B0000008000020010000E00200000078000084 +:1039C00000000000000000000000000000000020D7 +:1039D000923000005035000220380D20011C4002BA +:1039E00020003050A4401205C2383183C13810186D +:1039F0000A3B14805130508483001184F13100005F +:103A000080820D0001906004820896440440800486 +:103A1000900815200394000080880D000014000019 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B00000000000000000000000000034008044026 +:103B100000000000010008200820201100000141E1 +:103B2000000000040930B0222300048C0011824000 +:103B3000004000800240080000000000000000007B +:103B40000040000000000000000000000000000035 +:103B5000000000000089300000820009801202C0CD +:103B6000000000803010005008460B19860120C567 +:103B70000480100028100252000410E89002821203 +:103B80001405000020010000014400003010100462 +:103B900000C10038004322000000000000080000BF +:103BA0000080000000000000000000000000000095 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C8000000000000000000000000000000000082C +:103C90000002002001388000E22C1D80010C101869 +:103CA000802B00070490878809008000E0000083D3 +:103CB0008F019608110800800380000010000000AA +:103CC000C00100000018000000000000000000001B +:103CD000000000000000008081091400C80A4000B4 +:103CE00000300000003040000F0C0080C13A060C8C +:103CF00080B51184E538409008160180A1382280F3 +:103D0000012C1CD700604014000201000048C18053 +:103D1000F70814140510000090801C80017000004A +:103D2000F0810000006000000000000000000000C2 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E1000000000070000140002800054D20140009E +:103E20000810020000D0162A028003870138001A09 +:103E3000F102810480844000F61000600000000060 +:103E4000F000000058020000001400000000000014 +:103E5000000000000000000000000090830034001B +:103E6000C03000030002008006B8004AA0004000F5 +:103E7000024008841108088E02600045505014006A +:103E8000F24200A41100CA870370000250000C40E7 +:103E9000083002055002408701300000101000C0B9 +:103EA0000988000060000E0000780000000000009B +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F8000000000000000000000000000000002002F +:103F900000010001000000408050000800010028DE +:103FA0000020202010102011321080200014140452 +:103FB000002202120A001431303100000002001009 +:103FC000010010000240100000101012000010004C +:103FD0000000001000220040000000000010004916 +:103FE000000000100081004000400000010100209E +:103FF0004400100C00111038040C004100345150E2 +:1040000002244802285204420241410290424222C4 +:10401000294028203029212001200002241A0202F0 +:10402000200402020021110004000400001100001D +:104030000000000000000020000000000000000060 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000001000048B +:1041200000000054000000001030B02030200000DB +:10413000A030000090000010BC1010203010B01013 +:10414000904000200000200000502000002020307F +:10415000000030000000002000000000000000000F +:104160000020000878000010000008A8000070007F +:104170000D0A000202001E6C9038081C08040C0096 +:104180000819301D06606CB6082D686606520900D5 +:1041900012426640606A00000D00994D090000005F +:1041A000400000B000000000000A3D00090000507F +:1041B000003000000000000000700000A0000000BF +:1041C00000A000000000000000000000000000004F +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000006C9 +:1041F00000000000000000000000000000000000BF +:10420000000000000000000000000000000E0000A0 +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:104290000000000000000800025C0008000A001096 +:1042A000102002000A08000A00000A100E00000890 +:1042B00038001010000C00005E3808000080000C70 +:1042C0001A08008000080E0008000ECE082000002A +:1042D0000000000C0000004E80000A0000380010B2 +:1042E000000040400040000C0B00000002380B0BA7 +:1042F00000200E000C48003030C1000C00590110A5 +:1043000001000F380B342420043420040030012C29 +:10431000040C28043000003C0C20302030080C0035 +:1043200008100008140C0C0030000408003C0C00BD +:10433000040004000A0C000000000000000000104F +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000300000000000000D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:10440000000000000000000080000000000000002C +:10441000000000000000000000000000000000009C +:104420000008000000000000000000000000000084 +:10443000000000000000002000000000000000005C +:10444000001000000000000000000000200000003C +:10445000000000000000200000000000000000003C +:104460000000000000000000300400000000000018 +:104470000030000000010000010000000200000008 +:104480000000000000000000000000010400000027 +:1044900000000200000820000D10000000040000D1 +:1044A0000034002400000000004000000040000034 +:1044B00000000000000000000040000000000000BC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F000000000000000000000000000008000003C +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000200000000B +:10459000000000000000000000000000000000001B +:1045A000000000000001000000000000000000000A +:1045B000000000010000800000000000003000004A +:1045C000000000000080000000010000000000006A +:1045D00000000000000000000000000000000000DB +:1045E0000000000000000000000030008002000019 +:1045F000000000000000000000000000000020009B +:104600000000002000000000000000080000002062 +:104610008500000000002400040180000E81840059 +:104620000001000180A00400000000000000000064 +:10463000000000000000000000000000003000004A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E0008003000000000000000000000000000047 +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000041065 +:104740000020000000000000000000300000000019 +:104750000000000010000000000000000000100039 +:104760000010000000000000000000000000200019 +:104770000000000000000000001000000000000029 +:1047800000002000000000200000000000000004E5 +:104790000000002004000000080020002400002089 +:1047A0000020040000000060402804180000000001 +:1047B00000180000000000000000000000000000E1 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F0000000000000000000000000000000FFFFBB +:1048000099DC000000000000000000000000000033 +:104810000000000000000000000000000000000098 +:1048200000000000000000000000FF7FFD0D000000 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000AAFFAF +:10486000EEFF00000000000000000000000000005B +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A000000000000000FFFF0100CF8A45CFFFDFBE +:1048B000135F1111CFFF3F3FAF8CCCECF351FF7F63 +:1048C0005575FFFDFFFB0100515501005755FFBB1A +:1048D000DFFFFBFF33138F0F0100FFDF3FFF0000FF +:1048E00000001D551D551B33DF02DF022F0D000098 +:1048F0000000000000000000000000000000FFFFBA +:10490000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB7 +:10491000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA7 +:10492000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97 +:10493000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF87 +:10494000FFFFFFFFFFFF000000000000000000006D +:10495000000000000000000008000000000000004F +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:10498000000048000C2000000000000000000000B3 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B0000C000000000000000000000000000000EB +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E000000000000C0000000000000000000000BB +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000C009024C6 +:104A30001E0000201E0000000C0000201E000020B0 +:104A40001E0000000C0000000C0000201E000000F2 +:104A50000C0000000C0000000C0000000C004800DE +:104A60000C200000000090241E0090241E009024C2 +:104A70001E00000000000000000000000000000018 +:104A80000000684080026840800268408002684000 +:104A9000800268408002684080026840800268406E +:104AA000800268408002684080026840800268405E +:104AB000800268408002684080026840800268404E +:104AC0008002684080026840800200000000000010 +:104AD0000000000000000000000000C00000000016 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B3000000000000000902412009004000000001B +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB0000000900400000020120000201200902449 +:104BC00012009024120048000020000000000000A5 +:104BD0000000000000000000000048000020480025 +:104BE00000200000000000000000000000000000A5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000004880DC +:104C10000020408000204880002040800020488004 +:104C20000020408000204880002040800020902408 +:104C300002000000000000000000000000004880AA +:104C40000020408000200000000000000000000064 +:104C5000000000000000000000000000000000C094 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000ECFCF9F9F7F82B +:104CC00011000000000000000000000000000000D3 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D3000000000000000DDD005003FBF153F51001E +:104D4000153F0DDDF5C44755F5F5135F0F08C0009D +:104D50003B005FFF0100FFDF0100FFDFDF00FF5FBF +:104D6000FF7FFF7F7F7FF7FFBFBF000000000010C5 +:104D7000FFFD000000000000000000000000000037 +:104D80000000000000000000000000000000000023 +:104D900000009F066F099F06FF00B7126F09D71426 +:104DA0000F0F6F097B219F060F0F6F09B7127B2131 +:104DB0000F0F0FF0FFFF00000000000000000000D8 +:104DC00000001D1DDD114747FF00FFFFFFFF000032 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000320040 +:104E400004030000980000000000000000000000C3 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000001800090000000E1 +:104EC000040340209C4100000403C02010C40000E3 +:104ED0000000000000000000000040200040000032 +:104EE00000000000000000000000000000000000C2 +:104EF00000008000000400000000C020004400000A +:104F000000000000000000000000000000000000A1 +:104F10000000C02000D400000000C02000C000003D +:104F20000000C02000C600000000C02000460000B5 +:104F30000000C020008601000402C0200CC0000058 +:104F40000000C020002400000000C0200004000079 +:104F50000000C02000C000000000000000000000B1 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC0000000510080000000100000000000000000 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:1050300000000000000000000000C000800000012F +:1050400000204120800000001040C12080000001AD +:1050500010600000000000000000002000000000C0 +:105060000040000000000000000000000000000000 +:1050700000008000000000010020802000000001EE +:1050800000600000000000000000000000000000C0 +:10509000000000000000000100A20000000000016C +:1050A00000B200000000000100B20000000000019A +:1050B00000E200000000000100E211000008000111 +:1050C00010E200000000000100C20000000000012A +:1050D00000E200000000000100E20000000000000B +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000046B +:1051400000000000A00000EB00D30300C40000003A +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000200000000002D +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B000000000000000000000000000000000C827 +:1051C00000001300C00300C0032346C280800262B7 +:1051D000C6C082CAD7C2000000000000A000440080 +:1051E000440022620040400220050200030000004B +:1051F000000008000000030003C30300C003E30332 +:10520000472200220007000000000000000000000C +:10521000000000000000000000000000000000008E +:105220000000000001000000000000050000010077 +:105230000000000000000000000000000000C4E3C7 +:10524000C303C3C3C7C30000000000000005000083 +:1052500000000000A0000000A0000000C00000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C0000000000000000000000000000402400098 +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000200AC +:105300000800000000000000000000000000000095 +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000020018012080040020004A0BC +:10535000800203200282848000A000000000200858 +:1053600000000000000002208020018004000200F4 +:105370000208800180000000000009C009180880B0 +:105380001008200808400200004000000000000053 +:10539000000000000000000000000000000000000D +:1053A00000000000000008002000080000000000CD +:1053B00000002000000000000000000000000000CD +:1053C000000008208401C003042100000000000048 +:1053D00000000000000000000000080000000000C5 +:1053E00001000000000000000000000000000000BC +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000040004C +:1054200000301640020000000000000000000000F4 +:10543000000000000000000000000000000000006C +:105440000000000000000000100000000038000014 +:105450000000000400000000000000000000000048 +:10546000000000000000000000000000000000003C +:105470000000000000000000000000000000000329 +:10548000000000000100000000000000000000001B +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C000000000000000400078071C00E210080304 +:1054D00080700D84F3314180858030D0C1402CA490 +:1054E00011041589F63A0C2F2A790C04E0711FA7D4 +:1054F000793C0024417006C85180CA8FFB78005067 +:1055000061014000F0300003600000C0013800007D +:105510000000000000000000000000000000000289 +:1055200020C80E800160574774302C2E04044C8232 +:1055300024380D9809B0664415B414083AC20C44D6 +:1055400015100FAA1132000000300EC00138800F74 +:10555000F0092C800130200FF07294C00138800FC8 +:10556000F03510C00138000000000000000000000D +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A000000000000080000000000000000000007B +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000020100000AB +:1055D0000014100000004004400200000000000021 +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:105600000000000300000010000000000000000087 +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000007803800009B +:1056500050F4C6050B00110CA5400604E0103C24D4 +:1056600009E44712682A1283C160610062285D263E +:1056700043190E0768305046E2775000003808C1E1 +:10568000A16000802300100020384013600200C099 +:1056900004300000000000000000000000000000D6 +:1056A00000004013603A0C3001148C07FB740C8B23 +:1056B000A13A1617E07E0C13D1100057E03248844F +:1056C00001304643E05450D3C060100B608120C7C6 +:1056D00001700004808010000390080B63311427D0 +:1056E000F004000480001080013000000000000081 +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000020000000400000000019 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:10575000C0410000000020000F0000080000000011 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000014000000000200000003 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000020B9 +:1057D0002700084031001500040A808000520400B0 +:1057E000833081401800080810400098120C000017 +:1057F00008440218020D001130C0306014240180EA +:10580000482400900C080000C9100000080800207F +:105810001000004000C00000000000000000000078 +:10582000000000000000000030001000001200081E +:10583000842010C000003800030448092406C0007A +:1058400000A08E040121140CE2040020000A0004D0 +:105850006008806000880028800210200008200076 +:10586000260018000001002800061820000800008B +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A0000000000000000000000000040080000074 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000800000000068100000000006CA +:1058E000C0000000000000000000000000000000F8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000100000000000000000000000000000086 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:1059500000000007000C0C00403888088B00DC2693 +:1059600040004F00000D15071008086880019100E5 +:10597000000802081A10C0000072230864B2140F55 +:1059800045680318C2099C88E3E100000B2C000065 +:10599000C0840014000000000108000000000000A6 +:1059A00000000000000000000000C000102C00708B +:1059B00000440005C0300023A11C0C05207800061F +:1059C000A000020DC8094036C116430480A8002378 +:1059D000C1164000000001C004680004808000C0BF +:1059E00000605815C07E2E57F04000058092008060 +:1059F00001000000000000000000000000000000A6 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A300000003C000000000000000000000000002A +:105A40000000000000000000000000000000000056 +:105A5000000000000000000024000A000014000004 +:105A600000000027D002000000000000000000003D +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000005000000000000000000000001 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000409000010002E11214EC +:105AE000000C40C6D332204D61001427E1902043C2 +:105AF000802C150F4110010F74000004D1700200BA +:105B000010081220A2100E451A080180017400002E +:105B1000140C0000F00200077C0028800A0000003E +:105B2000000000000000000000000000000000076E +:105B30007000000000305102263216AB02624E8D1A +:105B4000E1110A4608682C888108008602602E430D +:105B50006028346B0118000880000C8001300003BD +:105B6000600234C0077C0883600888870AE000056B +:105B7000100034C009F80000000000000000000020 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB0000000000000000000000010008000000055 +:105BC0000000000000000000020000202001000092 +:105BD00000000000000000000000002000000000A5 +:105BE00000000402000000000100000000000000AE +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000100000000000000000000000083 +:105C20000000000000000000000200000000000072 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000004080040000800000 +:105C6000020004411844420430114A0080002140DF +:105C7000421420105834000A01091804015200018E +:105C80005001020140500430104014000404001080 +:105C900014141000000800000000000000000000C4 +:105CA0000000420000000080000080405010000012 +:105CB00000902040014000200001800040001008BA +:105CC0008891004404849C200041001220443194B7 +:105CD00014542400000C00009851202C24343C84DF +:105CE0004804480221018820042C000401220410E9 +:105CF0004004000020000000000000000000000040 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000200043 +:105D400000000000900000000000000000000000C3 +:105D50000000000000000000000000000000000043 +:105D600000004000000000000000000000000050A3 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D9000000000000000000000000000000000A063 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE0000000000000000002200000000020480C1D +:105DF0000006000200300C100C10620C0000300095 +:105E000042305000300A02500030000025090000E6 +:105E10004060001000150000400400400060601069 +:105E2000000000400060000800000000500000007A +:105E300030100000503009000D000000000E0A096B +:105E4000080A000C0C19001009B004097000001EAB +:105E50007D7018001C0070405004060D0C3070441A +:105E6000001010909000000E000D085002007D7D83 +:105E70000D7A79100C0A00B000000D0D7900000AAF +:105E8000090000A000000000000000000000000069 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB000000000000040400002000E000000020050 +:105EC00000000000100000000000000000000000C2 +:105ED0000800000030080000000000000000000082 +:105EE00000000030000000004000040C0000000032 +:105EF000080000000000000000000000000000009A +:105F00000000000000000000000000000000000091 +:105F100000000000000000000000000C0000005025 +:105F20000000000000000000000000000000000071 +:105F300000080000000000000000000E000000004B +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000040000001 +:105F60004012300000401002000000080000300025 +:105F70003008004F1000280E3800010000100E08F5 +:105F80000808000C043000083A080C082030002EE5 +:105F90000000000000440000000400000040000079 +:105FA000000000800040000000001401008000306C +:105FB0000000103030000040003030000820003079 +:105FC000000C000031800A001A380098003C3010A4 +:105FD0000038000C30240D38093420000000000087 +:105FE00030382024342824341004300C080C1020BD +:105FF000080400080C380400110C000030000000F8 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000800000068 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:1060600000000000000000000040300020000000A0 +:1060700000003000000000000000000000000000F0 +:106080000000000000000000000000000000000010 +:1060900000000000000000000000000020000000E0 +:1060A00000000000200000300000000000000000A0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D0000000000000000030000000000000003060 +:1060E0000000003000000000000000004000000040 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000002000000000000000000000005F +:10612000000000000000004000000000000000002F +:10613000000000000000000000000000000000005F +:1061400000000000000000800000000000000000CF +:10615000000004000000000000000030000000000B +:106160000000000000400900000000800000000066 +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00001000000000000000000000000000000DE +:1061C000000000000000000080000000000000004F +:1061D00000000000000000000000000000000000BF +:1061E000000000000000000000000000002000008F +:1061F000800000000000000000000000000000001F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000800000008000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:10629000000000000020000080200000000000201E +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000001000000000BE +:1062D000000000000000000000000000000000407E +:1062E000000000000000000000000A000000003074 +:1062F000003000000000000000300000000000003E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:1063700000001000000000000000100000000010ED +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000100000000000000010000010BD +:1063B00000000000000001000000000000000000DC +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000010AD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000020007D +:10640000000000100000000000000000000000007C +:10641000000000000000000000200000002000003C +:10642000002000200000000000000000000000002C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:1064500000200000000000000020080000000000F4 +:1064600000200000000010000028000000000000D4 +:106470000000004040200000000000000320000059 +:1064800000200000000000000000000000000000EC +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C0000000000000000000000000000000AAFF23 +:1064D000FF7FFFFFFEFFFFFFFEFF00000000000048 +:1064E000000000000000FEFF7777000000000000C1 +:1064F00000000000000000000000FFBF3737BBAA0B +:106500001144000000000000000000000000000036 +:10651000000000000000000000000000000000007B +:106520000000000000000000000000000000FFFB71 +:10653000C382000000000105FFFF00000000575566 +:10654000F7F0000000000000000000000000000064 +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:106570000000F3F301000100EFEE01000100FFBF96 +:10658000FF7FFFFF010000000000FFFFFFBF3AFF99 +:106590000BBBF3F3FF7F0088FBFF0100F7005044C3 +:1065A0000100F7F7EFFF50220C0000000000000090 +:1065B00000000000000000000000000000000000DB +:1065C0000000FFFFFFFF00000000FFFFFFFFFFFFD5 +:1065D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:1065E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:1065F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10660000FFFFFFFFFFFFFFFFFFFF00000000000094 +:10661000000000000000000000000000000000007A +:10662000000000000C00000000000000000000005E +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000C0000000C0000000C00000016 +:106660000000000000000000000000000C0000001E +:10667000000000000000000000000000000000001A +:106680000C0000000C0000000000000000000000F2 +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B000000000201E000000000090040C000000FC +:1066C000000000201E00000000000000000000008C +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F00000000000000090040C0000000C000000EE +:106700000C0000000C0000000C00000000004820FD +:106710001E2000201E0000000C0000000C000020C5 +:106720001E0000000C0000000C0000000C00000027 +:106730000000000000000000000000000000000059 +:106740000000000000006840800200000000684077 +:106750008002684080026840800268408002684091 +:106760008002684080026840800268408002684081 +:106770008002684080026840800268408002684071 +:106780008002684080026840800268408002000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000C0080000000000000021 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D000000090241200902412000000000000002D +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:1068000000009004000000000000000000000000F4 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:1068700000000000000000000000002012000020C6 +:1068800012000020120000000000000000000000C4 +:1068900000008024120000000000002012004800C8 +:1068A0000020000000000000000000201200000096 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D0000000000000000000000048800020408010 +:1068E000002048800020408000200000000080241C +:1068F00002000000000000000000902412000000D0 +:1069000000000000000000000000488000204080DF +:106910000020000000000000000000000000000057 +:106920000000000000000000000000C000000000A7 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:10695000000000000000F3FFFFEECFFFDDFFFF7F30 +:1069600000010100FFEFFEFFFF7F000000000000BC +:106970000000000000000000000000000000000017 +:106980000000000000000755554431FFFF7B000068 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C0000000DDDDBBBF0000000000000000000093 +:1069D0000000000000005F5F0500000000000000F4 +:1069E00000000000000000000000000000000000A7 +:1069F00000000000000000000000000000007FFF19 +:106A0000153F555D7FFFFFBFCF45FBFF0100010133 +:106A10000100202CBFFFDFFF0FFFFFEF07770E0005 +:106A200051F3FFEFFFBF0002FF3FFFCFD580FFBF55 +:106A3000135FFF7F01000000000000000000000065 +:106A40000000000000000000000000000000FFFF48 +:106A5000FFFF00000000000000000000000077447D +:106A6000CF03CF033333F303CF03F30355550000B4 +:106A70000000FFFFFFFF0000000000000000595968 +:106A8000F70800000000FFFFFFFF00000000DD111D +:106A9000CF033F0C0F0F00000000000000000000BB +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD000000000000000000000007300040300003C +:106AE0001401000000000000000000000000000091 +:106AF0000000000000000000000000000000000096 +:106B0000000000000000000000000000040200007F +:106B10000400000000000000000000000000000071 +:106B20000000000000000000000000000000000065 +:106B300000000000000000000000000000008000D5 +:106B4000000400000000800000040000000080003D +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000302004034020948100000402000033 +:106B90000800000000000000000000000402C02007 +:106BA000004400000402000000000000000040203B +:106BB00000100000040200000400000000000000BB +:106BC00000000000000000000000000000000000C5 +:106BD000000000000000402000C000000000C020B5 +:106BE000008400000000C020000400000000C0205D +:106BF000002650000001C020105400000000C020FA +:106C0000007400000402C020082400000000C0201E +:106C1000004200000000C020004200000000000010 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C500000000000000000000000000000005100E3 +:106C60008000000010000000000000000000000094 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000010003 +:106C900000000000100000000000000000000000E4 +:106CA00000000000000000000000000000000000E4 +:106CB0000000000000000000000000000000800054 +:106CC0000000000100208000000000010020800082 +:106CD0000000000100200000000000000000000093 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000004128800000001040010049 +:106D100000000000100000000000000000009128AA +:106D200000000001106001000000000020000020B1 +:106D30000000000000000100000000001000000042 +:106D40000000000000000000000000000000000043 +:106D500000000000000000000000000000C0000073 +:106D60000000000100E200000000000100E200005D +:106D70000000000100C240008000000100A20000ED +:106D800000000001008211000000000110C200009C +:106D90000000000100C600000000000100E2000049 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE0000000002300030104D32300030308000074 +:106DF000D0000300000000080000000000000005B3 +:106E0000000500000000000000000000000000007D +:106E1000000000C0C00040C0C0030000000000002F +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E4000000000000000000000000000C30000007F +:106E5000C00300000000000000000000000000006F +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E800000000000000000000000000000C700003B +:106E9000C1230040C080A0230000000008000008BB +:106EA00000000403022300000004C0E342C506C042 +:106EB00000000000434000080022C40504C0C000D8 +:106EC00000030000000000000000000000000000BF +:106ED00000000000000000000000000000C00000F2 +:106EE000C3000000000000000100000000000000DE +:106EF0000100000000000000008000DBC9C9801311 +:106F000080800005000000000000002300C0C000D9 +:106F1000C0C0000000C00000C0050000000000006C +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F600000000000000000000001400080000080E0 +:106F7000020000000100020000000000000000000C +:106F80000000000000000000000000000000000001 +:106F900000000000000000028000010280010000EB +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC000000000000000000001000180000000003F +:106FD000180000001008000002400000000000003F +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:10700000000000000000000000000000000000027E +:107010000020000041008840010400020000000040 +:1070200000000000000200010500010000400120F6 +:1070300003200220000080010320800000020000E5 +:107040000028410010800000000000000000000047 +:107050000000000000000000000000000000000030 +:1070600000400000030800000000000020000000B5 +:1070700000000000200000000000001000041000CC +:1070800040018000800400000000000000000000BB +:10709000002080088002000000400008010000007D +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E000000000000000000000002EE0F50080001D +:1070F0000038864004B02C04E2480E000000000472 +:107100000000000080081C00007400000000000067 +:1071100000000000000000000000088024000020A3 +:10712000F16000000000000000000000000000000E +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000240000001B +:10715000007000002030000040800000600000004F +:1071600000000000000000000000000000000021FE +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:10719000000088106200158723041083A64815108C +:1071A000F280005003000D9E430248C079B400866F +:1071B000F27080A0670494C4E9B11D806000000EE5 +:1071C000F23C02AF802801CEF1C0000000000000B8 +:1071D00000000000000000000000000000000000AF +:1071E00000000007008010C00100800FF0032C8019 +:1071F00002604E8368300EC801484C4AA4524AC807 +:1072000001684003643008000240000FF0001000E5 +:107210000000010DD03010800260000FF0301480AB +:1072200002602C03603810DE4138000000000000CE +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:107260000000000000000000000000000000088096 +:10727000E3000004E00230200838000CD0000900D0 +:107280000028800C00000000808001000030000019 +:10729000000000000000000000000000000018B224 +:1072A000C138008653940000000000000000000078 +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000100006C002002400000007000006F +:1072E000F0010000000000000000000000000C00A1 +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:107310000000000000001E82C30209D325782090DF +:10732000E0081421A132200D001011142001308337 +:1073300060314001526C2007E03C30CFC0310C5D21 +:1073400064030C2BA0103C4703401043F06800007E +:10735000000000000000000000000000000000002D +:10736000000000000000400200380120010000037E +:10737000603828100400220780741408B0000C073D +:10738000E0100803A4020017E0420E000280000C87 +:10739000803D29A003B40E03608051E00064000A20 +:1073A00020AD14300114C804983810804132000018 +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F00000000C8000200000400020000014808568 +:1074000086000200004000000000000010000000A4 +:10741000000200000000000000000000000000006A +:107420000000111041184020100000000000000072 +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:1074500000000000E000000000800000400000008C +:107460002000000000020000008A00000000000070 +:107470000000020000000004000000000000000006 +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000180082318000A1 +:1074A000980001120CC0C810010208000A40804177 +:1074B0000000800007A0A0400404680240000C0007 +:1074C0000420000822008200190020100020086A11 +:1074D00000000000000000000000000000000000AC +:1074E00000000000000000000000002C00800000F0 +:1074F0000000002000020010004604A300010270FA +:10750000001834088104041A00450022000A1060A3 +:10751000008800088000000000800012200522186A +:1075200001240028000418000082400030181820B0 +:107530002008000000000000000000000000000023 +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:107570000000000000000200822840000030088C5B +:107580008088100000803600000000070000000026 +:1075900010800000007000000000000000000000EB +:1075A00000000000000001C805800C01C038000088 +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D0000000000000000000E000000000080000C3 +:1075E00002800000C07000001000100000080000C1 +:1075F0000000000000000000000040060000000045 +:10760000000000000000000000000000000000007A +:1076100000000000000000000000000000008E23B9 +:10762000602C8010403A100D00B842C4E3F0020D07 +:1076300002800004E0014003823B1007A06800C8FC +:10764000E40C080940380C8821008270007810385A +:107650008A014D0710180000000000000000000023 +:10766000000000000000000000000000000000001A +:107670000038028001000017E03A020000002943B0 +:10768000C24029C4004012000830005008400003E6 +:1076900060540CC00468000080B528C0046063001A +:1076A000008010C60060000020AB008001406F44E5 +:1076B00080000107039000000000000000000000AF +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000950020B3C0ADE +:1077000040B81E5003015E06F11002000068002F11 +:107710000000000050000000008000000000000099 +:1077200000000000000000000000004F00102D0FBE +:10773000E81500000000000000000000000000004C +:107740000000000000000000000000000000000039 +:1077500000000000000000000000000070000000B9 +:1077600000100050F2000000D08200001000010064 +:1077700000100000000000000000000000000006F3 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A0000000AC4264002C0F20140C0386380086C5 +:1077B0005382034000108006000200D0513828A0F8 +:1077C00012100647001591C0F3141DD2F1040C806D +:1077D000A290080380A84826D29000000000000074 +:1077E0000000000000000000000000000000000099 +:1077F00000000000000010C0090000041002084052 +:10780000011808A7588980000044464775340000D5 +:10781000C00000077808010000004007703000C079 +:1078200003780202280800E703780000600000C027 +:1078300009780ECBE12C94000990000000000000B4 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000002000001000202197 +:107880000000200000000014402000001001103013 +:107890000000001010000000000020000014000094 +:1078A0001000002400200000000000002010000054 +:1078B00001000000000000000000000000100000B7 +:1078C00000000000001000000000000000000000A8 +:1078D00000000000001000000000000000008040D8 +:1078E00000800000000020001000002000000010B8 +:1078F0000000100010210000000000200000000027 +:107900000000000000001000000000000000000067 +:107910000000000000000000100000000000000057 +:1079200000000040004000000000000000140022A1 +:107930004010005041215014001400005408200849 +:107940005C30300030403040500004100A314810A4 +:107950000400500000100200100000100C881000FD +:1079600000101010001000000010000000080010AF +:1079700000000000000000400000001000801041E6 +:1079800000509000002A00000080140288041401B6 +:107990001010500410100012140040144011000088 +:1079A0001408102C400888000004044A00122084A7 +:1079B00044000010008C01000004000108040001D4 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00001000000000000000000000000202000062 +:107A1000380030200000002020000000000000009E +:107A200060105000000000000000000D0000000089 +:107A3000003E00A0000000000800000D0000000053 +:107A400000300000000000000010000000000000F6 +:107A50000000000000000000001000000000000016 +:107A60000000000000000000005000003000000096 +:107A700000000000000030003000000000000000A6 +:107A80000000000000006000000030000000000066 +:107A900000000000000000000000000030000000B6 +:107AA0000000000000000000000D00000000580C65 +:107AB00008007A090020A03008BA30305200007067 +:107AC0000ABC00643C101DAA2D7019001678000035 +:107AD0000C1E64320042100006124000000C000030 +:107AE0000C601800000D0000000E000000000800EF +:107AF000000870300000000000000C0000000C10B6 +:107B00000000300900391C08000C00009000366AA3 +:107B1000940D000918101C601028901010BD5C1006 +:107B20005C190D0000B4090C593804409000004C59 +:107B3000081039B000B00E1E007C0D00000070006F +:107B40000C7EA000000000B000000000000000005B +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000E000000F7 +:107B8000400000000000000C004000000E4000001B +:107B900000300000010800000000000000000000AC +:107BA000000010000008000000000020000000009D +:107BB0000000000010000000040000000B00800026 +:107BC0000000000000000080000000000000000035 +:107BD0000000000000000080000000000000000025 +:107BE0000000000000002030002000000000200005 +:107BF00000000020000000000000000000080080DD +:107C00000000803000000000000000008000000044 +:107C10000000000000000000000000000000000064 +:107C200000000000000000000040001000300E4086 +:107C3000000A01034B080E2C00404000290C300CB8 +:107C40000E0C000030000000081010002010103052 +:107C500030010800000C30000C0010000000000192 +:107C600000010000083001000000000000000000DA +:107C700000000100000000000000000000000110F2 +:107C80000041010000317018002011010E0C0000AD +:107C900000300C58300850080100310C000100047D +:107CA00008003108310C000000000A2C00F0100020 +:107CB00000040C3C010CA034340000000038080023 +:107CC0000008000C000C0004000000000000000090 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D300000000000000000000000000000600000E3 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D700000002000000000000000004000000000A3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000030B3 +:107DA00000000000000000000000000000000000D3 +:107DB0000000000000000000003000000000000093 +:107DC0000000000000000000000000000020000093 +:107DD00000000000000000000000000000000000A3 +:107DE00020000040000000000000000000400000F3 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E100000000040000000000000800000000000A2 +:107E20000000000000004000000000000000000012 +:107E300000A00000004000000080000000000000E2 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E80000000000000000000000000000000008072 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC0000080000000000000000000000000000032 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000008000000000000000000002 +:107F00000000000000000000000000000000002051 +:107F10000000200000000000000000000000000041 +:107F200000000080000000000000000000000000D1 +:107F30000000000000000000000080000080000041 +:107F400000000000800000000000000000000000B1 +:107F500000000000000080000000000000000000A1 +:107F600000000000800000000000002080000000F1 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F90000000000000000030000000000000300081 +:107FA00000000000000000000000200000000000B1 +:107FB0000000000000600000000000000030000031 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000001000000030 +:10804000000020000000000000A10000000000006F +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000020000020000000000000000000A0 +:1080A00000000000000000000000000000000000D0 +:1080B0000000000000000000000000200000008020 +:1080C00002000000000000000000000000000000AE +:1080D0000000000000300000000000000000000070 +:1080E0000000000000200000000000000000002050 +:1080F0000000000000001000000000000000000070 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000202F +:108130000800000000000000000000000000000037 +:10814000000000000000000000000000002000000F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:108180000000000000000000000000000000DDFF13 +:10819000DDFF00000000AFFFBBFFFFEEDDFF0000D2 +:1081A0000000CFFFF3FFCFFFF3FFFFFCF5FFFFFC65 +:1081B000DDFFCFFFF3FF3322DDFF000000000000F2 +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:1082400000000000000000000000FFDDD5FFDF009F +:10825000F3A2F3A223AF7FFF3F2A0000000000003B +:108260000000FFF70C3000000000000000000000DC +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:10829000000000000000FFFFFFFFFFFFFFFFFFFFE8 +:1082A000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000DC +:1082B0000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:1082C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:1082D000FFFF0000000000000000000000000000A0 +:1082E000000000000000000000000000000000008E +:1082F0000800000000000000000000000000000076 +:10830000000000000000000000000000000000006D +:10831000000090241E000000000090241E00902405 +:108320001E000000000090241E0090241E009024D7 +:108330001E0090241E0090241E0090241E000000A9 +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C000000000000000000000000000000000208D +:1083D0001E0000201E0090241E0000201E00000031 +:1083E00000000000000090040C00000000000000ED +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000006840800268408A +:1084200080026840800268408002684080026840A4 +:1084300080020000000068408002684080026840BE +:108440008002684080026840800268408002684084 +:108450008002684080020000000000000000000070 +:10846000000000000000000000000000000000000C +:108470000000008008000000000000000000000074 +:108480000000000000000000000000000000900458 +:108490000000008000284F8060B94F8060B94F8095 +:1084A00060B94F8060B94F8060B94F8060B94F802C +:1084B00060B94F8060B94F8060B94F8060B94F801C +:1084C00060B000000000000000000000000000009C +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:108540000000000000000000000000000000902477 +:1085500012004820122000000000000000009024BB +:10856000020000201200000000004820122000003D +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A0000000000000000000000000000000488003 +:1085B00000204080002048800020408000200000F3 +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F00000000000000000C00000000000000000BB +:10860000000000000000000000000000000000006A +:108610000000FBFBFFFFFFFF5555555533330F0F90 +:108620005555FF000F0F33335555FF003333555564 +:10863000FF00FF0055550F0F33330F0FFF000F0FD3 +:1086400055550F0FCCCCFFFFFFFF000000000000CE +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D0000000FFBFF3FB5555557501003300FFFF48 +:1086E00001007777FFFFFFBFF5C47FFF0022FFFF88 +:1086F000FF7F0000000000000000000000000000FC +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:1087200000000000000000000000FFFFFFFF00004D +:108730000000CF033F30CF033333CF031D1DDD11C6 +:108740000F0F00000000000000000000000000000B +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000402000000000020B3 +:1087A00000004020004000190000C02000820009A5 +:1087B00000008000000080390000C020008280336B +:1087C0000000C020000000340000402000800000B5 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:10885000000000000000B208040380009422000021 +:108860000000C020004202000403402094C0900990 +:1088700000018000902000000000000000000000C7 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000C02000C4000024 +:1088B0000000C020008000000000C02000C20000B6 +:1088C00000008000000000000000C02000C4000084 +:1088D0000000C020004000000000C02000C00000D8 +:1088E00000004020004000000000000000000000E8 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000011000000000046 +:108920001000002800000000004080280000000126 +:10893000006080000000000100208028000000018D +:1089400000608021000000010060002000000000A5 +:1089500000400000000000000000000000000000D7 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D00000000000000000000000D1008000000145 +:1089E0002000802000000001004451208000000091 +:1089F0008040C00080000001000000000000000076 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000145 +:108A300000E200000000000100AA000000000001A8 +:108A400000E2000000000001002200000000000120 +:108A500000E200000000000100E200000000000150 +:108A600000E200000000000000C000000000000064 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000C0C0000800C000C0444000003A +:108AB0000040C0C0E00008C0C00000C00000C0000E +:108AC00000C04400C04044C0E0004700C000E000D7 +:108AD00000E2440000000000000000000000000070 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000C40051 +:108B600000000001C4C0000008C000024408C48224 +:108B7000C041448002000300808300000008000020 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB0000000000000000000C0C0000503C300006A +:108BC000A000000000000000000000000000000005 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C200000000000000000008002000000040004BA +:108C3000042100400020100400400010010000103A +:108C40000140104001040000102000040080088052 +:108C500010000002000400000000000000000000FE +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE00000000000000000010202004000100180AE +:108CF000000000828021000284000800800C000037 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D300000000000000000000010000001400010D2 +:108D400002C0000000000000000000000000000061 +:108D50000000000000000000000000000000000013 +:108D600000000000000000000000000000001000F3 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB000000000000200400880001CCE03F0060006 +:108DC0000000000000F80000000000C00B80000060 +:108DD000000000000A790008000012000A000000EC +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000002C1018042C2120380012F3 +:108E700020901C0041F000CF0310000025020820C4 +:108E8000D0100000D0300000000000000000000002 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000040036410158002604004C0 +:108EC0001430000006446C8410091C0902681880E4 +:108ED000E508000E4040400220010D400248000F0E +:108EE000F03452000000000360806C40024800042F +:108EF00000801200000000000000000000000000E0 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F2000000000000000000000000000000000033E +:108F30000000000800000040F000100000010200E6 +:108F400000B00100000000000030100000010080AF +:108F5000E1300008000000870140000800000087A1 +:108F60000100000000000000000000000000000000 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000002806300000696 +:108FF0000000300A7078AA040438000200281CC05F +:1090000000011E800300B401000000000000000009 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:10903000000000000000000000000017E0020140F6 +:1090400000480017E002004000480707E04C3EC41B +:10905000E4620040E04CEC070830800730000DC0AF +:109060000460000B6001106002C4001FE03B0C2094 +:109070000114000B00380020030000000000000075 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B0000000C00000002004000000E000000000EC +:1090C000020004520080200004002400000400007C +:1090D00008000000088010000000808000082000C8 +:1090E00000088000010000000000000000000000F7 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000004000BF +:1091700010065400340C080418040000210080106C +:10918000041C10800000110000102000000E0000E0 +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B0000000000000000000000000000000002887 +:1091C000001200A000080000001418800000000633 +:1091D00049068080024020008282000100A8000031 +:1091E000008201100044000020000010000400086C +:1091F00080A000000000002100000000000000002E +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000300000000000000C665 +:1092400008000000000000C0007806008001100047 +:10925000C078000C900114C000700000600002C0D3 +:1092600007B40000000000C005000000000000007E +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F00000001E008638200400480E988083320645 +:109300000C04806800308006E7001000800194099A +:1093100000610000000000000000000000000000EC +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:1093400000000005600200E003B0001000320000E1 +:1093500000000740633800C0013C1800E0480000EE +:109360004068001CE02A14C000604000007C0040FF +:109370000040000FE00900C000600012003C0060E7 +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B0000000000000001E0000000000D0000000BF +:1093C000000000204A00000F00001480D26000005E +:1093D000F00010000072000F100021470808000B79 +:1093E000F4000C80D130000000002040000000009C +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:10947000000000000000220000001416001001048B +:1094800060000CE0417802C701040000D0020E2009 +:109490008000280800180000000000000000000004 +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C0000000000000000005008000800344400010 +:1094D000040000C00AE8AEC0813400800730088074 +:1094E0006038000000410007703420C00378000895 +:1094F000802800C003780003600834C0077C0003A4 +:10950000003400C003000000000000000000000064 +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:109540000000000000000000000000000800001003 +:109550000011002000200000200000040000000096 +:10956000000100000000004020400000000000005A +:1095700000020000000000000000000000000000E9 +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B000008000000000000000000000000000002B +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F00000000000204000402000140040204000F7 +:1096000028400010501010900000000010002108A9 +:1096100000100001340000000000100000001000E5 +:10962000000000000010000000000000000000002A +:10963000000000000000000000000000000000002A +:10964000000000000000004000000050000000008A +:109650000000200000104048048828104C811404A9 +:10966000000110500011400822044000408000001A +:1096700050000900408024840C8C20004000000031 +:1096800000000000000000000000000000000000DA +:1096900000080000000000000000000000000000C2 +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C0000000000000000000000000000000060094 +:1096D0000000000008100000000000000070000EF4 +:1096E000000E000000B00000000A000A0070000038 +:1096F00000000070000000000000000000000000FA +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:10973000000000000001000000000000000E00001A +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:109770000000000900000C0D08090800000030BDC1 +:1097800008090C0D9C000019181E103070BE08004E +:1097900009403000003C006D00B0700D707010B0DA +:1097A000709000B00D0070B000000D0000B05000CF +:1097B00000000000000000000000000000000000A9 +:1097C000000000000000000D00000000000000325A +:1097D0000000080000090800081D0C7C09080C3076 +:1097E00000B209B0020918383030007C0E09304E42 +:1097F00030900000289E3840586000B00C0C50009B +:1098000000000900029E0D0D007000000D0A00709E +:1098100000000000000C000000000000000000003C +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000010C002000200000BB +:109860002000000400000000000C000000000000C8 +:109870003030000000000000000C0000000000007C +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F00000000000000000000000010021300130E5 +:10990000200008001120110000300000110000109C +:1099100000000B000000BC000001000C380000003B +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000001000026 +:109940000000000000000000000000000000001007 +:109950000000003000000100700021007B00313069 +:109960000C300000183C080000080F01800C1000AB +:109970002C5C9000B0100000010088001B303C1CE3 +:109980000C18300030000000000000000000000053 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000004000000000000000000037 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000003000000000000000000000A6 +:109A900000000000000000000000000000000000C6 +:109AA00004000000000000000000000000000000B2 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000030000066 +:109AD0000000000000000000000000008000000006 +:109AE0000000000000010000000000000000000075 +:109AF0000000000004000000000000000000000062 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000200000000000D5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB000000000000000000000000C000000000099 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000004000000000000014 +:109C10000000000000000000000000000000000044 +:109C20000000000001000000000000000000000033 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C500000400000000000000000000000000000C4 +:109C60003000000000000000000C000000000000B8 +:109C700000000000000000000200000000000000E2 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D3000000000000000000000000000000004001F +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000002000000000000043 +:109E00000000000000000000000000000000002032 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000FFFFFFFFFFFFF7 +:109F7000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1 +:109F8000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000DF +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E00000000000000000000000000000006840C8 +:10A0F00080026840800268408002684080026840B8 +:10A1000080026840800268408002684080026840A7 +:10A1100080020000000000000000000000000000BD +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000809F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000800000000000000000000000000E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000FFFFFFFF00000000000050 +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A570000000000000000000000000000000C020FB +:10A5800000D400000000C02000C000000000C02077 +:10A59000000600000000C0200084000000004020F1 +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000100A200000000000100B20000F3 +:10A710000000000100E200000000000100E2000073 +:10A720000000000000C00000000000000000000069 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A880000000000000000000008000000000000048 +:10A890000000A00000C00000C000A00000000000F8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000001000000004000032 +:10AA100000100000000000000040100001000000D5 +:10AA20001000000000000000100000000000000006 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000400364005000CE +:10AB90000000400BE4122C800130800220812C4008 +:10ABA0000248000FF000100000008002000012C0F8 +:10ABB0000A0000000000000000000000000000008B +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD0000000000000000000000000000000000073C +:10AD1000E0000C0004080007E078281000000004A0 +:10AD2000803028000400000C800110000280000424 +:10AD300000000C8001000000000000000000000086 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE9000000000046004088002500008A080003018 +:10AEA000000E002C4000010000400002000038208D +:10AEB0000008000400000200000000000000000084 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B0100000000000000040048038002001144003BC +:10B0200060880000000000008003008001B0000C78 +:10B03000809500000000001C00000CA00300000030 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000880000080A7 +:10B1A0000130000360003C4000080000600016C051 +:10B1B00009340003683800C00BF8000400347C0038 +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000010002E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000001000000000000E +:10B2300000100000001000000000000000000000EE +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000800E +:10B2C0000000000002000000000000000800000074 +:10B2D0000000100000084000000010000000000006 +:10B2E000000000000000000000000000000000005E +:10B2F00000000000008000000000000000000000CE +:10B30000000000000000000000000000000000003D +:10B310000000000000000000000000000000080025 +:10B3200000008000500000100000400040000000BD +:10B330000000108040000800008000000004201879 +:10B34000000040410008000000000000000800006C +:10B3500000000000000800000000000000000000E5 +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B38000000000000000000000000C0000000000B1 +:10B39000000030090000000000090000000000006B +:10B3A0000000000058000C000800500000000000E1 +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000900000A00000E00090000D2 +:10B450000C00000000000000000C000D0C000000BB +:10B4600000000970700000000900000000000000EA +:10B4700000000000000000000A00000000000000C2 +:10B4800000000000000000000000000A00000000B2 +:10B490000000000000000009000D00090000080085 +:10B4A0000C5D040D000000003C590A3270007C70F5 +:10B4B00050000100007010007D008C0000B00C0EE8 +:10B4C0000C0D0018000E5071701800000000000EE6 +:10B4D000000C00B00D0000000D080000000000008E +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000001003A +:10B51000000000000000000000000000000000002B +:10B520000000000000000000010001000100000018 +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C0000000000000000030000000000800000043 +:10B5D000000000000000000000000000000030003B +:10B5E0000100000043000000000000000000000017 +:10B5F000000000000000000000000000003000001B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B6200000000100010000000000300031000000B7 +:10B630000000310010000000000000003000000099 +:10B6400000100100010C10000000303000800000EC +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000011000000000000000C8 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B790000000000000000000300000000000000079 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000030000000000049 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000040000000054 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000004000B7 +:10B9500000000000003000000000000000000000B7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700010000000000000000000000000000000B6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0001000000000000000000000000000000086 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000040002000000000000006 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90004000000000000000000000000000000051 +:10CFA0000040000000000000000000000000000041 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000800000000010001000000000000091 +:10D0000000000000000000008000000000000000A0 +:10D010000080000000000000000000000000000090 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D110000000000000000000000000000900000006 +:10D12000000000000000000000000000000E0000F1 +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D16000000000000000000000000000000E0000B1 +:10D170000000000D000D010A000000300038090019 +:10D1800000000801000D000D00000000008D00806F +:10D19000010000700981000000000000000E000086 +:10D1A000000000000000000000000000000C000073 +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000030000000000000005E +:10D2A000000000000000000000100000000000006E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E00000000000000000000040000000000000FE +:10D2F000000000000000000000000000000000002E +:10D30000000100000000010000000000000000001B +:10D3100010000000000000000000000000000000FD +:10D3200000000000000000000000000000000000FD +:10D3300000010000000000000000000000000000EC +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000800A4 +:10D48000000000000000000000000000000000009C +:10D4900000000000000100000000000000900000FB +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D600000000010000000000000000000000000019 +:10D610000000000C000000000008400000000000B6 +:10D6200000500000000000000000000000000000AA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000004000000000000000085 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000FFFF3B +:10D7F000FF7700000000AFAFDDDD0000000000009B +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D97000000000000C000000000090241E000000C9 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD000000000000000000008000000000000003E +:10DAE0000000000000000000000000000000000036 +:10DAF00000000000000000201200000000000000F4 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000FF7FF5F5FFFF3E +:10DC8000FFFFFFFFF7FF00000000000000000000A2 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000001000022 +:10DE0000100100000000800000060000000000007B +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF70000000000000000000000000000000400061 +:10DF80008000000000008000000000010020000070 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E100000000000000000000810003C000030000C8 +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000100004001C00008C0C4 +:10E290000408004000000000000000000000000032 +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E41000F010000080000800401000000000000024 +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000C8000000400200208300000008080000BE +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E71000000000000021000040200000000108006F +:10E7200040000000000000000000000000000000A9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E89000000000000000000000000000E000000098 +:10E8A0001300000000000000000000000000000055 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000004002080000AC +:10EA2000403A0020500000002000000000000000DC +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB900000000000000000200020000080000000B5 +:10EBA0000000000001000000000000000400000060 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA000000000001000000000000000080000004A +:10EEB000000000000400000000000000000000004E +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000FFFFBFFF0505F3F355005B +:10F4B000DDDDCFCF111133001111FFFFFBFF330052 +:10F4C00055FFFFF0330000000000000000000000C6 +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F620000000000000000000000000000C0010209E +:10F630001E0010201E0090041C0010001C00000082 +:10F640000C0010201E0090041C00000000000000B0 +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A00000000000080000000000000000000080D1 +:10F7B00000284F8060B94F8060B94F8060B94F809A +:10F7C00060B94F8060B94F8060B94F8060B0000071 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F930000000FFFF3333FF0055550F0F3333FF0037 +:10F9400055555555FF003333FF00FF0055553333F0 +:10F95000CCCC00000000000000000000000000000F +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB00000000000000000000000800000020000C4 +:10FAC0000000C02000440000000040200080000032 +:10FAD0000000C02000440000000000000000000002 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC30000000000000000000000080000000000143 +:10FC40000020802000000001006000200000000073 +:10FC50000040802000000001006000000000000063 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC00000000008C000030002C04403C00000C0DF +:10FDD0000000A0004420034004C0E000E040000018 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000040000000001010204004040008E1 +:10FF500010800004000000010000092002100000D1 +:10FF60000020000000000000000000000000000071 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000F000000001780008BF +:1000D00004001C8003780000000000800210000073 +:1000E000002C0000081000000000000000000000CC +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000500000000A9 +:10025000020000080000020702740008030000E02A +:1002600002081008000000800108000000000000E3 +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000101D +:1003D000010E00000000200001008000000010005D +:1003E00004010000000000000800000002100000EE +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:1005500000000E04E038000000000000000000C0B1 +:100560000500000080011CC6050000001B000CC037 +:100570000570000000000000000000000000000006 +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D0000000000000006000F00000C0D100000039 +:1006E000F0000000D00000400200402000380080F0 +:1006F00010004E87013400000000000000000000E0 +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000001000010000000001000001000000057 +:100870000001000000000000000000000000000077 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E00000000000000000000000000008100000EF +:1009F00000000000000000000002000000500000A5 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000C00000000000079 +:100B70000100000000000000000C00000000000068 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B0000000000000000000000D0000000000000D +:1026C000007000000000000000000000000000009A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000001000087 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A00000040000000000000000000000000000086 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000008000000000000000000000000016 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000300000000000000000000015 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000010000000000000000005 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000040000000B8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000001000000000000050 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:1063400000600000000000000000000000000000ED +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C000000000000040000000000000000000008C +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000100000B9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:1069500000C0000000000000000000000000000077 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000004000000000000000000DE +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000400DB +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:1049400000000000000000000000000080000000E7 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:1049700000000000000000800000000000000000B7 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000800000000000000000D00000000000011 +:104A900000B0000000000000000000000000000066 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000900000000000000CD +:104AE00000000000000000000009010000010000BB +:104AF0000100000000010000000080010000000033 +:104B000000010000000000000000000E0000000096 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000010000000000000000000000A3 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000002000000000000000000000000D2 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B0000000000000000000000040950C0001808C +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/05-Morse/Board/ise/morse.xise b/05-Morse/Board/ise/morse.xise new file mode 100644 index 0000000..5a43e34 --- /dev/null +++ b/05-Morse/Board/ise/morse.xise @@ -0,0 +1,331 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd b/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd new file mode 100644 index 0000000..16c9397 --- /dev/null +++ b/05-Morse/Morse/hdl/charToMorseController_studentVersion.vhd @@ -0,0 +1,54 @@ +ARCHITECTURE studentVersion OF charToMorseController IS + + signal isA, isB, isC, isD, isE, isF, isG, isH, + isI, isJ, isK, isL, isM, isN, isO, isP, + isQ, isR, isS, isT, isU, isV, isW, isX, + isY, isZ, + is0, is1, is2, is3, is4, is5, is6, is7, + is8, is9 : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- conditions for morse units + isA <= '1' when std_match(unsigned(char), "1-0" & x"1") else '0'; + isB <= '1' when std_match(unsigned(char), "1-0" & x"2") else '0'; + isC <= '1' when std_match(unsigned(char), "1-0" & x"3") else '0'; + isD <= '1' when std_match(unsigned(char), "1-0" & x"4") else '0'; + isE <= '1' when std_match(unsigned(char), "1-0" & x"5") else '0'; + isF <= '1' when std_match(unsigned(char), "1-0" & x"6") else '0'; + isG <= '1' when std_match(unsigned(char), "1-0" & x"7") else '0'; + isH <= '1' when std_match(unsigned(char), "1-0" & x"8") else '0'; + isI <= '1' when std_match(unsigned(char), "1-0" & x"9") else '0'; + isJ <= '1' when std_match(unsigned(char), "1-0" & x"A") else '0'; + isK <= '1' when std_match(unsigned(char), "1-0" & x"B") else '0'; + isL <= '1' when std_match(unsigned(char), "1-0" & x"C") else '0'; + isM <= '1' when std_match(unsigned(char), "1-0" & x"D") else '0'; + isN <= '1' when std_match(unsigned(char), "1-0" & x"E") else '0'; + isO <= '1' when std_match(unsigned(char), "1-0" & x"F") else '0'; + isP <= '1' when std_match(unsigned(char), "1-1" & x"0") else '0'; + isQ <= '1' when std_match(unsigned(char), "1-1" & x"1") else '0'; + isR <= '1' when std_match(unsigned(char), "1-1" & x"2") else '0'; + isS <= '1' when std_match(unsigned(char), "1-1" & x"3") else '0'; + isT <= '1' when std_match(unsigned(char), "1-1" & x"4") else '0'; + isU <= '1' when std_match(unsigned(char), "1-1" & x"5") else '0'; + isV <= '1' when std_match(unsigned(char), "1-1" & x"6") else '0'; + isW <= '1' when std_match(unsigned(char), "1-1" & x"7") else '0'; + isX <= '1' when std_match(unsigned(char), "1-1" & x"8") else '0'; + isY <= '1' when std_match(unsigned(char), "1-1" & x"9") else '0'; + isZ <= '1' when std_match(unsigned(char), "1-1" & x"A") else '0'; + is0 <= '1' when std_match(unsigned(char), "011" & x"0") else '0'; + is1 <= '1' when std_match(unsigned(char), "011" & x"1") else '0'; + is2 <= '1' when std_match(unsigned(char), "011" & x"2") else '0'; + is3 <= '1' when std_match(unsigned(char), "011" & x"3") else '0'; + is4 <= '1' when std_match(unsigned(char), "011" & x"4") else '0'; + is5 <= '1' when std_match(unsigned(char), "011" & x"5") else '0'; + is6 <= '1' when std_match(unsigned(char), "011" & x"6") else '0'; + is7 <= '1' when std_match(unsigned(char), "011" & x"7") else '0'; + is8 <= '1' when std_match(unsigned(char), "011" & x"8") else '0'; + is9 <= '1' when std_match(unsigned(char), "011" & x"9") else '0'; + + morseOut <= '0'; + startCounter <= '0'; + unitNb <= (others => '-'); + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/characterRegister_studentVersion.vhd b/05-Morse/Morse/hdl/characterRegister_studentVersion.vhd new file mode 100644 index 0000000..9df6577 --- /dev/null +++ b/05-Morse/Morse/hdl/characterRegister_studentVersion.vhd @@ -0,0 +1,6 @@ +ARCHITECTURE studentVersion OF characterRegister IS +BEGIN + + charOut <= (others => '0'); + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/envelopeRetreiver_studentVersion.vhd b/05-Morse/Morse/hdl/envelopeRetreiver_studentVersion.vhd new file mode 100644 index 0000000..9480c30 --- /dev/null +++ b/05-Morse/Morse/hdl/envelopeRetreiver_studentVersion.vhd @@ -0,0 +1,9 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE studentVersion OF envelopeRetreiver IS +BEGIN + + morseEnvelope <= '0'; + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/morseToCharDecoder_studentVersion.vhd b/05-Morse/Morse/hdl/morseToCharDecoder_studentVersion.vhd new file mode 100644 index 0000000..33355ef --- /dev/null +++ b/05-Morse/Morse/hdl/morseToCharDecoder_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF morseToCharDecoder IS +BEGIN + + charValid <= '0'; + charOut <= (others => '0'); + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/symbolLengthCounter_studentVersion.vhd b/05-Morse/Morse/hdl/symbolLengthCounter_studentVersion.vhd new file mode 100644 index 0000000..028073d --- /dev/null +++ b/05-Morse/Morse/hdl/symbolLengthCounter_studentVersion.vhd @@ -0,0 +1,11 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE studentVersion OF symbolLengthCounter IS +BEGIN + + symbolValid <= '0'; + symbolValue <= '0'; + symbolDuration <= (others => '0'); + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/toneGenerator_studentVersion.vhd b/05-Morse/Morse/hdl/toneGenerator_studentVersion.vhd new file mode 100644 index 0000000..3309e33 --- /dev/null +++ b/05-Morse/Morse/hdl/toneGenerator_studentVersion.vhd @@ -0,0 +1,28 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE studentVersion OF toneGenerator IS + + constant toneCounterBitNb: positive := requiredBitNb(toneDivide-1); + signal toneCounter: unsigned(toneCounterBitNb-1 downto 0); + constant toneMin : natural := (2**toneCounterBitNb - toneDivide) / 2; + constant toneMax : natural := toneMin + toneDivide; + +BEGIN + + divide: process(reset, clock) + begin + if reset = '1' then + toneCounter <= to_unsigned(toneMin, toneCounter'length); + elsif rising_edge(clock) then + if toneCounter = toneMax then + toneCounter <= to_unsigned(toneMin, toneCounter'length); + else + toneCounter <= toneCounter + 1; + end if; + end if; + end process divide; + + tone <= toneCounter(toneCounter'high); + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hdl/unitCounter_studentVersion.vhd b/05-Morse/Morse/hdl/unitCounter_studentVersion.vhd new file mode 100644 index 0000000..94e2db7 --- /dev/null +++ b/05-Morse/Morse/hdl/unitCounter_studentVersion.vhd @@ -0,0 +1,61 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE studentVersion OF unitCounter IS + + signal unitCounter: unsigned(requiredBitNb(unitCountDivide)-1 downto 0); + signal unitCountDone: std_ulogic; + signal unitNbCounter: unsigned(unitnB'range); + signal unitNbCountDone: std_ulogic; + +BEGIN + -- count unit base period + countUnitDuration: process(reset, clock) + begin + if reset = '1' then + unitCounter <= (others => '0'); + elsif rising_edge(clock) then + if unitCounter = 0 then + if (startCounter = '1') or (unitNbCounter > 0) then + unitCounter <= unitCounter + 1; + end if; + else + if unitCountDone = '0' then + unitCounter <= unitCounter + 1; + else + unitCounter <= (others => '0'); + end if; + end if; + end if; + end process countUnitDuration; + + unitCountDone <= '1' when unitCounter = unitCountDivide + else '0'; + -- count unit period number + countPeriods: process(reset, clock) + begin + if reset = '1' then + unitNbCounter <= (others => '0'); + elsif rising_edge(clock) then + if unitNbCounter = 0 then + if startCounter = '1' then + unitNbCounter <= unitNbCounter + 1; + end if; + else + if unitNbCountDone = '0' then + if unitCountDone = '1' then + unitNbCounter <= unitNbCounter + 1; + end if; + else + unitNbCounter <= (others => '0'); + end if; + end if; + end if; + end process countPeriods; + + unitNbCountDone <= '1' when (unitNbCounter = unitNb) and (unitCountDone = '1') + else '0'; + + done <= unitNbCountDone; + +END ARCHITECTURE studentVersion; diff --git a/05-Morse/Morse/hds/.hdlsidedata/_charToMorseController_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_charToMorseController_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_charToMorseController_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_characterRegister_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_characterRegister_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_characterRegister_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_struct.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_chartomorse_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_fsm.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_chartomorsecontroller_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_envelopeRetreiver_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_envelopeRetreiver_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_envelopeRetreiver_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_enveloperetreiver_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_enveloperetreiver_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_enveloperetreiver_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morseToCharDecoder_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morseToCharDecoder_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morseToCharDecoder_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_struct.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morsedecoder_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_struct.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morseencoder_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_morsetochardecoder_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_morsetochardecoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_morsetochardecoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_symbolLengthCounter_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_symbolLengthCounter_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_symbolLengthCounter_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_symbollengthcounter_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_symbollengthcounter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_symbollengthcounter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_toneGenerator_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_toneGenerator_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_toneGenerator_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_tonegenerator_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_tonegenerator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_tonegenerator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_unitCounter_studentVersion.vhd._fpf b/05-Morse/Morse/hds/.hdlsidedata/_unitCounter_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_unitCounter_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/.hdlsidedata/_unitcounter_entity.vhg._fpf b/05-Morse/Morse/hds/.hdlsidedata/_unitcounter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse/hds/.hdlsidedata/_unitcounter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse/hds/_chartomorse._epf b/05-Morse/Morse/hds/_chartomorse._epf new file mode 100644 index 0000000..cc87d16 --- /dev/null +++ b/05-Morse/Morse/hds/_chartomorse._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom char@to@morse/struct.bd +TOP_MARKER atom 0 diff --git a/05-Morse/Morse/hds/_chartomorsecontroller._epf b/05-Morse/Morse/hds/_chartomorsecontroller._epf new file mode 100644 index 0000000..e137cee --- /dev/null +++ b/05-Morse/Morse/hds/_chartomorsecontroller._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom charToMorseController_studentVersion.vhd diff --git a/05-Morse/Morse/hds/_enveloperetreiver._epf b/05-Morse/Morse/hds/_enveloperetreiver._epf new file mode 100644 index 0000000..58aa12d --- /dev/null +++ b/05-Morse/Morse/hds/_enveloperetreiver._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom envelopeRetreiver_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion diff --git a/05-Morse/Morse/hds/_morsedecoder._epf b/05-Morse/Morse/hds/_morsedecoder._epf new file mode 100644 index 0000000..b8c04b8 --- /dev/null +++ b/05-Morse/Morse/hds/_morsedecoder._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom morse@decoder/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Morse/hds/_morseencoder._epf b/05-Morse/Morse/hds/_morseencoder._epf new file mode 100644 index 0000000..6d0fb95 --- /dev/null +++ b/05-Morse/Morse/hds/_morseencoder._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom morse@encoder/struct.bd +TOP_MARKER atom 1 diff --git a/05-Morse/Morse/hds/_morsetochardecoder._epf b/05-Morse/Morse/hds/_morsetochardecoder._epf new file mode 100644 index 0000000..d03b627 --- /dev/null +++ b/05-Morse/Morse/hds/_morsetochardecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom morseToCharDecoder_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion diff --git a/05-Morse/Morse/hds/_symbollengthcounter._epf b/05-Morse/Morse/hds/_symbollengthcounter._epf new file mode 100644 index 0000000..62bd552 --- /dev/null +++ b/05-Morse/Morse/hds/_symbollengthcounter._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom symbolLengthCounter_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion diff --git a/05-Morse/Morse/hds/_tonegenerator._epf b/05-Morse/Morse/hds/_tonegenerator._epf new file mode 100644 index 0000000..a283cbf --- /dev/null +++ b/05-Morse/Morse/hds/_tonegenerator._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom toneGenerator_studentVersion.vhd diff --git a/05-Morse/Morse/hds/_unitcounter._epf b/05-Morse/Morse/hds/_unitcounter._epf new file mode 100644 index 0000000..e69de29 diff --git a/05-Morse/Morse/hds/char@to@morse/struct.bd b/05-Morse/Morse/hds/char@to@morse/struct.bd new file mode 100644 index 0000000..33e3f89 --- /dev/null +++ b/05-Morse/Morse/hds/char@to@morse/struct.bd @@ -0,0 +1,3809 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_cnt" +duLibraryName "Morse" +duName "unitCounter" +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "unitCountDivide" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 427,0 +) +(Instance +name "I_ctl" +duLibraryName "Morse" +duName "charToMorseController" +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 806,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorse" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:49:52" +) +(vvPair +variable "unit" +value "charToMorse" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 134,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "39500,26625,41000,27375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "39000,27000,39500,27000" +pts [ +"39000,27000" +"39500,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +) +xt "42000,26500,47700,27700" +st "morseOut" +blo "42000,27500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-5000,6800,9500,8000" +st "morseOut : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "5000,34625,6500,35375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "6500,35000,7000,35000" +pts [ +"6500,35000" +"7000,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +) +xt "600,34500,4000,35700" +st "clock" +ju 2 +blo "4000,35500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-5000,7700,8100,8900" +st "clock : std_ulogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "5000,36625,6500,37375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "6500,37000,7000,37000" +pts [ +"6500,37000" +"7000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +) +xt "700,36500,4000,37700" +st "reset" +ju 2 +blo "4000,37500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-5000,8600,8000,9800" +st "reset : std_ulogic" +) +) +*7 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "5000,26625,6500,27375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "6500,27000,7000,27000" +pts [ +"6500,27000" +"7000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "0,26500,4000,27700" +st "charIn" +ju 2 +blo "4000,27500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 77,0 +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +) +xt "-5000,9500,28800,10700" +st "charIn : std_ulogic_vector(characterBitNb-1 DOWNTO 0)" +) +) +*9 (Grouping +uid 91,0 +optionalChildren [ +*10 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,70000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65500,53200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,61000,74000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,61500,70200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,70000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63500,53200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,63000,53000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,63500,49200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,62000,90000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,62200,84300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,90000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61500,74200,61500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 111,0 +shape (Rectangle +uid 112,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,61000,70000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 113,0 +va (VaSet +fg "32768,0,0" +) +xt "54350,61400,64650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 114,0 +shape (Rectangle +uid 115,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,64000,53000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 116,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,64500,49200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 117,0 +shape (Rectangle +uid 118,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,65000,53000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 119,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "49200,65500,49200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 120,0 +shape (Rectangle +uid 121,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,70000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 122,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64500,53200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 92,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "49000,61000,90000,66000" +) +oxt "14000,66000,55000,71000" +) +*20 (Net +uid 365,0 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 6,0 +) +declText (MLText +uid 366,0 +va (VaSet +) +xt "-5000,14900,14200,16100" +st "SIGNAL startCounter : std_ulogic" +) +) +*21 (Net +uid 371,0 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 372,0 +va (VaSet +) +xt "-5000,15800,12600,17000" +st "SIGNAL done : std_ulogic" +) +) +*22 (Net +uid 377,0 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 8 +suid 8,0 +) +declText (MLText +uid 378,0 +va (VaSet +) +xt "-5000,16700,27400,17900" +st "SIGNAL unitNb : unsigned(unitCountBitNb-1 downto 0)" +) +) +*23 (SaComponent +uid 427,0 +optionalChildren [ +*24 (CptPort +uid 407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,36625,47000,37375" +) +tg (CPTG +uid 409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 410,0 +va (VaSet +) +xt "48000,36400,51400,37600" +st "clock" +blo "48000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*25 (CptPort +uid 411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,38625,47000,39375" +) +tg (CPTG +uid 413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 414,0 +va (VaSet +) +xt "48000,38400,51300,39600" +st "reset" +blo "48000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*26 (CptPort +uid 415,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 416,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,30625,47000,31375" +) +tg (CPTG +uid 417,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 418,0 +va (VaSet +) +xt "48000,30400,55800,31600" +st "startCounter" +blo "48000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "startCounter" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*27 (CptPort +uid 419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,32625,47000,33375" +) +tg (CPTG +uid 421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 422,0 +va (VaSet +) +xt "48000,32400,52000,33600" +st "unitNb" +blo "48000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 4 +suid 2013,0 +) +) +) +*28 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,30625,63750,31375" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +va (VaSet +) +xt "58900,30400,62000,31600" +st "done" +ju 2 +blo "62000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "done" +t "std_ulogic" +o 5 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 428,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,27000,63000,41000" +) +oxt "40000,8000,56000,22000" +ttg (MlTextGroup +uid 429,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 430,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,40800,51100,42000" +st "Morse" +blo "47600,41800" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 431,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,42000,54700,43200" +st "unitCounter" +blo "47600,43000" +tm "CptNameMgr" +) +*31 (Text +uid 432,0 +va (VaSet +font "Verdana,9,1" +) +xt "47600,43200,50900,44400" +st "I_cnt" +blo "47600,44200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 433,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 434,0 +text (MLText +uid 435,0 +va (VaSet +) +xt "47000,44600,74500,47000" +st "unitCountDivide = unitCountDivide ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "unitCountDivide" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +viewicon (ZoomableIcon +uid 436,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "47250,39250,48750,40750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*32 (PortIoOut +uid 578,0 +shape (CompositeShape +uid 579,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 580,0 +sl 0 +ro 90 +xt "5000,30625,6500,31375" +) +(Line +uid 581,0 +sl 0 +ro 90 +xt "6500,31000,7000,31000" +pts [ +"7000,31000" +"6500,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 582,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 583,0 +va (VaSet +isHidden 1 +) +xt "-1300,30500,4000,31700" +st "readChar" +ju 2 +blo "4000,31500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 590,0 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 10,0 +) +declText (MLText +uid 591,0 +va (VaSet +) +xt "-5000,10400,9100,11600" +st "readChar : std_ulogic" +) +) +*34 (PortIoIn +uid 627,0 +shape (CompositeShape +uid 628,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 629,0 +sl 0 +ro 270 +xt "5000,28625,6500,29375" +) +(Line +uid 630,0 +sl 0 +ro 270 +xt "6500,29000,7000,29000" +pts [ +"6500,29000" +"7000,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 631,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 632,0 +va (VaSet +isHidden 1 +) +xt "-4500,28500,4000,29700" +st "charNotReady" +ju 2 +blo "4000,29500" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 639,0 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 9 +suid 11,0 +) +declText (MLText +uid 640,0 +va (VaSet +) +xt "-5000,11300,10400,12500" +st "charNotReady : std_ulogic" +) +) +*36 (SaComponent +uid 806,0 +optionalChildren [ +*37 (CptPort +uid 770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,26625,31750,27375" +) +tg (CPTG +uid 772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 773,0 +va (VaSet +) +xt "24300,26400,30000,27600" +st "morseOut" +ju 2 +blo "30000,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*38 (CptPort +uid 774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,34625,15000,35375" +) +tg (CPTG +uid 776,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 777,0 +va (VaSet +) +xt "16000,34400,19400,35600" +st "clock" +blo "16000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*39 (CptPort +uid 778,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 779,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,36625,15000,37375" +) +tg (CPTG +uid 780,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 781,0 +va (VaSet +) +xt "16000,36400,19300,37600" +st "reset" +blo "16000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*40 (CptPort +uid 782,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 783,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,28625,15000,29375" +) +tg (CPTG +uid 784,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 785,0 +va (VaSet +) +xt "16000,28400,24500,29600" +st "charNotReady" +blo "16000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*41 (CptPort +uid 786,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 787,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,26625,15000,27375" +) +tg (CPTG +uid 788,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 789,0 +va (VaSet +) +xt "16000,26400,18900,27600" +st "char" +blo "16000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +*42 (CptPort +uid 790,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 791,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,30625,31750,31375" +) +tg (CPTG +uid 792,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 793,0 +va (VaSet +) +xt "22200,30400,30000,31600" +st "startCounter" +ju 2 +blo "30000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +) +*43 (CptPort +uid 794,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 795,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,32625,31750,33375" +) +tg (CPTG +uid 796,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 797,0 +va (VaSet +) +xt "26000,32400,30000,33600" +st "unitNb" +ju 2 +blo "30000,33400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +suid 2013,0 +) +) +) +*44 (CptPort +uid 798,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 799,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,34625,31750,35375" +) +tg (CPTG +uid 800,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 801,0 +va (VaSet +) +xt "22200,34400,30000,35600" +st "counterDone" +ju 2 +blo "30000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +suid 2014,0 +) +) +) +*45 (CptPort +uid 802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 803,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,30625,15000,31375" +) +tg (CPTG +uid 804,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 805,0 +va (VaSet +) +xt "16000,30550,21300,31750" +st "readChar" +blo "16000,31550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 807,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,23000,31000,39000" +) +oxt "40000,6000,56000,22000" +ttg (MlTextGroup +uid 808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 809,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,38800,19100,40000" +st "Morse" +blo "15600,39800" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 810,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,39700,28500,40900" +st "charToMorseController" +blo "15600,40700" +tm "CptNameMgr" +) +*48 (Text +uid 811,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,40600,18600,41800" +st "I_ctl" +blo "15600,41600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 813,0 +text (MLText +uid 814,0 +va (VaSet +) +xt "15000,42600,41700,45000" +st "characterBitNb = characterBitNb ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +viewicon (ZoomableIcon +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,37250,16750,38750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*49 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "31750,27000,39000,27000" +pts [ +"39000,27000" +"31750,27000" +] +) +start &1 +end &37 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +) +xt "36000,26000,41700,27200" +st "morseOut" +blo "36000,27000" +tm "WireNameMgr" +) +) +on &2 +) +*50 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "7000,35000,14250,35000" +pts [ +"7000,35000" +"14250,35000" +] +) +start &3 +end &38 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +) +xt "7000,34000,10400,35200" +st "clock" +blo "7000,35000" +tm "WireNameMgr" +) +) +on &4 +) +*51 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "7000,37000,14250,37000" +pts [ +"7000,37000" +"14250,37000" +] +) +start &5 +end &39 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +) +xt "7000,36000,10300,37200" +st "reset" +blo "7000,37000" +tm "WireNameMgr" +) +) +on &6 +) +*52 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "7000,27000,14250,27000" +pts [ +"7000,27000" +"14250,27000" +] +) +start &7 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +) +xt "7000,26000,11000,27200" +st "charIn" +blo "7000,27000" +tm "WireNameMgr" +) +) +on &8 +) +*53 (Wire +uid 367,0 +shape (OrthoPolyLine +uid 368,0 +va (VaSet +vasetType 3 +) +xt "31750,31000,46250,31000" +pts [ +"31750,31000" +"46250,31000" +] +) +start &42 +end &26 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "33750,30000,41550,31200" +st "startCounter" +blo "33750,31000" +tm "WireNameMgr" +) +) +on &20 +) +*54 (Wire +uid 373,0 +shape (OrthoPolyLine +uid 374,0 +va (VaSet +vasetType 3 +) +xt "31750,31000,67000,45000" +pts [ +"63750,31000" +"67000,31000" +"67000,45000" +"35000,45000" +"35000,35000" +"31750,35000" +] +) +start &28 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 375,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 376,0 +va (VaSet +) +xt "65750,30000,68850,31200" +st "done" +blo "65750,31000" +tm "WireNameMgr" +) +) +on &21 +) +*55 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31750,33000,46250,33000" +pts [ +"31750,33000" +"46250,33000" +] +) +start &43 +end &27 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 381,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 382,0 +va (VaSet +) +xt "33750,32000,37750,33200" +st "unitNb" +blo "33750,33000" +tm "WireNameMgr" +) +) +on &22 +) +*56 (Wire +uid 389,0 +shape (OrthoPolyLine +uid 390,0 +va (VaSet +vasetType 3 +) +xt "43000,39000,46250,39000" +pts [ +"43000,39000" +"46250,39000" +] +) +end &25 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 396,0 +va (VaSet +) +xt "43000,38000,46300,39200" +st "reset" +blo "43000,39000" +tm "WireNameMgr" +) +) +on &6 +) +*57 (Wire +uid 397,0 +shape (OrthoPolyLine +uid 398,0 +va (VaSet +vasetType 3 +) +xt "43000,37000,46250,37000" +pts [ +"43000,37000" +"46250,37000" +] +) +end &24 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 404,0 +va (VaSet +) +xt "43000,36000,46400,37200" +st "clock" +blo "43000,37000" +tm "WireNameMgr" +) +) +on &4 +) +*58 (Wire +uid 584,0 +shape (OrthoPolyLine +uid 585,0 +va (VaSet +vasetType 3 +) +xt "7000,31000,14250,31000" +pts [ +"14250,31000" +"7000,31000" +] +) +start &45 +end &32 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 588,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 589,0 +va (VaSet +) +xt "7000,30000,12300,31200" +st "readChar" +blo "7000,31000" +tm "WireNameMgr" +) +) +on &33 +) +*59 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +) +xt "7000,29000,14250,29000" +pts [ +"7000,29000" +"14250,29000" +] +) +start &34 +end &40 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +va (VaSet +) +xt "7000,28000,15500,29200" +st "charNotReady" +blo "7000,29000" +tm "WireNameMgr" +) +) +on &35 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *60 (PackageList +uid 123,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 124,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,0,-100,1000" +st "Package List" +blo "-7000,800" +) +*62 (MLText +uid 125,0 +va (VaSet +) +xt "-7000,1000,10500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 126,0 +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 127,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*64 (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*65 (MLText +uid 129,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*66 (Text +uid 130,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*67 (MLText +uid 131,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*68 (Text +uid 132,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*69 (MLText +uid 133,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-8435,-1430,118740,67667" +cachedDiagramExtent "-7000,0,90000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 923,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*71 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*72 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*74 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*75 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*77 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*78 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*80 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*81 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*83 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*84 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*86 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*88 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*90 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,5000,0,6000" +st "Declarations" +blo "-7000,5800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,5900,-3600,6900" +st "Ports:" +blo "-7000,6700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,12200,-2200,13200" +st "Pre User:" +blo "-7000,13000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,13100,17000,14300" +st "constant unitCountBitNb: positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,14000,2000,15000" +st "Diagram Signals:" +blo "-7000,14800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,5000,-1000,6000" +st "Post User:" +blo "-7000,5800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-7000,5000,-7000,5000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 11,0 +usingSuid 1 +emptyRow *91 (LEmptyRow +) +uid 136,0 +optionalChildren [ +*92 (RefLabelRowHdr +) +*93 (TitleRowHdr +) +*94 (FilterRowHdr +) +*95 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*96 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*97 (GroupColHdr +tm "GroupColHdrMgr" +) +*98 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*99 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*100 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*101 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*102 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*103 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*104 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 79,0 +) +*105 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 81,0 +) +*106 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 83,0 +) +*107 (LeafLogPort +port (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 87,0 +) +*108 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 383,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 385,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 8 +suid 8,0 +) +) +uid 387,0 +) +*111 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 563,0 +) +*112 (LeafLogPort +port (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 626,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 149,0 +optionalChildren [ +*113 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *114 (MRCItem +litem &91 +pos 9 +dimension 20 +) +uid 151,0 +optionalChildren [ +*115 (MRCItem +litem &92 +pos 0 +dimension 20 +uid 152,0 +) +*116 (MRCItem +litem &93 +pos 1 +dimension 23 +uid 153,0 +) +*117 (MRCItem +litem &94 +pos 2 +hidden 1 +dimension 20 +uid 154,0 +) +*118 (MRCItem +litem &104 +pos 0 +dimension 20 +uid 80,0 +) +*119 (MRCItem +litem &105 +pos 1 +dimension 20 +uid 82,0 +) +*120 (MRCItem +litem &106 +pos 2 +dimension 20 +uid 84,0 +) +*121 (MRCItem +litem &107 +pos 4 +dimension 20 +uid 88,0 +) +*122 (MRCItem +litem &108 +pos 6 +dimension 20 +uid 384,0 +) +*123 (MRCItem +litem &109 +pos 7 +dimension 20 +uid 386,0 +) +*124 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 388,0 +) +*125 (MRCItem +litem &111 +pos 5 +dimension 20 +uid 562,0 +) +*126 (MRCItem +litem &112 +pos 3 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 155,0 +optionalChildren [ +*127 (MRCItem +litem &95 +pos 0 +dimension 20 +uid 156,0 +) +*128 (MRCItem +litem &97 +pos 1 +dimension 50 +uid 157,0 +) +*129 (MRCItem +litem &98 +pos 2 +dimension 100 +uid 158,0 +) +*130 (MRCItem +litem &99 +pos 3 +dimension 50 +uid 159,0 +) +*131 (MRCItem +litem &100 +pos 4 +dimension 100 +uid 160,0 +) +*132 (MRCItem +litem &101 +pos 5 +dimension 100 +uid 161,0 +) +*133 (MRCItem +litem &102 +pos 6 +dimension 50 +uid 162,0 +) +*134 (MRCItem +litem &103 +pos 7 +dimension 80 +uid 163,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 150,0 +vaOverrides [ +] +) +] +) +uid 135,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *135 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*136 (RefLabelRowHdr +) +*137 (TitleRowHdr +) +*138 (FilterRowHdr +) +*139 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*140 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*141 (GroupColHdr +tm "GroupColHdrMgr" +) +*142 (NameColHdr +tm "GenericNameColHdrMgr" +) +*143 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*144 (InitColHdr +tm "GenericValueColHdrMgr" +) +*145 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*146 (EolColHdr +tm "GenericEolColHdrMgr" +) +*147 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 89,0 +) +*148 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +uid 406,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 177,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *150 (MRCItem +litem &135 +pos 2 +dimension 20 +) +uid 179,0 +optionalChildren [ +*151 (MRCItem +litem &136 +pos 0 +dimension 20 +uid 180,0 +) +*152 (MRCItem +litem &137 +pos 1 +dimension 23 +uid 181,0 +) +*153 (MRCItem +litem &138 +pos 2 +hidden 1 +dimension 20 +uid 182,0 +) +*154 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 90,0 +) +*155 (MRCItem +litem &148 +pos 1 +dimension 20 +uid 405,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 183,0 +optionalChildren [ +*156 (MRCItem +litem &139 +pos 0 +dimension 20 +uid 184,0 +) +*157 (MRCItem +litem &141 +pos 1 +dimension 50 +uid 185,0 +) +*158 (MRCItem +litem &142 +pos 2 +dimension 100 +uid 186,0 +) +*159 (MRCItem +litem &143 +pos 3 +dimension 100 +uid 187,0 +) +*160 (MRCItem +litem &144 +pos 4 +dimension 50 +uid 188,0 +) +*161 (MRCItem +litem &145 +pos 5 +dimension 50 +uid 189,0 +) +*162 (MRCItem +litem &146 +pos 6 +dimension 80 +uid 190,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 178,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse/hds/char@to@morse/symbol.sb b/05-Morse/Morse/hds/char@to@morse/symbol.sb new file mode 100644 index 0000000..f870308 --- /dev/null +++ b/05-Morse/Morse/hds/char@to@morse/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2012,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 6 +suid 2010,0 +) +) +uid 353,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 2011,0 +) +) +uid 462,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 2012,0 +) +) +uid 586,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 100,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 354,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 463,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 587,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 432,0 +) +*52 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +uid 533,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 136,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 433,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 534,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 140,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 146,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 148,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 150,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 152,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:49:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorse" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorse\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:49:52" +) +(vvPair +variable "unit" +value "charToMorse" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,15625,58750,16375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "51300,15400,57000,16600" +st "morseOut" +ju 2 +blo "57000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +) +xt "2000,8000,21100,9200" +st "morseOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*69 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,23625,42000,24375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +) +xt "43000,23400,46400,24600" +st "clock" +blo "43000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +) +xt "2000,9000,18900,10200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*70 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,25625,42000,26375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +) +xt "43000,25400,46300,26600" +st "reset" +blo "43000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +) +xt "2000,10000,18800,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*71 (CptPort +uid 348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 349,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,17625,42000,18375" +) +tg (CPTG +uid 350,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 351,0 +va (VaSet +) +xt "43000,17400,51500,18600" +st "charNotReady" +blo "43000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 352,0 +va (VaSet +) +xt "2000,13000,20500,14200" +st "charNotReady : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 6 +suid 2010,0 +) +) +) +*72 (CptPort +uid 457,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 458,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,15625,42000,16375" +) +tg (CPTG +uid 459,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 460,0 +va (VaSet +) +xt "43000,15400,47000,16600" +st "charIn" +blo "43000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 461,0 +va (VaSet +) +xt "2000,11000,39600,12200" +st "charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 4 +suid 2011,0 +) +) +) +*73 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 611,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,19625,42000,20375" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 584,0 +va (VaSet +) +xt "43000,19550,48300,20750" +st "readChar" +blo "43000,20550" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 585,0 +va (VaSet +) +xt "2000,12000,20700,13200" +st "readChar : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 5 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,12000,58000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "42600,27800,46100,29000" +st "Morse" +blo "42600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "42600,29000,50000,30200" +st "charToMorse" +blo "42600,30000" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "42000,31600,60200,36400" +st "Generic Declarations + +characterBitNb positive 8 +unitCountDivide positive 10E3 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "8" +) +(GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "83,49,1216,906" +viewArea "-1100,-1100,75796,50380" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6000,7000,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,3400,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14000,3000,15000" +st "User:" +blo "0,14800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6000,7600,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +) +xt "2000,14900,2000,14900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 818,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/char@to@morse@controller/fsm.sm b/05-Morse/Morse/hds/char@to@morse@controller/fsm.sm new file mode 100644 index 0000000..403173f --- /dev/null +++ b/05-Morse/Morse/hds/char@to@morse@controller/fsm.sm @@ -0,0 +1,9218 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse@controller\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse@controller\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse@controller" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorseController" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorseController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorseController" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\char@to@morse@controller\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\charToMorseController\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "14:50:02" +) +(vvPair +variable "unit" +value "charToMorseController" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +uid 279,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "31000,14000,47000,30000" +radius 8000 +) +name (Text +uid 41,0 +va (VaSet +font "Verdana,12,1" +) +xt "34000,21300,44000,22700" +st "waitForChar" +ju 0 +blo "39000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "39100,22200,43200,23400" +st "wait 2" +blo "39100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "39000,23100,39000,23100" +blo "39000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42900,25100,43100,25300" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39000,22000,39000,22000" +pts [ +"39000,22000" +"39000,22000" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39000,22000,39000,22000" +pts [ +"39000,22000" +"39000,22000" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38550,21825,38900,22175" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "38550,21825,38900,22175" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "39000,22000,39000,22000" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "39000,22000,39000,22000" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "39000,22000,39000,22000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37400,23000,43500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +font "Verdana,8,0" +) +xt "250,10500,2750,11500" +st "clock" +ju 2 +blo "2750,11300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10450,20000,11550" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +font "Verdana,8,0" +) +xt "5600,10550,18400,11550" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,19700" +"4449,19700" +"4449,20300" +"4150,20300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1650,17950,8050,19050" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "1750,18050,6950,19050" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,19219,7062,20781" +radius 781 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "5581,19500,6981,20700" +st "1" +ju 0 +blo "6281,20500" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "750,19500,3250,20500" +st "reset" +ju 2 +blo "3250,20300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "11125,24125,18125,25125" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "19750,19500,26550,20500" +st "waitForChar" +blo "19750,20300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "Verdana,8,1" +) +xt "19750,14500,26550,15500" +st "waitForChar" +blo "19750,15300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 120,0 +optionalChildren [ +*9 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "223000,170000,240000,171000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 124,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "223200,170500,223200,170500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "240000,166000,244000,167000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 127,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "240200,166500,240200,166500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "223000,168000,240000,169000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 130,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "223200,168500,223200,168500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "219000,168000,223000,169000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "219200,168500,219200,168500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "240000,167000,260000,171000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "240200,167200,254300,168400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "244000,166000,260000,167000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "244200,166500,244200,166500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "219000,166000,240000,168000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 142,0 +va (VaSet +fg "32768,0,0" +) +xt "224350,166400,234650,167600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "219000,169000,223000,170000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "219200,169500,219200,169500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "219000,170000,223000,171000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "219200,170500,219200,170500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "223000,169000,240000,170000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "223200,169500,223200,169500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 121,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "219000,166000,260000,171000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 164,0 +shape (Circle +uid 165,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "61000,14000,77000,30000" +radius 8000 +) +name (Text +uid 166,0 +va (VaSet +font "Verdana,12,1" +) +xt "65300,21300,72700,22700" +st "storeChar" +ju 0 +blo "69000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 167,0 +ps "CenterOffsetStrategy" +text (Text +uid 168,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "69100,22200,73200,23400" +st "wait 2" +blo "69100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 169,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "69000,23100,69000,23100" +blo "69000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 173,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "68900,24900,69100,25100" +) +autoResize 1 +tline (Line +uid 174,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "63900,24300,74100,24300" +pts [ +"63900,24300" +"74100,24300" +] +) +bline (Line +uid 175,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "63900,23650,74100,23650" +pts [ +"63900,23650" +"74100,23650" +] +) +ttri (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64550,23925,64900,24275" +) +btri (Triangle +uid 177,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64550,21625,64900,21975" +) +entryActions (MLText +uid 178,0 +va (VaSet +) +xt "63900,24100,63900,24100" +tm "Actions" +) +inActions (MLText +uid 179,0 +va (VaSet +) +xt "63900,24500,74100,25500" +tm "Actions" +) +exitActions (MLText +uid 180,0 +va (VaSet +) +xt "65000,21800,65000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 170,0 +ps "CenterOffsetStrategy" +text (MLText +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "67400,23000,73500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 191,0 +shape (Circle +uid 192,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "99000,14000,115000,30000" +radius 8000 +) +name (Text +uid 193,0 +va (VaSet +font "Verdana,12,1" +) +xt "101750,21300,112250,22700" +st "sendDotStart" +ju 0 +blo "107000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 194,0 +ps "CenterOffsetStrategy" +text (Text +uid 195,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "107100,22200,111200,23400" +st "wait 2" +blo "107100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "107000,23100,107000,23100" +blo "107000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 199,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 200,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "100650,25400,108650,26600" +) +autoResize 1 +tline (Line +uid 201,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100750,25300,108550,25300" +pts [ +"100750,25300" +"108550,25300" +] +) +bline (Line +uid 202,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100750,24650,108550,24650" +pts [ +"100750,24650" +"108550,24650" +] +) +ttri (Triangle +uid 203,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100300,24925,100650,25275" +) +btri (Triangle +uid 204,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100300,22625,100650,22975" +) +entryActions (MLText +uid 205,0 +va (VaSet +) +xt "100750,25100,100750,25100" +tm "Actions" +) +inActions (MLText +uid 206,0 +va (VaSet +) +xt "100750,25500,112950,26700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 207,0 +va (VaSet +) +xt "100650,22800,100650,22800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 197,0 +ps "CenterOffsetStrategy" +text (MLText +uid 198,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "105400,23000,111500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 325,0 +shape (Circle +uid 326,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "121000,14000,137000,30000" +radius 8000 +) +name (Text +uid 327,0 +va (VaSet +font "Verdana,12,1" +) +xt "123850,21300,134150,22700" +st "sendDotWait" +ju 0 +blo "129000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 328,0 +ps "CenterOffsetStrategy" +text (Text +uid 329,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "129100,22200,133200,23400" +st "wait 2" +blo "129100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 330,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "129000,23100,129000,23100" +blo "129000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 333,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 334,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "121200,23900,136800,26100" +) +autoResize 1 +tline (Line +uid 335,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121300,23800,136700,23800" +pts [ +"121300,23800" +"136700,23800" +] +) +bline (Line +uid 336,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "121300,23900,136700,23900" +pts [ +"121300,23900" +"136700,23900" +] +) +ttri (Triangle +uid 337,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120850,23425,121200,23775" +) +btri (Triangle +uid 338,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "120850,21625,121200,21975" +) +entryActions (MLText +uid 339,0 +va (VaSet +) +xt "121300,23600,121300,23600" +tm "Actions" +) +inActions (MLText +uid 340,0 +va (VaSet +) +xt "121300,24000,144700,26400" +st "unitNb <= to_unsigned(1, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 341,0 +va (VaSet +) +xt "125000,21800,125000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 331,0 +ps "CenterOffsetStrategy" +text (MLText +uid 332,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "127400,23000,133500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 364,0 +shape (Circle +uid 365,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "143416,13416,160584,30584" +radius 8584 +) +name (Text +uid 366,0 +va (VaSet +font "Verdana,12,1" +) +xt "144450,21300,159550,22700" +st "sendDotSpacerStart" +ju 0 +blo "152000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 367,0 +ps "CenterOffsetStrategy" +text (Text +uid 368,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "152100,22200,156200,23400" +st "wait 2" +blo "152100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 369,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "152000,23100,152000,23100" +blo "152000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 372,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 373,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "149000,24400,157000,25600" +) +autoResize 1 +tline (Line +uid 374,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "149100,24300,156900,24300" +pts [ +"149100,24300" +"156900,24300" +] +) +bline (Line +uid 375,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "149100,23650,156900,23650" +pts [ +"149100,23650" +"156900,23650" +] +) +ttri (Triangle +uid 376,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "148650,23925,149000,24275" +) +btri (Triangle +uid 377,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "148650,21625,149000,21975" +) +entryActions (MLText +uid 378,0 +va (VaSet +) +xt "149100,24100,149100,24100" +tm "Actions" +) +inActions (MLText +uid 379,0 +va (VaSet +) +xt "149100,24500,161300,25700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 380,0 +va (VaSet +) +xt "149000,21800,149000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 370,0 +ps "CenterOffsetStrategy" +text (MLText +uid 371,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "150400,23000,156500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 381,0 +shape (Circle +uid 382,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "165515,13515,182485,30485" +radius 8485 +) +name (Text +uid 383,0 +va (VaSet +font "Verdana,12,1" +) +xt "166550,21300,181450,22700" +st "sendDotSpacerWait" +ju 0 +blo "174000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 384,0 +ps "CenterOffsetStrategy" +text (Text +uid 385,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "174100,22200,178200,23400" +st "wait 2" +blo "174100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 386,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "174000,23100,174000,23100" +blo "174000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 389,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 390,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "166200,24400,181800,25600" +) +autoResize 1 +tline (Line +uid 391,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "166300,24300,181700,24300" +pts [ +"166300,24300" +"181700,24300" +] +) +bline (Line +uid 392,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "166300,23650,181700,23650" +pts [ +"166300,23650" +"181700,23650" +] +) +ttri (Triangle +uid 393,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165850,23925,166200,24275" +) +btri (Triangle +uid 394,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "165850,21625,166200,21975" +) +entryActions (MLText +uid 395,0 +va (VaSet +) +xt "166300,24100,166300,24100" +tm "Actions" +) +inActions (MLText +uid 396,0 +va (VaSet +) +xt "166300,24500,189700,25700" +st "unitNb <= to_unsigned(1, unitNb'length);" +tm "Actions" +) +exitActions (MLText +uid 397,0 +va (VaSet +) +xt "170000,21800,170000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 387,0 +ps "CenterOffsetStrategy" +text (MLText +uid 388,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "172400,23000,178500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 428,0 +shape (Circle +uid 429,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "204000,14000,220000,30000" +radius 8000 +) +name (Text +uid 430,0 +va (VaSet +font "Verdana,12,1" +) +xt "205600,21300,218400,22700" +st "sendDotDotStart" +ju 0 +blo "212000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 431,0 +ps "CenterOffsetStrategy" +text (Text +uid 432,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "212100,22200,216200,23400" +st "wait 2" +blo "212100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 433,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212000,23100,212000,23100" +blo "212000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 436,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 437,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "208000,24400,216000,25600" +) +autoResize 1 +tline (Line +uid 438,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "208100,24300,215900,24300" +pts [ +"208100,24300" +"215900,24300" +] +) +bline (Line +uid 439,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "208100,23650,215900,23650" +pts [ +"208100,23650" +"215900,23650" +] +) +ttri (Triangle +uid 440,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "207650,23925,208000,24275" +) +btri (Triangle +uid 441,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "207650,21625,208000,21975" +) +entryActions (MLText +uid 442,0 +va (VaSet +) +xt "208100,24100,208100,24100" +tm "Actions" +) +inActions (MLText +uid 443,0 +va (VaSet +) +xt "208100,24500,220300,25700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 444,0 +va (VaSet +) +xt "208000,21800,208000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 434,0 +ps "CenterOffsetStrategy" +text (MLText +uid 435,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "210400,23000,216500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 445,0 +shape (Circle +uid 446,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "226000,14000,242000,30000" +radius 8000 +) +name (Text +uid 447,0 +va (VaSet +font "Verdana,12,1" +) +xt "227700,21300,240300,22700" +st "sendDotDotWait" +ju 0 +blo "234000,22500" +tm "ONodeName" +) +wait (TextAssociate +uid 448,0 +ps "CenterOffsetStrategy" +text (Text +uid 449,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "234100,22200,238200,23400" +st "wait 2" +blo "234100,23200" +tm "SmWaitText" +) +) +encoding (Text +uid 450,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "234000,23100,234000,23100" +blo "234000,23100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 453,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 454,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "229200,23900,244800,26100" +) +autoResize 1 +tline (Line +uid 455,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "229300,23800,244700,23800" +pts [ +"229300,23800" +"244700,23800" +] +) +bline (Line +uid 456,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "229300,23900,244700,23900" +pts [ +"229300,23900" +"244700,23900" +] +) +ttri (Triangle +uid 457,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "228850,23425,229200,23775" +) +btri (Triangle +uid 458,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "228850,21625,229200,21975" +) +entryActions (MLText +uid 459,0 +va (VaSet +) +xt "229300,23600,229300,23600" +tm "Actions" +) +inActions (MLText +uid 460,0 +va (VaSet +) +xt "229300,24000,252700,26400" +st "unitNb <= to_unsigned(1, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 461,0 +va (VaSet +) +xt "233000,21800,233000,21800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 451,0 +ps "CenterOffsetStrategy" +text (MLText +uid 452,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "232400,23000,238500,24000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 502,0 +shape (Circle +uid 503,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "203614,48614,220386,65386" +radius 8386 +) +name (Text +uid 504,0 +va (VaSet +font "Verdana,12,1" +) +xt "205100,56300,218900,57700" +st "sendDotDashStart" +ju 0 +blo "212000,57500" +tm "ONodeName" +) +wait (TextAssociate +uid 505,0 +ps "CenterOffsetStrategy" +text (Text +uid 506,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "212100,57200,216200,58400" +st "wait 2" +blo "212100,58200" +tm "SmWaitText" +) +) +encoding (Text +uid 507,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212000,58100,212000,58100" +blo "212000,58100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 510,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "208000,59400,216000,60600" +) +autoResize 1 +tline (Line +uid 512,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "208100,59300,215900,59300" +pts [ +"208100,59300" +"215900,59300" +] +) +bline (Line +uid 513,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "208100,58650,215900,58650" +pts [ +"208100,58650" +"215900,58650" +] +) +ttri (Triangle +uid 514,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "207650,58925,208000,59275" +) +btri (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "207650,56625,208000,56975" +) +entryActions (MLText +uid 516,0 +va (VaSet +) +xt "208100,59100,208100,59100" +tm "Actions" +) +inActions (MLText +uid 517,0 +va (VaSet +) +xt "208100,59500,220300,60700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 518,0 +va (VaSet +) +xt "208000,56800,208000,56800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 508,0 +ps "CenterOffsetStrategy" +text (MLText +uid 509,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "210400,58000,216500,59000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 519,0 +shape (Circle +uid 520,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "225713,48713,242287,65287" +radius 8287 +) +name (Text +uid 521,0 +va (VaSet +font "Verdana,12,1" +) +xt "227200,56300,240800,57700" +st "sendDotDashWait" +ju 0 +blo "234000,57500" +tm "ONodeName" +) +wait (TextAssociate +uid 522,0 +ps "CenterOffsetStrategy" +text (Text +uid 523,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "234100,57200,238200,58400" +st "wait 2" +blo "234100,58200" +tm "SmWaitText" +) +) +encoding (Text +uid 524,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "234000,58100,234000,58100" +blo "234000,58100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 527,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 528,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "229200,58900,244800,61100" +) +autoResize 1 +tline (Line +uid 529,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "229300,58800,244700,58800" +pts [ +"229300,58800" +"244700,58800" +] +) +bline (Line +uid 530,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "229300,58900,244700,58900" +pts [ +"229300,58900" +"244700,58900" +] +) +ttri (Triangle +uid 531,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "228850,58425,229200,58775" +) +btri (Triangle +uid 532,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "228850,56625,229200,56975" +) +entryActions (MLText +uid 533,0 +va (VaSet +) +xt "229300,58600,229300,58600" +tm "Actions" +) +inActions (MLText +uid 534,0 +va (VaSet +) +xt "229300,59000,252700,61400" +st "unitNb <= to_unsigned(3, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 535,0 +va (VaSet +) +xt "233000,56800,233000,56800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 525,0 +ps "CenterOffsetStrategy" +text (MLText +uid 526,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "232400,58000,238500,59000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (State +uid 586,0 +shape (Circle +uid 587,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "101000,109000,117000,125000" +radius 8000 +) +name (Text +uid 588,0 +va (VaSet +font "Verdana,12,1" +) +xt "103250,116300,114750,117700" +st "sendDashStart" +ju 0 +blo "109000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 589,0 +ps "CenterOffsetStrategy" +text (Text +uid 590,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "109100,117200,113200,118400" +st "wait 2" +blo "109100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 591,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "109000,118100,109000,118100" +blo "109000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 594,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 595,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "109000,119600,117000,120800" +) +autoResize 1 +tline (Line +uid 596,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "109100,119500,116900,119500" +pts [ +"109100,119500" +"116900,119500" +] +) +bline (Line +uid 597,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "109100,118850,116900,118850" +pts [ +"109100,118850" +"116900,118850" +] +) +ttri (Triangle +uid 598,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "108650,119125,109000,119475" +) +btri (Triangle +uid 599,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "108650,116825,109000,117175" +) +entryActions (MLText +uid 600,0 +va (VaSet +) +xt "109100,119300,109100,119300" +tm "Actions" +) +inActions (MLText +uid 601,0 +va (VaSet +) +xt "109100,119700,121300,120900" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 602,0 +va (VaSet +) +xt "109000,117000,109000,117000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 592,0 +ps "CenterOffsetStrategy" +text (MLText +uid 593,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "107400,118000,113500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (State +uid 603,0 +shape (Circle +uid 604,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "123000,109000,139000,125000" +radius 8000 +) +name (Text +uid 605,0 +va (VaSet +font "Verdana,12,1" +) +xt "125350,116300,136650,117700" +st "sendDashWait" +ju 0 +blo "131000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 606,0 +ps "CenterOffsetStrategy" +text (Text +uid 607,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "131100,117200,135200,118400" +st "wait 2" +blo "131100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 608,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "131000,118100,131000,118100" +blo "131000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 611,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 612,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "123200,118900,138800,121100" +) +autoResize 1 +tline (Line +uid 613,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123300,118800,138700,118800" +pts [ +"123300,118800" +"138700,118800" +] +) +bline (Line +uid 614,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "123300,118900,138700,118900" +pts [ +"123300,118900" +"138700,118900" +] +) +ttri (Triangle +uid 615,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122850,118425,123200,118775" +) +btri (Triangle +uid 616,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "122850,116625,123200,116975" +) +entryActions (MLText +uid 617,0 +va (VaSet +) +xt "123300,118600,123300,118600" +tm "Actions" +) +inActions (MLText +uid 618,0 +va (VaSet +) +xt "123300,119000,146700,121400" +st "unitNb <= to_unsigned(3, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 619,0 +va (VaSet +) +xt "127000,116800,127000,116800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 609,0 +ps "CenterOffsetStrategy" +text (MLText +uid 610,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "129400,118000,135500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 620,0 +shape (Circle +uid 621,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "144524,107524,163476,126476" +radius 9476 +) +name (Text +uid 622,0 +va (VaSet +font "Verdana,12,1" +) +xt "145950,116300,162050,117700" +st "sendDahsSpacerStart" +ju 0 +blo "154000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 623,0 +ps "CenterOffsetStrategy" +text (Text +uid 624,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "154100,117200,158200,118400" +st "wait 2" +blo "154100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 625,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "154000,118100,154000,118100" +blo "154000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 628,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 629,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "151000,119400,159000,120600" +) +autoResize 1 +tline (Line +uid 630,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151100,119300,158900,119300" +pts [ +"151100,119300" +"158900,119300" +] +) +bline (Line +uid 631,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "151100,118650,158900,118650" +pts [ +"151100,118650" +"158900,118650" +] +) +ttri (Triangle +uid 632,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "150650,118925,151000,119275" +) +btri (Triangle +uid 633,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "150650,116625,151000,116975" +) +entryActions (MLText +uid 634,0 +va (VaSet +) +xt "151100,119100,151100,119100" +tm "Actions" +) +inActions (MLText +uid 635,0 +va (VaSet +) +xt "151100,119500,163300,120700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 636,0 +va (VaSet +) +xt "151000,116800,151000,116800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 626,0 +ps "CenterOffsetStrategy" +text (MLText +uid 627,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "152400,118000,158500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 637,0 +shape (Circle +uid 638,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "167070,108070,184930,125930" +radius 8930 +) +name (Text +uid 639,0 +va (VaSet +font "Verdana,12,1" +) +xt "168050,116300,183950,117700" +st "sendDashSpacerWait" +ju 0 +blo "176000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 640,0 +ps "CenterOffsetStrategy" +text (Text +uid 641,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "176100,117200,180200,118400" +st "wait 2" +blo "176100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 642,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "176000,118100,176000,118100" +blo "176000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 645,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 646,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "168200,119400,183800,120600" +) +autoResize 1 +tline (Line +uid 647,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "168300,119300,183700,119300" +pts [ +"168300,119300" +"183700,119300" +] +) +bline (Line +uid 648,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "168300,118650,183700,118650" +pts [ +"168300,118650" +"183700,118650" +] +) +ttri (Triangle +uid 649,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "167850,118925,168200,119275" +) +btri (Triangle +uid 650,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "167850,116625,168200,116975" +) +entryActions (MLText +uid 651,0 +va (VaSet +) +xt "168300,119100,168300,119100" +tm "Actions" +) +inActions (MLText +uid 652,0 +va (VaSet +) +xt "168300,119500,191700,120700" +st "unitNb <= to_unsigned(1, unitNb'length);" +tm "Actions" +) +exitActions (MLText +uid 653,0 +va (VaSet +) +xt "172000,116800,172000,116800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 643,0 +ps "CenterOffsetStrategy" +text (MLText +uid 644,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "174400,118000,180500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 654,0 +shape (Circle +uid 655,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "205664,108664,222336,125336" +radius 8336 +) +name (Text +uid 656,0 +va (VaSet +font "Verdana,12,1" +) +xt "207100,116300,220900,117700" +st "sendDashDotStart" +ju 0 +blo "214000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 657,0 +ps "CenterOffsetStrategy" +text (Text +uid 658,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "214100,117200,218200,118400" +st "wait 2" +blo "214100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 659,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "214000,118100,214000,118100" +blo "214000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 662,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 663,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "210000,119400,218000,120600" +) +autoResize 1 +tline (Line +uid 664,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210100,119300,217900,119300" +pts [ +"210100,119300" +"217900,119300" +] +) +bline (Line +uid 665,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210100,118650,217900,118650" +pts [ +"210100,118650" +"217900,118650" +] +) +ttri (Triangle +uid 666,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209650,118925,210000,119275" +) +btri (Triangle +uid 667,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209650,116625,210000,116975" +) +entryActions (MLText +uid 668,0 +va (VaSet +) +xt "210100,119100,210100,119100" +tm "Actions" +) +inActions (MLText +uid 669,0 +va (VaSet +) +xt "210100,119500,222300,120700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 670,0 +va (VaSet +) +xt "210000,116800,210000,116800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 660,0 +ps "CenterOffsetStrategy" +text (MLText +uid 661,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212400,118000,218500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 671,0 +shape (Circle +uid 672,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "205169,143169,222831,160831" +radius 8831 +) +name (Text +uid 673,0 +va (VaSet +font "Verdana,12,1" +) +xt "206600,151300,221400,152700" +st "sendDashDashStart" +ju 0 +blo "214000,152500" +tm "ONodeName" +) +wait (TextAssociate +uid 674,0 +ps "CenterOffsetStrategy" +text (Text +uid 675,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "214100,152200,218200,153400" +st "wait 2" +blo "214100,153200" +tm "SmWaitText" +) +) +encoding (Text +uid 676,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "214000,153100,214000,153100" +blo "214000,153100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 679,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 680,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "210000,154400,218000,155600" +) +autoResize 1 +tline (Line +uid 681,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210100,154300,217900,154300" +pts [ +"210100,154300" +"217900,154300" +] +) +bline (Line +uid 682,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "210100,153650,217900,153650" +pts [ +"210100,153650" +"217900,153650" +] +) +ttri (Triangle +uid 683,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209650,153925,210000,154275" +) +btri (Triangle +uid 684,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "209650,151625,210000,151975" +) +entryActions (MLText +uid 685,0 +va (VaSet +) +xt "210100,154100,210100,154100" +tm "Actions" +) +inActions (MLText +uid 686,0 +va (VaSet +) +xt "210100,154500,222300,155700" +st "startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 687,0 +va (VaSet +) +xt "210000,151800,210000,151800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 677,0 +ps "CenterOffsetStrategy" +text (MLText +uid 678,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212400,153000,218500,154000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 688,0 +shape (Circle +uid 689,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "227763,108763,244237,125237" +radius 8237 +) +name (Text +uid 690,0 +va (VaSet +font "Verdana,12,1" +) +xt "229200,116300,242800,117700" +st "sendDashDotWait" +ju 0 +blo "236000,117500" +tm "ONodeName" +) +wait (TextAssociate +uid 691,0 +ps "CenterOffsetStrategy" +text (Text +uid 692,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "236100,117200,240200,118400" +st "wait 2" +blo "236100,118200" +tm "SmWaitText" +) +) +encoding (Text +uid 693,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "236000,118100,236000,118100" +blo "236000,118100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 696,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 697,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "231200,118900,246800,121100" +) +autoResize 1 +tline (Line +uid 698,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "231300,118800,246700,118800" +pts [ +"231300,118800" +"246700,118800" +] +) +bline (Line +uid 699,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "231300,118900,246700,118900" +pts [ +"231300,118900" +"246700,118900" +] +) +ttri (Triangle +uid 700,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "230850,118425,231200,118775" +) +btri (Triangle +uid 701,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "230850,116625,231200,116975" +) +entryActions (MLText +uid 702,0 +va (VaSet +) +xt "231300,118600,231300,118600" +tm "Actions" +) +inActions (MLText +uid 703,0 +va (VaSet +) +xt "231300,119000,254700,121400" +st "unitNb <= to_unsigned(1, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 704,0 +va (VaSet +) +xt "235000,116800,235000,116800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 694,0 +ps "CenterOffsetStrategy" +text (MLText +uid 695,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "234400,118000,240500,119000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 705,0 +shape (Circle +uid 706,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "227268,143268,244732,160732" +radius 8732 +) +name (Text +uid 707,0 +va (VaSet +font "Verdana,12,1" +) +xt "228700,151300,243300,152700" +st "sendDashDashWait" +ju 0 +blo "236000,152500" +tm "ONodeName" +) +wait (TextAssociate +uid 708,0 +ps "CenterOffsetStrategy" +text (Text +uid 709,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "236100,152200,240200,153400" +st "wait 2" +blo "236100,153200" +tm "SmWaitText" +) +) +encoding (Text +uid 710,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "236000,153100,236000,153100" +blo "236000,153100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 713,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 714,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "231200,153900,246800,156100" +) +autoResize 1 +tline (Line +uid 715,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "231300,153800,246700,153800" +pts [ +"231300,153800" +"246700,153800" +] +) +bline (Line +uid 716,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "231300,153900,246700,153900" +pts [ +"231300,153900" +"246700,153900" +] +) +ttri (Triangle +uid 717,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "230850,153425,231200,153775" +) +btri (Triangle +uid 718,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "230850,151625,231200,151975" +) +entryActions (MLText +uid 719,0 +va (VaSet +) +xt "231300,153600,231300,153600" +tm "Actions" +) +inActions (MLText +uid 720,0 +va (VaSet +) +xt "231300,154000,254700,156400" +st "unitNb <= to_unsigned(3, unitNb'length); +morseOut <= '1';" +tm "Actions" +) +exitActions (MLText +uid 721,0 +va (VaSet +) +xt "235000,151800,235000,151800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 711,0 +ps "CenterOffsetStrategy" +text (MLText +uid 712,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "234400,153000,240500,154000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (State +uid 1027,0 +shape (Circle +uid 1028,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "31000,46000,47000,62000" +radius 8000 +) +name (Text +uid 1029,0 +va (VaSet +font "Verdana,12,1" +) +xt "35800,53350,42200,54750" +st "popChar" +ju 0 +blo "39000,54550" +tm "ONodeName" +) +wait (TextAssociate +uid 1030,0 +ps "CenterOffsetStrategy" +text (Text +uid 1031,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "39100,54250,43200,55450" +st "wait 2" +blo "39100,55250" +tm "SmWaitText" +) +) +encoding (Text +uid 1032,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "39000,55050,39000,55050" +blo "39000,55050" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1035,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1036,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "26600,56400,51400,57600" +) +autoResize 1 +tline (Line +uid 1037,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26700,56300,51300,56300" +pts [ +"26700,56300" +"51300,56300" +] +) +bline (Line +uid 1038,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26700,55650,51300,55650" +pts [ +"26700,55650" +"51300,55650" +] +) +ttri (Triangle +uid 1039,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26250,55925,26600,56275" +) +btri (Triangle +uid 1040,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "26250,53625,26600,53975" +) +entryActions (MLText +uid 1041,0 +va (VaSet +) +xt "26700,56100,26700,56100" +tm "Actions" +) +inActions (MLText +uid 1042,0 +va (VaSet +) +xt "26700,56500,50100,57700" +st "unitNb <= to_unsigned(3, unitNb'length);" +tm "Actions" +) +exitActions (MLText +uid 1043,0 +va (VaSet +) +xt "35000,53800,35000,53800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1033,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1034,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37400,55000,43500,56000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (State +uid 1083,0 +shape (Circle +uid 1084,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "31000,78000,47000,94000" +radius 8000 +) +name (Text +uid 1085,0 +va (VaSet +font "Verdana,12,1" +) +xt "35350,85350,42650,86750" +st "popChar1" +ju 0 +blo "39000,86550" +tm "ONodeName" +) +wait (TextAssociate +uid 1086,0 +ps "CenterOffsetStrategy" +text (Text +uid 1087,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "39100,86250,43200,87450" +st "wait 2" +blo "39100,87250" +tm "SmWaitText" +) +) +encoding (Text +uid 1088,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "39000,87050,39000,87050" +blo "39000,87050" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1091,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1092,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "32600,87900,45400,90100" +) +autoResize 1 +tline (Line +uid 1093,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32700,87800,45300,87800" +pts [ +"32700,87800" +"45300,87800" +] +) +bline (Line +uid 1094,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32700,87900,45300,87900" +pts [ +"32700,87900" +"45300,87900" +] +) +ttri (Triangle +uid 1095,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32250,87425,32600,87775" +) +btri (Triangle +uid 1096,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32250,85625,32600,85975" +) +entryActions (MLText +uid 1097,0 +va (VaSet +) +xt "32700,87600,32700,87600" +tm "Actions" +) +inActions (MLText +uid 1098,0 +va (VaSet +) +xt "32700,88000,44900,90400" +st "readChar <= '1'; +startCounter <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1099,0 +va (VaSet +) +xt "35000,85800,35000,85800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1089,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1090,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37400,87000,43500,88000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9000,19000,17200,21000" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "9500,20400,16700,20400" +pts [ +"9500,20400" +"16700,20400" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "9500,19000,16100,20200" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "8900,20800,17500,22000" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5819,19219,7381,20781" +radius 781 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "5900,19500,7300,20700" +st "1" +ju 0 +blo "6600,20500" +tm "TransitionPriority" +) +padding "100,100" +) +) +*39 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8575,14495,12975,15505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,15900,10775,15900" +pts [ +"10775,15900" +"10775,15900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "9075,14500,12475,15500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "10775,15900,10775,15900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5414,14219,6976,15781" +radius 781 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "5495,14500,6895,15700" +st "1" +ju 0 +blo "6195,15500" +tm "TransitionPriority" +) +padding "100,100" +) +) +*40 (Transition +uid 181,0 +shape (Spline +uid 182,0 +va (VaSet +vasetType 3 +) +xt "47000,22046,61000,22047" +pts [ +"47000,22046" +"61000,22047" +] +arrow 1 +) +start &2 +end &19 +cond "charNotReady = '0'" +tb (TransitionBlock +uid 183,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "47550,20000,61850,22300" +) +autoResize 1 +lineShape (Line +uid 185,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "51850,21900,51850,21900" +pts [ +"51850,21900" +"51850,21900" +] +) +condition (MLText +uid 186,0 +va (VaSet +font "Verdana,12,0" +) +xt "48050,20500,61550,21900" +st "charNotReady = '0'" +tm "Condition" +) +actions (MLText +uid 187,0 +va (VaSet +) +xt "54700,22200,54700,22200" +tm "Actions" +) +) +tp (TransitionPriority +uid 188,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 189,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47619,21265,49181,22827" +radius 781 +) +pr (Text +uid 190,0 +va (VaSet +isHidden 1 +) +xt "47700,21546,49100,22746" +st "1" +ju 0 +blo "48400,22546" +tm "TransitionPriority" +) +padding "100,100" +) +) +*41 (Transition +uid 208,0 +shape (Spline +uid 209,0 +va (VaSet +vasetType 3 +) +xt "77000,22007,99000,22076" +pts [ +"77000,22007" +"99000,22076" +] +arrow 1 +) +start &19 +end &20 +cond "character'val(to_integer(unsigned(char))) = 'e' or +character'val(to_integer(unsigned(char))) = 'i' or +character'val(to_integer(unsigned(char))) = 'a'" +tb (TransitionBlock +uid 210,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 211,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78350,17000,97650,21000" +) +autoResize 1 +lineShape (Line +uid 212,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "80550,18900,80550,18900" +pts [ +"80550,18900" +"80550,18900" +] +) +condition (MLText +uid 213,0 +va (VaSet +) +xt "78850,17500,106250,21100" +st "character'val(to_integer(unsigned(char))) = 'e' or +character'val(to_integer(unsigned(char))) = 'i' or +character'val(to_integer(unsigned(char))) = 'a'" +tm "Condition" +) +actions (MLText +uid 214,0 +va (VaSet +) +xt "88000,20900,88000,20900" +tm "Actions" +) +) +tp (TransitionPriority +uid 215,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 216,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78419,21232,79981,22794" +radius 781 +) +pr (Text +uid 217,0 +va (VaSet +) +xt "78500,21513,79900,22713" +st "1" +ju 0 +blo "79200,22513" +tm "TransitionPriority" +) +padding "100,100" +) +) +*42 (Transition +uid 218,0 +shape (Spline +uid 219,0 +va (VaSet +vasetType 3 +) +xt "44325,30000,68900,80030" +pts [ +"68900,30000" +"64000,49000" +"44325,80030" +] +arrow 1 +) +start &19 +end &37 +tb (TransitionBlock +uid 220,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 221,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63500,48995,67900,50005" +) +autoResize 1 +lineShape (Line +uid 222,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "65700,50400,65700,50400" +pts [ +"65700,50400" +"65700,50400" +] +) +condition (MLText +uid 223,0 +va (VaSet +) +xt "64000,49000,67400,50000" +tm "Condition" +) +actions (MLText +uid 224,0 +va (VaSet +) +xt "65700,50400,65700,50400" +tm "Actions" +) +) +tp (TransitionPriority +uid 225,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 226,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "67231,34796,68793,36358" +radius 781 +) +pr (Text +uid 227,0 +va (VaSet +) +xt "67312,35077,68712,36277" +st "3" +ju 0 +blo "68012,36077" +tm "TransitionPriority" +) +padding "100,100" +) +) +*43 (Transition +uid 342,0 +shape (Spline +uid 343,0 +va (VaSet +vasetType 3 +) +xt "115000,22007,121000,22073" +pts [ +"115000,22073" +"121000,22007" +] +arrow 1 +) +start &20 +end &21 +tb (TransitionBlock +uid 344,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 345,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "117500,22035,121900,23045" +) +autoResize 1 +lineShape (Line +uid 346,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "119700,23440,119700,23440" +pts [ +"119700,23440" +"119700,23440" +] +) +condition (MLText +uid 347,0 +va (VaSet +) +xt "118000,22040,121400,23040" +tm "Condition" +) +actions (MLText +uid 348,0 +va (VaSet +) +xt "119700,23440,119700,23440" +tm "Actions" +) +) +tp (TransitionPriority +uid 349,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 350,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "114819,21285,116381,22847" +radius 781 +) +pr (Text +uid 351,0 +va (VaSet +isHidden 1 +) +xt "114900,21566,116300,22766" +st "1" +ju 0 +blo "115600,22566" +tm "TransitionPriority" +) +padding "100,100" +) +) +*44 (Transition +uid 352,0 +shape (Spline +uid 353,0 +va (VaSet +vasetType 3 +) +xt "46056,27569,123257,82230" +pts [ +"123257,27569" +"104000,59000" +"46056,82230" +] +arrow 1 +) +start &21 +end &37 +cond "character'val(to_integer(unsigned(char))) = 'e'" +tb (TransitionBlock +uid 354,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 355,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "111766,58000,130166,60000" +) +autoResize 1 +lineShape (Line +uid 356,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "113966,59900,113966,59900" +pts [ +"113966,59900" +"113966,59900" +] +) +condition (MLText +uid 357,0 +va (VaSet +) +xt "112266,58500,138366,59700" +st "character'val(to_integer(unsigned(char))) = 'e'" +tm "Condition" +) +actions (MLText +uid 358,0 +va (VaSet +) +xt "120966,59900,120966,59900" +tm "Actions" +) +) +tp (TransitionPriority +uid 359,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 360,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "118614,36002,120176,37564" +radius 781 +) +pr (Text +uid 361,0 +va (VaSet +) +xt "118695,36283,120095,37483" +st "2" +ju 0 +blo "119395,37283" +tm "TransitionPriority" +) +padding "100,100" +) +) +*45 (Transition +uid 398,0 +shape (Spline +uid 399,0 +va (VaSet +vasetType 3 +) +xt "160584,22007,165515,22078" +pts [ +"160584,22078" +"165515,22007" +] +arrow 1 +) +start &22 +end &23 +tb (TransitionBlock +uid 400,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 401,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "162550,22037,166950,23047" +) +autoResize 1 +lineShape (Line +uid 402,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "164750,23442,164750,23442" +pts [ +"164750,23442" +"164750,23442" +] +) +condition (MLText +uid 403,0 +va (VaSet +) +xt "163050,22042,166450,23042" +tm "Condition" +) +actions (MLText +uid 404,0 +va (VaSet +) +xt "164750,23442,164750,23442" +tm "Actions" +) +) +tp (TransitionPriority +uid 405,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 406,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "160296,21289,161858,22851" +radius 781 +) +pr (Text +uid 407,0 +va (VaSet +isHidden 1 +) +xt "160377,21570,161777,22770" +st "1" +ju 0 +blo "161077,22570" +tm "TransitionPriority" +) +padding "100,100" +) +) +*46 (Transition +uid 408,0 +shape (Spline +uid 409,0 +va (VaSet +vasetType 3 +) +xt "137000,22020,143416,22020" +pts [ +"137000,22020" +"143416,22020" +] +arrow 1 +) +start &21 +end &22 +tb (TransitionBlock +uid 410,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 411,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "138100,20495,145900,21505" +) +autoResize 1 +lineShape (Line +uid 412,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "140300,21900,140300,21900" +pts [ +"140300,21900" +"140300,21900" +] +) +condition (MLText +uid 413,0 +va (VaSet +) +xt "138600,20500,145400,21500" +tm "Condition" +) +actions (MLText +uid 414,0 +va (VaSet +) +xt "142000,21900,142000,21900" +tm "Actions" +) +) +tp (TransitionPriority +uid 415,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 416,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "136860,21239,138422,22801" +radius 781 +) +pr (Text +uid 417,0 +va (VaSet +) +xt "136941,21520,138341,22720" +st "3" +ju 0 +blo "137641,22520" +tm "TransitionPriority" +) +padding "100,100" +) +) +*47 (Transition +uid 418,0 +shape (Spline +uid 419,0 +va (VaSet +vasetType 3 +) +xt "122892,6000,134372,15570" +pts [ +"133759,15570" +"134000,10000" +"128000,6000" +"123000,10000" +"124507,15382" +] +arrow 1 +) +start &21 +end &21 +cond "counterDone = '0'" +tb (TransitionBlock +uid 420,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 421,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "127500,5500,135300,7500" +) +autoResize 1 +lineShape (Line +uid 422,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "129700,7400,129700,7400" +pts [ +"129700,7400" +"129700,7400" +] +) +condition (MLText +uid 423,0 +va (VaSet +) +xt "128000,6000,138400,7200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 424,0 +va (VaSet +) +xt "131400,7400,131400,7400" +tm "Actions" +) +) +tp (TransitionPriority +uid 425,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 426,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "133466,12291,135028,13853" +radius 781 +) +pr (Text +uid 427,0 +va (VaSet +) +xt "133547,12572,134947,13772" +st "1" +ju 0 +blo "134247,13572" +tm "TransitionPriority" +) +padding "100,100" +) +) +*48 (Transition +uid 462,0 +shape (Spline +uid 463,0 +va (VaSet +vasetType 3 +) +xt "227892,6000,239372,15570" +pts [ +"238759,15570" +"239000,10000" +"233000,6000" +"228000,10000" +"229507,15382" +] +arrow 1 +) +start &25 +end &25 +cond "counterDone = '0'" +tb (TransitionBlock +uid 464,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 465,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "232500,5500,240300,7500" +) +autoResize 1 +lineShape (Line +uid 466,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "234700,7400,234700,7400" +pts [ +"234700,7400" +"234700,7400" +] +) +condition (MLText +uid 467,0 +va (VaSet +) +xt "233000,6000,243400,7200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 468,0 +va (VaSet +) +xt "236400,7400,236400,7400" +tm "Actions" +) +) +tp (TransitionPriority +uid 469,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 470,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "238466,12291,240028,13853" +radius 781 +) +pr (Text +uid 471,0 +va (VaSet +) +xt "238547,12572,239947,13772" +st "1" +ju 0 +blo "239247,13572" +tm "TransitionPriority" +) +padding "100,100" +) +) +*49 (Transition +uid 472,0 +shape (Spline +uid 473,0 +va (VaSet +vasetType 3 +) +xt "220000,22013,226000,22013" +pts [ +"220000,22013" +"226000,22013" +] +arrow 1 +) +start &24 +end &25 +tb (TransitionBlock +uid 474,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 475,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "222500,22008,226900,23018" +) +autoResize 1 +lineShape (Line +uid 476,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "224700,23413,224700,23413" +pts [ +"224700,23413" +"224700,23413" +] +) +condition (MLText +uid 477,0 +va (VaSet +) +xt "223000,22013,226400,23013" +tm "Condition" +) +actions (MLText +uid 478,0 +va (VaSet +) +xt "224700,23413,224700,23413" +tm "Actions" +) +) +tp (TransitionPriority +uid 479,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 480,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "219819,21232,221381,22794" +radius 781 +) +pr (Text +uid 481,0 +va (VaSet +isHidden 1 +) +xt "219900,21513,221300,22713" +st "1" +ju 0 +blo "220600,22513" +tm "TransitionPriority" +) +padding "100,100" +) +) +*50 (Transition +uid 482,0 +shape (Spline +uid 483,0 +va (VaSet +vasetType 3 +) +xt "182485,22001,204047,22867" +pts [ +"182485,22001" +"204047,22867" +] +arrow 1 +) +start &23 +end &24 +cond "character'val(to_integer(unsigned(char))) = 'i'" +tb (TransitionBlock +uid 484,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 485,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "183900,20000,202100,22000" +) +autoResize 1 +lineShape (Line +uid 486,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "186100,21900,186100,21900" +pts [ +"186100,21900" +"186100,21900" +] +) +condition (MLText +uid 487,0 +va (VaSet +) +xt "184400,20500,210200,21700" +st "character'val(to_integer(unsigned(char))) = 'i'" +tm "Condition" +) +actions (MLText +uid 488,0 +va (VaSet +) +xt "193000,21900,193000,21900" +tm "Actions" +) +) +tp (TransitionPriority +uid 489,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 490,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "183859,21306,185421,22868" +radius 781 +) +pr (Text +uid 491,0 +va (VaSet +) +xt "183940,21587,185340,22787" +st "2" +ju 0 +blo "184640,22587" +tm "TransitionPriority" +) +padding "100,100" +) +) +*51 (Transition +uid 492,0 +shape (Spline +uid 493,0 +va (VaSet +vasetType 3 +) +xt "46941,29800,232222,85032" +pts [ +"232222,29800" +"148000,67000" +"46941,85032" +] +arrow 1 +) +start &25 +end &37 +tb (TransitionBlock +uid 494,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 495,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "147500,66995,151900,68005" +) +autoResize 1 +lineShape (Line +uid 496,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "149700,68400,149700,68400" +pts [ +"149700,68400" +"149700,68400" +] +) +condition (MLText +uid 497,0 +va (VaSet +) +xt "148000,67000,151400,68000" +tm "Condition" +) +actions (MLText +uid 498,0 +va (VaSet +) +xt "149700,68400,149700,68400" +tm "Actions" +) +) +tp (TransitionPriority +uid 499,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 500,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "213913,37541,215475,39103" +radius 781 +) +pr (Text +uid 501,0 +va (VaSet +) +xt "213994,37822,215394,39022" +st "2" +ju 0 +blo "214694,38822" +tm "TransitionPriority" +) +padding "100,100" +) +) +*52 (Transition +uid 536,0 +shape (Spline +uid 537,0 +va (VaSet +vasetType 3 +) +xt "227872,41000,239423,50339" +pts [ +"238930,50339" +"239000,45000" +"233000,41000" +"228000,45000" +"229346,50144" +] +arrow 1 +) +start &27 +end &27 +cond "counterDone = '0'" +tb (TransitionBlock +uid 538,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 539,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "232500,40500,240300,42500" +) +autoResize 1 +lineShape (Line +uid 540,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "234700,42400,234700,42400" +pts [ +"234700,42400" +"234700,42400" +] +) +condition (MLText +uid 541,0 +va (VaSet +) +xt "233000,41000,243400,42200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 542,0 +va (VaSet +) +xt "236400,42400,236400,42400" +tm "Actions" +) +) +tp (TransitionPriority +uid 543,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 544,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "238563,47101,240125,48663" +radius 781 +) +pr (Text +uid 545,0 +va (VaSet +) +xt "238644,47382,240044,48582" +st "1" +ju 0 +blo "239344,48382" +tm "TransitionPriority" +) +padding "100,100" +) +) +*53 (Transition +uid 546,0 +shape (Spline +uid 547,0 +va (VaSet +vasetType 3 +) +xt "220386,57013,225713,57013" +pts [ +"220386,57013" +"225713,57013" +] +arrow 1 +) +start &26 +end &27 +tb (TransitionBlock +uid 548,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 549,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "222550,57008,226950,58018" +) +autoResize 1 +lineShape (Line +uid 550,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "224750,58413,224750,58413" +pts [ +"224750,58413" +"224750,58413" +] +) +condition (MLText +uid 551,0 +va (VaSet +) +xt "223050,57013,226450,58013" +tm "Condition" +) +actions (MLText +uid 552,0 +va (VaSet +) +xt "224750,58413,224750,58413" +tm "Actions" +) +) +tp (TransitionPriority +uid 553,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 554,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "220137,56232,221699,57794" +radius 781 +) +pr (Text +uid 555,0 +va (VaSet +isHidden 1 +) +xt "220218,56513,221618,57713" +st "1" +ju 0 +blo "220918,57513" +tm "TransitionPriority" +) +padding "100,100" +) +) +*54 (Transition +uid 556,0 +shape (Spline +uid 557,0 +va (VaSet +vasetType 3 +) +xt "179184,28716,205304,51951" +pts [ +"179184,28716" +"205304,51951" +] +arrow 1 +) +start &23 +end &26 +tb (TransitionBlock +uid 558,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 559,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "191744,40329,196144,41339" +) +autoResize 1 +lineShape (Line +uid 560,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "193944,41734,193944,41734" +pts [ +"193944,41734" +"193944,41734" +] +) +condition (MLText +uid 561,0 +va (VaSet +) +xt "192244,40334,195644,41334" +tm "Condition" +) +actions (MLText +uid 562,0 +va (VaSet +) +xt "193944,41734,193944,41734" +tm "Actions" +) +) +tp (TransitionPriority +uid 563,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 564,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "181014,30257,182576,31819" +radius 781 +) +pr (Text +uid 565,0 +va (VaSet +) +xt "181095,30538,182495,31738" +st "3" +ju 0 +blo "181795,31538" +tm "TransitionPriority" +) +padding "100,100" +) +) +*55 (Transition +uid 566,0 +shape (Spline +uid 567,0 +va (VaSet +vasetType 3 +) +xt "46489,64914,231540,88812" +pts [ +"231540,64914" +"148000,86000" +"46489,88812" +] +arrow 1 +) +start &27 +end &37 +tb (TransitionBlock +uid 568,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 569,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "147500,85995,151900,87005" +) +autoResize 1 +lineShape (Line +uid 570,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "149700,87400,149700,87400" +pts [ +"149700,87400" +"149700,87400" +] +) +condition (MLText +uid 571,0 +va (VaSet +) +xt "148000,86000,151400,87000" +tm "Condition" +) +actions (MLText +uid 572,0 +va (VaSet +) +xt "149700,87400,149700,87400" +tm "Actions" +) +) +tp (TransitionPriority +uid 573,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 574,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "212724,69387,214286,70949" +radius 781 +) +pr (Text +uid 575,0 +va (VaSet +) +xt "212805,69668,214205,70868" +st "2" +ju 0 +blo "213505,70668" +tm "TransitionPriority" +) +padding "100,100" +) +) +*56 (Transition +uid 576,0 +shape (Spline +uid 577,0 +va (VaSet +vasetType 3 +) +xt "169562,6999,179381,15333" +pts [ +"179248,15333" +"179000,11000" +"175000,7000" +"171000,10000" +"169562,14769" +] +arrow 1 +) +start &23 +end &23 +cond "counterDone = '0'" +tb (TransitionBlock +uid 578,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 579,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "174500,6500,182300,8500" +) +autoResize 1 +lineShape (Line +uid 580,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "176700,8400,176700,8400" +pts [ +"176700,8400" +"176700,8400" +] +) +condition (MLText +uid 581,0 +va (VaSet +) +xt "175000,7000,185400,8200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 582,0 +va (VaSet +) +xt "178400,8400,178400,8400" +tm "Actions" +) +) +tp (TransitionPriority +uid 583,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 584,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "178594,12520,180156,14082" +radius 781 +) +pr (Text +uid 585,0 +va (VaSet +) +xt "178675,12801,180075,14001" +st "1" +ju 0 +blo "179375,13801" +tm "TransitionPriority" +) +padding "100,100" +) +) +*57 (Transition +uid 722,0 +shape (Spline +uid 723,0 +va (VaSet +vasetType 3 +) +xt "222336,117013,227763,117013" +pts [ +"222336,117013" +"227763,117013" +] +arrow 1 +) +start &32 +end &34 +tb (TransitionBlock +uid 724,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 725,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "224550,117008,228950,118018" +) +autoResize 1 +lineShape (Line +uid 726,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "226750,118413,226750,118413" +pts [ +"226750,118413" +"226750,118413" +] +) +condition (MLText +uid 727,0 +va (VaSet +) +xt "225050,117013,228450,118013" +tm "Condition" +) +actions (MLText +uid 728,0 +va (VaSet +) +xt "226750,118413,226750,118413" +tm "Actions" +) +) +tp (TransitionPriority +uid 729,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 730,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "222097,116232,223659,117794" +radius 781 +) +pr (Text +uid 731,0 +va (VaSet +isHidden 1 +) +xt "222178,116513,223578,117713" +st "1" +ju 0 +blo "222878,117513" +tm "TransitionPriority" +) +padding "100,100" +) +) +*58 (Transition +uid 732,0 +shape (Spline +uid 733,0 +va (VaSet +vasetType 3 +) +xt "171329,102000,181523,109983" +pts [ +"181523,109983" +"181000,106000" +"177000,102000" +"173000,105000" +"171329,109389" +] +arrow 1 +) +start &31 +end &31 +cond "counterDone = '0'" +tb (TransitionBlock +uid 734,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 735,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "176500,101500,184300,103500" +) +autoResize 1 +lineShape (Line +uid 736,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "178700,103400,178700,103400" +pts [ +"178700,103400" +"178700,103400" +] +) +condition (MLText +uid 737,0 +va (VaSet +) +xt "177000,102000,187400,103200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 738,0 +va (VaSet +) +xt "180400,103400,180400,103400" +tm "Actions" +) +) +tp (TransitionPriority +uid 739,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 740,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "180717,107231,182279,108793" +radius 781 +) +pr (Text +uid 741,0 +va (VaSet +) +xt "180798,107512,182198,108712" +st "1" +ju 0 +blo "181498,108512" +tm "TransitionPriority" +) +padding "100,100" +) +) +*59 (Transition +uid 742,0 +shape (Spline +uid 743,0 +va (VaSet +vasetType 3 +) +xt "222831,152013,227268,152013" +pts [ +"222831,152013" +"227268,152013" +] +arrow 1 +) +start &33 +end &35 +tb (TransitionBlock +uid 744,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 745,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "224550,152008,228950,153018" +) +autoResize 1 +lineShape (Line +uid 746,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "226750,153413,226750,153413" +pts [ +"226750,153413" +"226750,153413" +] +) +condition (MLText +uid 747,0 +va (VaSet +) +xt "225050,152013,228450,153013" +tm "Condition" +) +actions (MLText +uid 748,0 +va (VaSet +) +xt "226750,153413,226750,153413" +tm "Actions" +) +) +tp (TransitionPriority +uid 749,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 750,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "222493,151232,224055,152794" +radius 781 +) +pr (Text +uid 751,0 +va (VaSet +isHidden 1 +) +xt "222574,151513,223974,152713" +st "1" +ju 0 +blo "223274,152513" +tm "TransitionPriority" +) +padding "100,100" +) +) +*60 (Transition +uid 752,0 +shape (Spline +uid 753,0 +va (VaSet +vasetType 3 +) +xt "229876,101000,241414,110379" +pts [ +"240900,110379" +"241000,105000" +"235000,101000" +"230000,105000" +"231374,110186" +] +arrow 1 +) +start &34 +end &34 +cond "counterDone = '0'" +tb (TransitionBlock +uid 754,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 755,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "234500,100500,242300,102500" +) +autoResize 1 +lineShape (Line +uid 756,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "236700,102400,236700,102400" +pts [ +"236700,102400" +"236700,102400" +] +) +condition (MLText +uid 757,0 +va (VaSet +) +xt "235000,101000,245400,102200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 758,0 +va (VaSet +) +xt "238400,102400,238400,102400" +tm "Actions" +) +) +tp (TransitionPriority +uid 759,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 760,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "240546,107134,242108,108696" +radius 781 +) +pr (Text +uid 761,0 +va (VaSet +) +xt "240627,107415,242027,108615" +st "1" +ju 0 +blo "241327,108415" +tm "TransitionPriority" +) +padding "100,100" +) +) +*61 (Transition +uid 762,0 +shape (Spline +uid 763,0 +va (VaSet +vasetType 3 +) +xt "163476,117007,167070,117086" +pts [ +"163476,117086" +"167070,117007" +] +arrow 1 +) +start &30 +end &31 +tb (TransitionBlock +uid 764,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 765,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "164773,117041,169173,118051" +) +autoResize 1 +lineShape (Line +uid 766,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "166973,118446,166973,118446" +pts [ +"166973,118446" +"166973,118446" +] +) +condition (MLText +uid 767,0 +va (VaSet +) +xt "165273,117046,168673,118046" +tm "Condition" +) +actions (MLText +uid 768,0 +va (VaSet +) +xt "166973,118446,166973,118446" +tm "Actions" +) +) +tp (TransitionPriority +uid 769,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 770,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "163054,116297,164616,117859" +radius 781 +) +pr (Text +uid 771,0 +va (VaSet +isHidden 1 +) +xt "163135,116578,164535,117778" +st "1" +ju 0 +blo "163835,117578" +tm "TransitionPriority" +) +padding "100,100" +) +) +*62 (Transition +uid 772,0 +shape (Spline +uid 773,0 +va (VaSet +vasetType 3 +) +xt "229842,136000,241502,144981" +pts [ +"241195,144981" +"241000,140000" +"235000,136000" +"230000,140000" +"231096,144775" +] +arrow 1 +) +start &35 +end &35 +cond "counterDone = '0'" +tb (TransitionBlock +uid 774,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 775,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "234500,135500,242300,137500" +) +autoResize 1 +lineShape (Line +uid 776,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "236700,137400,236700,137400" +pts [ +"236700,137400" +"236700,137400" +] +) +condition (MLText +uid 777,0 +va (VaSet +) +xt "235000,136000,245400,137200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 778,0 +va (VaSet +) +xt "238400,137400,238400,137400" +tm "Actions" +) +) +tp (TransitionPriority +uid 779,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 780,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "240700,141806,242262,143368" +radius 781 +) +pr (Text +uid 781,0 +va (VaSet +) +xt "240781,142087,242181,143287" +st "1" +ju 0 +blo "241481,143087" +tm "TransitionPriority" +) +padding "100,100" +) +) +*63 (Transition +uid 782,0 +shape (Spline +uid 783,0 +va (VaSet +vasetType 3 +) +xt "117000,117007,123000,117073" +pts [ +"117000,117073" +"123000,117007" +] +arrow 1 +) +start &28 +end &29 +tb (TransitionBlock +uid 784,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 785,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "119500,117035,123900,118045" +) +autoResize 1 +lineShape (Line +uid 786,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "121700,118440,121700,118440" +pts [ +"121700,118440" +"121700,118440" +] +) +condition (MLText +uid 787,0 +va (VaSet +) +xt "120000,117040,123400,118040" +tm "Condition" +) +actions (MLText +uid 788,0 +va (VaSet +) +xt "121700,118440,121700,118440" +tm "Actions" +) +) +tp (TransitionPriority +uid 789,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 790,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "116819,116285,118381,117847" +radius 781 +) +pr (Text +uid 791,0 +va (VaSet +isHidden 1 +) +xt "116900,116566,118300,117766" +st "1" +ju 0 +blo "117600,117566" +tm "TransitionPriority" +) +padding "100,100" +) +) +*64 (Transition +uid 792,0 +shape (Spline +uid 793,0 +va (VaSet +vasetType 3 +) +xt "124892,101000,136372,110570" +pts [ +"135759,110570" +"136000,105000" +"130000,101000" +"125000,105000" +"126507,110382" +] +arrow 1 +) +start &29 +end &29 +cond "counterDone = '0'" +tb (TransitionBlock +uid 794,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 795,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "129500,100500,137300,102500" +) +autoResize 1 +lineShape (Line +uid 796,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "131700,102400,131700,102400" +pts [ +"131700,102400" +"131700,102400" +] +) +condition (MLText +uid 797,0 +va (VaSet +) +xt "130000,101000,140400,102200" +st "counterDone = '0'" +tm "Condition" +) +actions (MLText +uid 798,0 +va (VaSet +) +xt "133400,102400,133400,102400" +tm "Actions" +) +) +tp (TransitionPriority +uid 799,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 800,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "135466,107291,137028,108853" +radius 781 +) +pr (Text +uid 801,0 +va (VaSet +) +xt "135547,107572,136947,108772" +st "1" +ju 0 +blo "136247,108572" +tm "TransitionPriority" +) +padding "100,100" +) +) +*65 (Transition +uid 802,0 +shape (Spline +uid 803,0 +va (VaSet +vasetType 3 +) +xt "184930,117001,205713,117903" +pts [ +"184930,117001" +"205713,117903" +] +arrow 1 +) +start &31 +end &32 +cond "character'val(to_integer(unsigned(char))) = 'i'" +tb (TransitionBlock +uid 804,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 805,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "185956,115018,204156,117018" +) +autoResize 1 +lineShape (Line +uid 806,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "188156,116918,188156,116918" +pts [ +"188156,116918" +"188156,116918" +] +) +condition (MLText +uid 807,0 +va (VaSet +) +xt "186456,115518,212256,116718" +st "character'val(to_integer(unsigned(char))) = 'i'" +tm "Condition" +) +actions (MLText +uid 808,0 +va (VaSet +) +xt "195056,116918,195056,116918" +tm "Actions" +) +) +tp (TransitionPriority +uid 809,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 810,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "186227,116310,187789,117872" +radius 781 +) +pr (Text +uid 811,0 +va (VaSet +) +xt "186308,116591,187708,117791" +st "2" +ju 0 +blo "187008,117591" +tm "TransitionPriority" +) +padding "100,100" +) +) +*66 (Transition +uid 812,0 +shape (Spline +uid 813,0 +va (VaSet +vasetType 3 +) +xt "181456,124069,206949,146684" +pts [ +"181456,124069" +"206949,146684" +] +arrow 1 +) +start &31 +end &33 +tb (TransitionBlock +uid 814,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 815,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "193703,135372,198103,136382" +) +autoResize 1 +lineShape (Line +uid 816,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "195903,136777,195903,136777" +pts [ +"195903,136777" +"195903,136777" +] +) +condition (MLText +uid 817,0 +va (VaSet +) +xt "194203,135377,197603,136377" +tm "Condition" +) +actions (MLText +uid 818,0 +va (VaSet +) +xt "195903,136777,195903,136777" +tm "Actions" +) +) +tp (TransitionPriority +uid 819,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 820,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "183223,125548,184785,127110" +radius 781 +) +pr (Text +uid 821,0 +va (VaSet +) +xt "183304,125829,184704,127029" +st "3" +ju 0 +blo "184004,126829" +tm "TransitionPriority" +) +padding "100,100" +) +) +*67 (Transition +uid 822,0 +shape (Spline +uid 823,0 +va (VaSet +vasetType 3 +) +xt "139000,117020,144524,117022" +pts [ +"139000,117020" +"144524,117022" +] +arrow 1 +) +start &29 +end &30 +tb (TransitionBlock +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "139654,115496,147454,116506" +) +autoResize 1 +lineShape (Line +uid 826,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "141854,116901,141854,116901" +pts [ +"141854,116901" +"141854,116901" +] +) +condition (MLText +uid 827,0 +va (VaSet +) +xt "140154,115501,146954,116501" +tm "Condition" +) +actions (MLText +uid 828,0 +va (VaSet +) +xt "143554,116901,143554,116901" +tm "Actions" +) +) +tp (TransitionPriority +uid 829,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 830,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "138771,116239,140333,117801" +radius 781 +) +pr (Text +uid 831,0 +va (VaSet +) +xt "138852,116520,140252,117720" +st "3" +ju 0 +blo "139552,117520" +tm "TransitionPriority" +) +padding "100,100" +) +) +*68 (Transition +uid 832,0 +shape (Spline +uid 833,0 +va (VaSet +vasetType 3 +) +xt "73887,28333,106119,109537" +pts [ +"73887,28333" +"106119,109537" +] +arrow 1 +) +start &19 +end &28 +cond "character'val(to_integer(unsigned(char))) = 't' or +character'val(to_integer(unsigned(char))) = 'n' or +character'val(to_integer(unsigned(char))) = 'm'" +tb (TransitionBlock +uid 834,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 835,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78450,38000,97750,42000" +) +autoResize 1 +lineShape (Line +uid 836,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "80650,39900,80650,39900" +pts [ +"80650,39900" +"80650,39900" +] +) +condition (MLText +uid 837,0 +va (VaSet +) +xt "78950,38500,106350,42100" +st "character'val(to_integer(unsigned(char))) = 't' or +character'val(to_integer(unsigned(char))) = 'n' or +character'val(to_integer(unsigned(char))) = 'm'" +tm "Condition" +) +actions (MLText +uid 838,0 +va (VaSet +) +xt "88100,41900,88100,41900" +tm "Actions" +) +) +tp (TransitionPriority +uid 839,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 840,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "76328,35671,77890,37233" +radius 781 +) +pr (Text +uid 841,0 +va (VaSet +) +xt "76409,35952,77809,37152" +st "2" +ju 0 +blo "77109,36952" +tm "TransitionPriority" +) +padding "100,100" +) +) +*69 (Transition +uid 842,0 +shape (Spline +uid 843,0 +va (VaSet +vasetType 3 +) +xt "44563,91749,127867,133409" +pts [ +"127867,124361" +"96283,132000" +"44563,91749" +] +arrow 1 +) +start &29 +end &37 +cond "character'val(to_integer(unsigned(char))) = 't'" +tb (TransitionBlock +uid 844,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 845,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "95783,131500,113983,133500" +) +autoResize 1 +lineShape (Line +uid 846,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "97983,133400,97983,133400" +pts [ +"97983,133400" +"97983,133400" +] +) +condition (MLText +uid 847,0 +va (VaSet +) +xt "96283,132000,122183,133200" +st "character'val(to_integer(unsigned(char))) = 't'" +tm "Condition" +) +actions (MLText +uid 848,0 +va (VaSet +) +xt "104883,133400,104883,133400" +tm "Actions" +) +) +tp (TransitionPriority +uid 849,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 850,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "118245,128090,119807,129652" +radius 781 +) +pr (Text +uid 851,0 +va (VaSet +) +xt "118326,128371,119726,129571" +st "2" +ju 0 +blo "119026,129371" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 852,0 +shape (Spline +uid 853,0 +va (VaSet +vasetType 3 +) +xt "42683,93102,233916,145801" +pts [ +"233916,124969" +"104551,145000" +"42683,93102" +] +arrow 1 +) +start &34 +end &37 +tb (TransitionBlock +uid 854,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 855,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "104051,144995,108451,146005" +) +autoResize 1 +lineShape (Line +uid 856,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "106251,146400,106251,146400" +pts [ +"106251,146400" +"106251,146400" +] +) +condition (MLText +uid 857,0 +va (VaSet +) +xt "104551,145000,107951,146000" +tm "Condition" +) +actions (MLText +uid 858,0 +va (VaSet +) +xt "106251,146400,106251,146400" +tm "Actions" +) +) +tp (TransitionPriority +uid 859,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 860,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "212190,128582,213752,130144" +radius 781 +) +pr (Text +uid 861,0 +va (VaSet +) +xt "212271,128863,213671,130063" +st "2" +ju 0 +blo "212971,129863" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 862,0 +shape (Spline +uid 863,0 +va (VaSet +vasetType 3 +) +xt "38273,93967,232405,161966" +pts [ +"232405,159957" +"103528,156000" +"38273,93967" +] +arrow 1 +) +start &35 +end &37 +tb (TransitionBlock +uid 864,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 865,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "103028,155995,107428,157005" +) +autoResize 1 +lineShape (Line +uid 866,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "105228,157400,105228,157400" +pts [ +"105228,157400" +"105228,157400" +] +) +condition (MLText +uid 867,0 +va (VaSet +) +xt "103528,156000,106928,157000" +tm "Condition" +) +actions (MLText +uid 868,0 +va (VaSet +) +xt "105228,157400,105228,157400" +tm "Actions" +) +) +tp (TransitionPriority +uid 869,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 870,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "209558,159715,211120,161277" +radius 781 +) +pr (Text +uid 871,0 +va (VaSet +) +xt "209639,159996,211039,161196" +st "2" +ju 0 +blo "210339,160996" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 1044,0 +shape (Spline +uid 1045,0 +va (VaSet +vasetType 3 +) +xt "39030,30000,39102,46000" +pts [ +"39102,46000" +"39030,30000" +] +arrow 1 +) +start &36 +end &2 +cond "counterDone = '1'" +tb (TransitionBlock +uid 1046,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1047,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38566,37500,50366,39500" +) +autoResize 1 +lineShape (Line +uid 1048,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40766,39400,40766,39400" +pts [ +"40766,39400" +"40766,39400" +] +) +condition (MLText +uid 1049,0 +va (VaSet +) +xt "39066,38000,49466,39200" +st "counterDone = '1'" +tm "Condition" +) +actions (MLText +uid 1050,0 +va (VaSet +) +xt "44466,39400,44466,39400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1051,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1052,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38313,43618,39875,45180" +radius 781 +) +pr (Text +uid 1053,0 +va (VaSet +isHidden 1 +) +xt "38394,43899,39794,45099" +st "1" +ju 0 +blo "39094,44899" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 1100,0 +shape (Spline +uid 1101,0 +va (VaSet +vasetType 3 +) +xt "39099,62000,39101,78000" +pts [ +"39099,78000" +"39101,62000" +] +arrow 1 +) +start &37 +end &36 +tb (TransitionBlock +uid 1102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1103,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38600,69995,45000,71005" +) +autoResize 1 +lineShape (Line +uid 1104,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40800,71400,40800,71400" +pts [ +"40800,71400" +"40800,71400" +] +) +condition (MLText +uid 1105,0 +va (VaSet +) +xt "39100,70000,44500,71000" +tm "Condition" +) +actions (MLText +uid 1106,0 +va (VaSet +) +xt "41800,71400,41800,71400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38318,75618,39880,77180" +radius 781 +) +pr (Text +uid 1109,0 +va (VaSet +isHidden 1 +) +xt "38399,75899,39799,77099" +st "1" +ju 0 +blo "39099,76899" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *74 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 28,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3000,6900,4000" +st "Package List" +blo "0,3800" +) +*76 (MLText +uid 29,0 +va (VaSet +) +xt "0,4000,17500,7600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*78 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*79 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*80 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*81 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*82 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*83 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-3724,-4655,327592,175357" +cachedDiagramExtent "0,-1000,260000,171000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localDecl *84 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "22100,-1000,35700,0" +st "Architecture Declarations" +blo "22100,-200" +) +*86 (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "22100,0,22100,0" +tm "LocalDeclTextMgr" +) +*87 (Text +uid 902,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,0,4900,1000" +st "Pre Decls" +blo "0,800" +) +*88 (MLText +uid 903,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +*89 (Text +uid 905,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,0,6100,1000" +st "Post Decls" +blo "0,800" +) +*90 (MLText +uid 906,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "22100,-100,22100,-100" +tm "LocalDeclTextMgr" +) +] +) +processDecl *91 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 7,0 +va (VaSet +font "Verdana,8,1" +) +xt "69000,-1000,80500,0" +st "Process Declarations" +blo "69000,-200" +) +*93 (Text +uid 8,0 +va (VaSet +font "Verdana,8,1" +) +xt "69000,0,78000,1000" +st "Clocked Process:" +blo "69000,800" +) +*94 (MLText +uid 9,0 +va (VaSet +font "Verdana,8,0" +) +xt "69000,-1000,69000,-1000" +tm "ProcessDeclTextMgr" +) +*95 (Text +uid 10,0 +va (VaSet +font "Verdana,8,1" +) +xt "69000,1000,77700,2000" +st "Output Process:" +blo "69000,1800" +) +*96 (MLText +uid 11,0 +va (VaSet +font "Verdana,8,0" +) +xt "69000,2000,69000,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *97 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 13,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,7900,0" +st "Global Actions" +blo "0,-200" +) +*99 (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6800,1000" +st "Pre Actions:" +blo "0,800" +) +*100 (MLText +uid 15,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*101 (Text +uid 16,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,1000,7400,2000" +st "Post Actions:" +blo "0,1800" +) +*102 (MLText +uid 17,0 +va (VaSet +) +xt "0,2000,0,2000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *103 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "Verdana,8,1" +) +xt "9200,-1000,21700,0" +st "Concurrent Statements" +blo "9200,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "9200,0,9200,0" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *104 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,-1000,43000,0" +st "Signal Status" +blo "35600,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "Verdana,8,0" +) +xt "35600,0,64800,5000" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +morseOut OUT '0' COMB +startCounter OUT '0' COMB +unitNb OUT (others => '0') COMB +readChar OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *105 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "Verdana,8,1" +) +xt "44300,-1000,58100,0" +st "State Register Statements" +blo "44300,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "44300,0,44300,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&28 +&29 +&30 +&31 +&32 +&33 +&34 +&35 +&36 +&37 +] +name "csm" +) +] +lastUid 1196,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *106 (LEmptyRow +) +uid 239,0 +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "SmNameColHdrMgr" +) +*114 (ModeColHdr +tm "SmModeColHdrMgr" +) +*115 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*116 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*117 (InitColHdr +tm "SmInitColHdrMgr" +) +*118 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*119 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*120 (ColumnHdr +tm "SmExprColHdrMgr" +) +*121 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*122 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*123 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*124 (EolColHdr +tm "SmEolColHdrMgr" +) +*125 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +) +) +uid 152,0 +scheme 0 +defVal "'0'" +) +*126 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 154,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +uid 156,0 +cat 9 +expr "reset = '1'" +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +) +) +uid 229,0 +ass "" +) +*129 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +) +) +uid 231,0 +scheme 0 +defVal "'0'" +) +*130 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +) +) +uid 233,0 +scheme 0 +defVal "(others => '0')" +) +*131 (LeafLogPort +port (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +) +) +uid 363,0 +ass "" +) +*132 (LeafLogPort +port (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +) +) +uid 937,0 +ass "" +) +*133 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +) +) +uid 939,0 +scheme 0 +defVal "'0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 258,0 +optionalChildren [ +*134 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *135 (MRCItem +litem &106 +pos 9 +dimension 20 +) +uid 260,0 +optionalChildren [ +*136 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 261,0 +) +*137 (MRCItem +litem &108 +pos 1 +dimension 23 +uid 262,0 +) +*138 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +uid 263,0 +) +*139 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 153,0 +) +*140 (MRCItem +litem &126 +pos 1 +dimension 20 +uid 155,0 +) +*141 (MRCItem +litem &127 +pos 2 +dimension 20 +uid 157,0 +) +*142 (MRCItem +litem &128 +pos 4 +dimension 20 +uid 228,0 +) +*143 (MRCItem +litem &129 +pos 5 +dimension 20 +uid 230,0 +) +*144 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 232,0 +) +*145 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 362,0 +) +*146 (MRCItem +litem &132 +pos 3 +dimension 20 +uid 936,0 +) +*147 (MRCItem +litem &133 +pos 8 +dimension 20 +uid 938,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 264,0 +optionalChildren [ +*148 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 265,0 +) +*149 (MRCItem +litem &112 +pos 1 +dimension 50 +uid 266,0 +) +*150 (MRCItem +litem &113 +pos 2 +dimension 70 +uid 267,0 +) +*151 (MRCItem +litem &114 +pos 3 +dimension 50 +uid 268,0 +) +*152 (MRCItem +litem &115 +pos 4 +dimension 80 +uid 269,0 +) +*153 (MRCItem +litem &116 +pos 5 +dimension 80 +uid 270,0 +) +*154 (MRCItem +litem &117 +pos 6 +dimension 40 +uid 271,0 +) +*155 (MRCItem +litem &118 +pos 7 +dimension 100 +uid 272,0 +) +*156 (MRCItem +litem &119 +pos 8 +dimension 60 +uid 273,0 +) +*157 (MRCItem +litem &120 +pos 9 +dimension 130 +uid 274,0 +) +*158 (MRCItem +litem &121 +pos 10 +dimension 56 +uid 275,0 +) +*159 (MRCItem +litem &122 +pos 11 +dimension 50 +uid 276,0 +) +*160 (MRCItem +litem &123 +pos 12 +dimension 50 +uid 277,0 +) +*161 (MRCItem +litem &124 +pos 13 +dimension 80 +uid 278,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 259,0 +vaOverrides [ +] +) +] +) +uid 238,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *162 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*163 (RefLabelRowHdr +) +*164 (TitleRowHdr +) +*165 (FilterRowHdr +) +*166 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*167 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*168 (GroupColHdr +tm "GroupColHdrMgr" +) +*169 (NameColHdr +tm "GenericNameColHdrMgr" +) +*170 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*171 (InitColHdr +tm "GenericValueColHdrMgr" +) +*172 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*173 (EolColHdr +tm "GenericEolColHdrMgr" +) +*174 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 162,0 +) +*175 (LogGeneric +generic (GiElement +name "unitCountBitNb" +type "positive" +value "3" +) +uid 237,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 311,0 +optionalChildren [ +*176 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *177 (MRCItem +litem &162 +pos 2 +dimension 20 +) +uid 313,0 +optionalChildren [ +*178 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 314,0 +) +*179 (MRCItem +litem &164 +pos 1 +dimension 23 +uid 315,0 +) +*180 (MRCItem +litem &165 +pos 2 +hidden 1 +dimension 20 +uid 316,0 +) +*181 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 163,0 +) +*182 (MRCItem +litem &175 +pos 1 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 317,0 +optionalChildren [ +*183 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 318,0 +) +*184 (MRCItem +litem &168 +pos 1 +dimension 50 +uid 319,0 +) +*185 (MRCItem +litem &169 +pos 2 +dimension 100 +uid 320,0 +) +*186 (MRCItem +litem &170 +pos 3 +dimension 100 +uid 321,0 +) +*187 (MRCItem +litem &171 +pos 4 +dimension 50 +uid 322,0 +) +*188 (MRCItem +litem &172 +pos 5 +dimension 50 +uid 323,0 +) +*189 (MRCItem +litem &173 +pos 6 +dimension 80 +uid 324,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 312,0 +vaOverrides [ +] +) +] +) +uid 298,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-1600,1000,4500,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-1600,1000,4500,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-900,-600,900,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1150,-1150,2150,2150" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-150,-100,1150,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-1850,2000,4250,3000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2375,875,4375,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,3900,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "1700,1400,1700,1400" +pts [ +"1700,1400" +"1700,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "1700,1800,1700,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-781,-781,781,781" +radius 781 +) +pr (Text +va (VaSet +) +xt "-400,-500,400,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,0" +) +xt "-2425,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,3825,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "Verdana,8,0" +) +xt "1725,625,4125,1625" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,0" +) +xt "-3725,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,3825,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "Verdana,8,0" +) +xt "1725,625,4125,1625" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-975,1575,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-525,-875,1875,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,344,3187,1906" +radius 781 +) +pr (Text +va (VaSet +) +xt "2006,625,2806,1625" +st "1" +ju 0 +blo "2406,1425" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,0" +) +xt "-1925,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "4750,2625,11750,3625" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/05-Morse/Morse/hds/char@to@morse@controller/symbol.sb b/05-Morse/Morse/hds/char@to@morse@controller/symbol.sb new file mode 100644 index 0000000..697fa01 --- /dev/null +++ b/05-Morse/Morse/hds/char@to@morse@controller/symbol.sb @@ -0,0 +1,1933 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2015,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +uid 353,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +uid 462,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +uid 686,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +suid 2013,0 +) +) +uid 688,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +suid 2014,0 +) +) +uid 690,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +suid 2015,0 +) +) +uid 769,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 100,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 354,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 463,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 687,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 689,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 691,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 770,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 432,0 +) +*58 (LogGeneric +generic (GiElement +name "unitCountBitNb" +type "positive" +value "3" +) +uid 692,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *60 (MRCItem +litem &45 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*61 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 134,0 +) +*62 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 136,0 +) +*63 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*64 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 433,0 +) +*65 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 693,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*66 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 140,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 144,0 +) +*68 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 146,0 +) +*69 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 148,0 +) +*70 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 150,0 +) +*71 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 152,0 +) +*72 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/char@to@morse@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/char@to@morse@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/char@to@morse@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/charToMorseController" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorseController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "charToMorseController" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/char@to@morse@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/charToMorseController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "charToMorseController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,9625,56750,10375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "49300,9400,55000,10600" +st "morseOut" +ju 2 +blo "55000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8000,20000,8900" +st "morseOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*75 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "41000,17400,44400,18600" +st "clock" +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8900,20000,9800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*76 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "41000,19400,44300,20600" +st "reset" +blo "41000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,20000,10700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*77 (CptPort +uid 348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 349,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,11625,40000,12375" +) +tg (CPTG +uid 350,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 351,0 +va (VaSet +font "courier,9,0" +) +xt "41000,11400,47500,12300" +st "charNotReady" +blo "41000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 352,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,20000,11600" +st "charNotReady : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*78 (CptPort +uid 457,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 458,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,9625,40000,10375" +) +tg (CPTG +uid 459,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 460,0 +va (VaSet +font "courier,9,0" +) +xt "41000,9400,43900,10600" +st "char" +blo "41000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 461,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,37000,12500" +st "char : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +*79 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 672,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,13625,56750,14375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "47200,13400,55000,14600" +st "startCounter" +ju 2 +blo "55000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,20000,13400" +st "startCounter : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +) +*80 (CptPort +uid 676,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 677,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,15625,56750,16375" +) +tg (CPTG +uid 678,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 679,0 +va (VaSet +font "courier,9,0" +) +xt "51000,15400,55000,16600" +st "unitNb" +ju 2 +blo "55000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 680,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,32500,14300" +st "unitNb : OUT unsigned (unitCountBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +suid 2013,0 +) +) +) +*81 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 717,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,17625,56750,18375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,9,0" +) +xt "47200,17400,55000,18600" +st "counterDone" +ju 2 +blo "55000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,20000,15200" +st "counterDone : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +suid 2014,0 +) +) +) +*82 (CptPort +uid 764,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 840,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,13625,40000,14375" +) +tg (CPTG +uid 766,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 767,0 +va (VaSet +font "courier,9,0" +) +xt "41000,13550,45000,14450" +st "readChar" +blo "41000,14250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 768,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15200,19000,16100" +st "readChar : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,6000,56000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,21800,43100,22700" +st "Morse" +blo "40600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,22700,51600,23600" +st "charToMorseController" +blo "40600,23400" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,25600,54000,29200" +st "Generic Declarations + +characterBitNb positive 8 +unitCountBitNb positive 3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "8" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "67,57,1307,902" +viewArea "-1081,-1081,74510,51171" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16100,2500,17000" +st "User:" +blo "0,16800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17000,2000,17000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 863,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/character@register/symbol.sb b/05-Morse/Morse/hds/character@register/symbol.sb new file mode 100644 index 0000000..4ee3046 --- /dev/null +++ b/05-Morse/Morse/hds/character@register/symbol.sb @@ -0,0 +1,1654 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2015.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2011,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "charValid" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +uid 353,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +uid 462,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 100,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 354,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 463,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 432,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 433,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 146,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 148,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 150,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 152,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/character@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/character@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/character@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/characterRegister" +) +(vvPair +variable "date" +value "05/14/18" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "characterRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "05/14/18" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Athena" +) +(vvPair +variable "graphical_source_time" +value "15:31:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Athena" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "characterRegister" +) +(vvPair +variable "month" +value "May" +) +(vvPair +variable "month_long" +value "May" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/character@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SVN/SEm_labs/Prefs/../Morse/hds/characterRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:31:51" +) +(vvPair +variable "unit" +value "characterRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2015.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2018" +) +(vvPair +variable "yy" +value "18" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,12625,48750,13375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "42200,12400,47000,13600" +st "charOut" +ju 2 +blo "47000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,35500,11900" +st "charOut : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 1 +suid 2007,0 +) +) +) +*65 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "33000,18400,36400,19600" +st "clock" +blo "33000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18500,12800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*66 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "33000,20400,36300,21600" +st "reset" +blo "33000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,18500,13700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*67 (CptPort +uid 348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 349,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,14625,32000,15375" +) +tg (CPTG +uid 350,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 351,0 +va (VaSet +font "courier,9,0" +) +xt "33000,14400,38500,15600" +st "charValid" +blo "33000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 352,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18500,14600" +st "charValid : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "charValid" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*68 (CptPort +uid 457,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 458,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,12625,32000,13375" +) +tg (CPTG +uid 459,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 460,0 +va (VaSet +font "courier,9,0" +) +xt "33000,12400,37000,13600" +st "charIn" +blo "33000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 461,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,34500,15500" +st "charIn : IN std_ulogic_vector (characterBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,9000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22800,35100,23700" +st "Morse" +blo "32600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,23700,41600,24600" +st "characterRegister" +blo "32600,24400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,26600,46000,29300" +st "Generic Declarations + +characterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "99,41,1392,886" +viewArea "-1000,-1000,75254,50901" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15500,2500,16400" +st "User:" +blo "0,16200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,2000,16400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 509,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/envelope@retreiver/symbol.sb b/05-Morse/Morse/hds/envelope@retreiver/symbol.sb new file mode 100644 index 0000000..ae501a5 --- /dev/null +++ b/05-Morse/Morse/hds/envelope@retreiver/symbol.sb @@ -0,0 +1,1609 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2014,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +uid 320,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +uid 322,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "morseWithTone" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 686,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +uid 690,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 321,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 323,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 687,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 691,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "toneDivide" +type "positive" +value "100E3" +) +uid 432,0 +) +*48 (LogGeneric +generic (GiElement +name "deglitchBitNb" +type "positive" +value "8" +) +uid 813,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 433,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 814,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelope@retreiver/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelope@retreiver/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelope@retreiver" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelopeRetreiver" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "envelopeRetreiver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "envelopeRetreiver" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelope@retreiver/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/envelopeRetreiver/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "envelopeRetreiver" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "41000,17400,43500,18300" +st "clock" +blo "41000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,20500,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*65 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "41000,19400,43500,20300" +st "reset" +blo "41000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,20500,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*66 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 741,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,13625,40000,14375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "40000,13550,47000,14450" +st "morseWithTone" +blo "40000,14250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,20500,10500" +st "morseWithTone : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseWithTone" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*67 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 743,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,13625,56750,14375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,9,0" +) +xt "49000,13550,56000,14450" +st "morseEnvelope" +ju 2 +blo "56000,14250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,19500,11400" +st "morseEnvelope : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,10000,56000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,21800,43100,22700" +st "Morse" +blo "40600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,22700,49600,23600" +st "envelopeRetreiver" +blo "40600,23400" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,25600,55500,29200" +st "Generic Declarations + +toneDivide positive 100E3 +deglitchBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "toneDivide" +type "positive" +value "100E3" +) +(GiElement +name "deglitchBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "75,53,1373,898" +viewArea "-1100,-1100,76579,41425" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,6500,6900" +st "Declarations" +blo "0,6700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6900,3000,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,11400,2500,12300" +st "User:" +blo "0,12100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,7500,6900" +st "Internal User:" +blo "0,6700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,2000,12300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 837,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/morse@decoder/struct.bd b/05-Morse/Morse/hds/morse@decoder/struct.bd new file mode 100644 index 0000000..19d1636 --- /dev/null +++ b/05-Morse/Morse/hds/morse@decoder/struct.bd @@ -0,0 +1,5645 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_len" +duLibraryName "Morse" +duName "symbolLengthCounter" +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 1565,0 +) +(Instance +name "I_tx" +duLibraryName "RS232" +duName "serialPortTransmitter" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/uartBaudRate + 0.5)" +) +] +mwi 0 +uid 1973,0 +) +(Instance +name "I_dec" +duLibraryName "Morse" +duName "morseToCharDecoder" +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +(GiElement +name "characterBitNb" +type "positive" +value "uartDataBitNb" +) +] +mwi 0 +uid 2035,0 +) +(Instance +name "I_env" +duLibraryName "Morse" +duName "envelopeRetreiver" +elements [ +(GiElement +name "toneDivide" +type "positive" +value "integer(clockFrequency/toneFrequency + 0.5)" +) +(GiElement +name "deglitchBitNb" +type "positive" +value "deglitchBitNb" +) +] +mwi 0 +uid 2185,0 +) +(Instance +name "I0" +duLibraryName "Memory" +duName "FIFO_bram" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 2356,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@decoder\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@decoder\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@decoder" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morseDecoder" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "08:02:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "morseDecoder" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@decoder\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morseDecoder\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:02:13" +) +(vvPair +variable "unit" +value "morseDecoder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 116,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "10000,15625,11500,16375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "11500,16000,12000,16000" +pts [ +"11500,16000" +"12000,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +) +xt "5600,15500,9000,16700" +st "clock" +ju 2 +blo "9000,16500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,57000,7200,58000" +st "clock : std_ulogic" +) +) +*3 (Net +uid 35,0 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,56000,8600,57000" +st "morseCode : std_ulogic" +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "10000,17625,11500,18375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "11500,18000,12000,18000" +pts [ +"11500,18000" +"12000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +) +xt "5700,17500,9000,18700" +st "reset" +ju 2 +blo "9000,18500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,58000,7200,59000" +st "reset : std_ulogic" +) +) +*6 (Grouping +uid 73,0 +optionalChildren [ +*7 (CommentText +uid 75,0 +shape (Rectangle +uid 76,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,73000,83000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 77,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,73500,66200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 78,0 +shape (Rectangle +uid 79,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,69000,87000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 80,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,69500,83200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,71000,83000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,71500,66200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,71000,66000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 86,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,71500,62200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,70000,103000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,70200,97300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,69000,103000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,69500,87200,69500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,69000,83000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 95,0 +va (VaSet +fg "32768,0,0" +) +xt "67350,69400,77650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,72000,66000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,72500,62200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,73000,66000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,73500,62200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,72000,83000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,72500,66200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 74,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "62000,69000,103000,74000" +) +oxt "14000,66000,55000,71000" +) +*17 (PortIoIn +uid 1148,0 +shape (CompositeShape +uid 1149,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1150,0 +sl 0 +ro 270 +xt "10000,11625,11500,12375" +) +(Line +uid 1151,0 +sl 0 +ro 270 +xt "11500,12000,12000,12000" +pts [ +"11500,12000" +"12000,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1152,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1153,0 +va (VaSet +isHidden 1 +) +xt "2600,11500,9000,12700" +st "morseCode" +ju 2 +blo "9000,12500" +tm "WireNameMgr" +) +) +) +*18 (PortIoOut +uid 1154,0 +shape (CompositeShape +uid 1155,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1156,0 +sl 0 +ro 270 +xt "92500,39625,94000,40375" +) +(Line +uid 1157,0 +sl 0 +ro 270 +xt "92000,40000,92500,40000" +pts [ +"92000,40000" +"92500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1158,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1159,0 +va (VaSet +isHidden 1 +) +xt "95000,39500,97800,40700" +st "TxD" +blo "95000,40500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 1166,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 17,0 +) +declText (MLText +uid 1167,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,59000,7400,60000" +st "TxD : std_ulogic" +) +) +*20 (SaComponent +uid 1565,0 +optionalChildren [ +*21 (CptPort +uid 1541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,15625,44000,16375" +) +tg (CPTG +uid 1543,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1544,0 +va (VaSet +) +xt "45000,15400,48400,16600" +st "clock" +blo "45000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*22 (CptPort +uid 1545,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1546,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,17625,44000,18375" +) +tg (CPTG +uid 1547,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1548,0 +va (VaSet +) +xt "45000,17400,48300,18600" +st "reset" +blo "45000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*23 (CptPort +uid 1549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,11625,44000,12375" +) +tg (CPTG +uid 1551,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1552,0 +va (VaSet +) +xt "45000,11550,51400,12750" +st "morseCode" +blo "45000,12550" +) +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*24 (CptPort +uid 1553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,13625,60750,14375" +) +tg (CPTG +uid 1555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1556,0 +va (VaSet +) +xt "49700,13550,59000,14750" +st "symbolDuration" +ju 2 +blo "59000,14550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +) +*25 (CptPort +uid 1557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,15625,60750,16375" +) +tg (CPTG +uid 1559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1560,0 +va (VaSet +) +xt "51400,15550,59000,16750" +st "symbolValid" +ju 2 +blo "59000,16550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +*26 (CptPort +uid 1561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,11625,60750,12375" +) +tg (CPTG +uid 1563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1564,0 +va (VaSet +) +xt "51100,11550,59000,12750" +st "symbolValue" +ju 2 +blo "59000,12550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +) +] +shape (Rectangle +uid 1566,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,8000,60000,20000" +) +oxt "40000,10000,56000,22000" +ttg (MlTextGroup +uid 1567,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 1568,0 +va (VaSet +font "Verdana,9,1" +) +xt "44600,19800,48100,21000" +st "Morse" +blo "44600,20800" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 1569,0 +va (VaSet +font "Verdana,9,1" +) +xt "44600,20700,56700,21900" +st "symbolLengthCounter" +blo "44600,21700" +tm "CptNameMgr" +) +*29 (Text +uid 1570,0 +va (VaSet +font "Verdana,9,1" +) +xt "44600,21600,47800,22800" +st "I_len" +blo "44600,22600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1571,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1572,0 +text (MLText +uid 1573,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,23600,77800,25600" +st "unitCountDivide = integer(clockFrequency*unitDuration + 0.5) ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +viewicon (ZoomableIcon +uid 1574,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "44250,18250,45750,19750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*30 (Net +uid 1575,0 +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 20,0 +) +declText (MLText +uid 1576,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,65000,11700,66000" +st "SIGNAL symbolValue : std_ulogic" +) +) +*31 (Net +uid 1583,0 +decl (Decl +n "symbolValid" +t "std_ulogic" +o 7 +suid 21,0 +) +declText (MLText +uid 1584,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,66000,11400,67000" +st "SIGNAL symbolValid : std_ulogic" +) +) +*32 (Net +uid 1591,0 +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 8 +suid 22,0 +) +declText (MLText +uid 1592,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,67000,24600,68000" +st "SIGNAL symbolDuration : unsigned(unitCountBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 1727,0 +decl (Decl +n "charValid" +t "std_ulogic" +o 9 +suid 23,0 +) +declText (MLText +uid 1728,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,68000,10800,69000" +st "SIGNAL charValid : std_ulogic" +) +) +*34 (Net +uid 1735,0 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 10 +suid 24,0 +) +declText (MLText +uid 1736,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,69000,26500,70000" +st "SIGNAL charOut : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0)" +) +) +*35 (HdlText +uid 1854,0 +optionalChildren [ +*36 (EmbeddedText +uid 1859,0 +commentText (CommentText +uid 1860,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1861,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "44000,47000,60000,61000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1862,0 +va (VaSet +) +xt "44200,47200,59500,60400" +st " +process(reset, clock) + begin + if reset = '1' then + txSend <= '0'; + elsif rising_edge(clock) then + if ( (txFifoEmpty = '0') and (txBusy = '0') ) then + txSend <= '1'; + else + txSend <= '0'; + end if; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1855,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "44000,46000,60000,62000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1856,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 1857,0 +va (VaSet +) +xt "44400,62000,47000,63200" +st "eb2" +blo "44400,63000" +tm "HdlTextNameMgr" +) +*38 (Text +uid 1858,0 +va (VaSet +) +xt "44400,63000,45800,64200" +st "2" +blo "44400,64000" +tm "HdlTextNumberMgr" +) +] +) +) +*39 (Net +uid 1901,0 +decl (Decl +n "txWord" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 11 +suid 25,0 +) +declText (MLText +uid 1902,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,70000,26600,71000" +st "SIGNAL txWord : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 1903,0 +decl (Decl +n "txBusy" +t "std_ulogic" +o 12 +suid 26,0 +) +declText (MLText +uid 1904,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,71000,10800,72000" +st "SIGNAL txBusy : std_ulogic" +) +) +*41 (Net +uid 1905,0 +decl (Decl +n "txSend" +t "std_ulogic" +o 13 +suid 27,0 +) +declText (MLText +uid 1906,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,72000,10900,73000" +st "SIGNAL txSend : std_ulogic" +) +) +*42 (SaComponent +uid 1973,0 +optionalChildren [ +*43 (CptPort +uid 1949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1950,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,39625,84750,40375" +) +tg (CPTG +uid 1951,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1952,0 +va (VaSet +) +xt "80200,39400,83000,40600" +st "TxD" +ju 2 +blo "83000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*44 (CptPort +uid 1953,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1954,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,47625,68000,48375" +) +tg (CPTG +uid 1955,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1956,0 +va (VaSet +) +xt "69000,47400,72400,48600" +st "clock" +blo "69000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*45 (CptPort +uid 1957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1958,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,49625,68000,50375" +) +tg (CPTG +uid 1959,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1960,0 +va (VaSet +) +xt "69000,49400,72300,50600" +st "reset" +blo "69000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*46 (CptPort +uid 1961,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1962,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,39625,68000,40375" +) +tg (CPTG +uid 1963,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1964,0 +va (VaSet +) +xt "68999,39400,72999,40600" +st "dataIn" +blo "68999,40400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*47 (CptPort +uid 1965,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1966,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,41625,68000,42375" +) +tg (CPTG +uid 1967,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1968,0 +va (VaSet +) +xt "69000,41400,72100,42600" +st "send" +blo "69000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*48 (CptPort +uid 1969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1970,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,43625,68000,44375" +) +tg (CPTG +uid 1971,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1972,0 +va (VaSet +) +xt "69000,43400,72100,44600" +st "busy" +blo "69000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 1974,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,36000,84000,52000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 1975,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1976,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,51800,72300,53000" +st "RS232" +blo "68600,52800" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1977,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,52700,81200,53900" +st "serialPortTransmitter" +blo "68600,53700" +tm "CptNameMgr" +) +*51 (Text +uid 1978,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,53600,71400,54800" +st "I_tx" +blo "68600,54600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1979,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1980,0 +text (MLText +uid 1981,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,54800,102300,56800" +st "dataBitNb = uartDataBitNb ( positive ) +baudRateDivide = integer(clockFrequency/uartBaudRate + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/uartBaudRate + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 1982,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,50250,69750,51750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (Net +uid 1997,0 +decl (Decl +n "txFifoEmpty" +t "std_ulogic" +o 14 +suid 29,0 +) +declText (MLText +uid 1998,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,73000,11500,74000" +st "SIGNAL txFifoEmpty : std_ulogic" +) +) +*53 (SaComponent +uid 2035,0 +optionalChildren [ +*54 (CptPort +uid 2007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2008,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,19625,68000,20375" +) +tg (CPTG +uid 2009,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2010,0 +va (VaSet +) +xt "69000,19400,72400,20600" +st "clock" +blo "69000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*55 (CptPort +uid 2011,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2012,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,21625,68000,22375" +) +tg (CPTG +uid 2013,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2014,0 +va (VaSet +) +xt "69000,21400,72300,22600" +st "reset" +blo "69000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*56 (CptPort +uid 2015,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2016,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,13625,84750,14375" +) +tg (CPTG +uid 2017,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2018,0 +va (VaSet +) +xt "77500,13550,83000,14750" +st "charValid" +ju 2 +blo "83000,14550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "charValid" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*57 (CptPort +uid 2019,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2020,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,13625,68000,14375" +) +tg (CPTG +uid 2021,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2022,0 +va (VaSet +) +xt "69000,13550,78300,14750" +st "symbolDuration" +blo "69000,14550" +) +) +thePort (LogicalPort +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +) +*58 (CptPort +uid 2023,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2024,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,15625,68000,16375" +) +tg (CPTG +uid 2025,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2026,0 +va (VaSet +) +xt "69000,15550,76600,16750" +st "symbolValid" +blo "69000,16550" +) +) +thePort (LogicalPort +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +*59 (CptPort +uid 2027,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2028,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,11625,68000,12375" +) +tg (CPTG +uid 2029,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2030,0 +va (VaSet +) +xt "69000,11550,76900,12750" +st "symbolValue" +blo "69000,12550" +) +) +thePort (LogicalPort +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +) +*60 (CptPort +uid 2031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2032,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,11625,84750,12375" +) +tg (CPTG +uid 2033,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2034,0 +va (VaSet +) +xt "78200,11550,83000,12750" +st "charOut" +ju 2 +blo "83000,12550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 7 +suid 2017,0 +) +) +) +] +shape (Rectangle +uid 2036,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,8000,84000,24000" +) +oxt "39000,14000,55000,30000" +ttg (MlTextGroup +uid 2037,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 2038,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,23800,72100,25000" +st "Morse" +blo "68600,24800" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 2039,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,24700,80200,25900" +st "morseToCharDecoder" +blo "68600,25700" +tm "CptNameMgr" +) +*63 (Text +uid 2040,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,25600,72000,26800" +st "I_dec" +blo "68600,26600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2041,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2042,0 +text (MLText +uid 2043,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,27600,101800,30600" +st "unitCountDivide = integer(clockFrequency*unitDuration + 0.5) ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) +characterBitNb = uartDataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +(GiElement +name "characterBitNb" +type "positive" +value "uartDataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 2044,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,22250,69750,23750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (Net +uid 2053,0 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 30,0 +) +declText (MLText +uid 2054,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,60000,9000,61000" +st "morseEnvelope : std_ulogic" +) +) +*65 (PortIoOut +uid 2055,0 +shape (CompositeShape +uid 2056,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2057,0 +sl 0 +ro 270 +xt "48500,3625,50000,4375" +) +(Line +uid 2058,0 +sl 0 +ro 270 +xt "48000,4000,48500,4000" +pts [ +"48000,4000" +"48500,4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 2059,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2060,0 +va (VaSet +isHidden 1 +) +xt "51000,3500,60100,4700" +st "morseEnvelope" +blo "51000,4500" +tm "WireNameMgr" +) +) +) +*66 (SaComponent +uid 2185,0 +optionalChildren [ +*67 (CptPort +uid 2169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,15625,20000,16375" +) +tg (CPTG +uid 2171,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2172,0 +va (VaSet +) +xt "21000,15400,24400,16600" +st "clock" +blo "21000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*68 (CptPort +uid 2173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,17625,20000,18375" +) +tg (CPTG +uid 2175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2176,0 +va (VaSet +) +xt "21000,17400,24300,18600" +st "reset" +blo "21000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*69 (CptPort +uid 2177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,11625,20000,12375" +) +tg (CPTG +uid 2179,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2180,0 +va (VaSet +) +xt "20000,11550,29200,12750" +st "morseWithTone" +blo "20000,12550" +) +) +thePort (LogicalPort +decl (Decl +n "morseWithTone" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*70 (CptPort +uid 2181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,11625,36750,12375" +) +tg (CPTG +uid 2183,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2184,0 +va (VaSet +) +xt "26900,11550,36000,12750" +st "morseEnvelope" +ju 2 +blo "36000,12550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 2186,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,8000,36000,20000" +) +oxt "40000,10000,56000,22000" +ttg (MlTextGroup +uid 2187,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 2188,0 +va (VaSet +font "Verdana,9,1" +) +xt "20600,19800,24100,21000" +st "Morse" +blo "20600,20800" +tm "BdLibraryNameMgr" +) +*72 (Text +uid 2189,0 +va (VaSet +font "Verdana,9,1" +) +xt "20600,20700,31000,21900" +st "envelopeRetreiver" +blo "20600,21700" +tm "CptNameMgr" +) +*73 (Text +uid 2190,0 +va (VaSet +font "Verdana,9,1" +) +xt "20600,21600,24100,22800" +st "I_env" +blo "20600,22600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2191,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2192,0 +text (MLText +uid 2193,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,23600,53600,25600" +st "toneDivide = integer(clockFrequency/toneFrequency + 0.5) ( positive ) +deglitchBitNb = deglitchBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "toneDivide" +type "positive" +value "integer(clockFrequency/toneFrequency + 0.5)" +) +(GiElement +name "deglitchBitNb" +type "positive" +value "deglitchBitNb" +) +] +) +viewicon (ZoomableIcon +uid 2194,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,18250,21750,19750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*74 (SaComponent +uid 2356,0 +optionalChildren [ +*75 (CptPort +uid 2366,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2367,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,43625,20000,44375" +) +tg (CPTG +uid 2368,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2369,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,43500,23500,44500" +st "write" +blo "21000,44300" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +) +) +) +*76 (CptPort +uid 2370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2371,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,47625,20000,48375" +) +tg (CPTG +uid 2372,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2373,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,47500,23500,48500" +st "clock" +blo "21000,48300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*77 (CptPort +uid 2374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,49625,20000,50375" +) +tg (CPTG +uid 2376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2377,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,49500,23500,50500" +st "reset" +blo "21000,50300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*78 (CptPort +uid 2378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2379,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,39625,36750,40375" +) +tg (CPTG +uid 2380,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2381,0 +va (VaSet +font "Verdana,8,0" +) +xt "31301,39500,35001,40500" +st "dataOut" +ju 2 +blo "35001,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*79 (CptPort +uid 2382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2383,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,43625,36750,44375" +) +tg (CPTG +uid 2384,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2385,0 +va (VaSet +font "Verdana,8,0" +) +xt "32700,43500,35000,44500" +st "read" +ju 2 +blo "35000,44300" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +) +) +) +*80 (CptPort +uid 2386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,39625,20000,40375" +) +tg (CPTG +uid 2388,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2389,0 +va (VaSet +font "Verdana,8,0" +) +xt "20999,39500,24099,40500" +st "dataIn" +blo "20999,40300" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*81 (CptPort +uid 2390,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2391,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,41625,36750,42375" +) +tg (CPTG +uid 2392,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2393,0 +va (VaSet +font "Verdana,8,0" +) +xt "31900,41500,35000,42500" +st "empty" +ju 2 +blo "35000,42300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +) +) +) +*82 (CptPort +uid 2394,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2395,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,41625,20000,42375" +) +tg (CPTG +uid 2396,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2397,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,41500,22700,42500" +st "full" +blo "21000,42300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +) +) +) +] +shape (Rectangle +uid 2357,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20000,36000,36000,52000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 2358,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 2359,0 +va (VaSet +) +xt "20600,51800,25300,53000" +st "Memory" +blo "20600,52800" +tm "BdLibraryNameMgr" +) +*84 (Text +uid 2360,0 +va (VaSet +) +xt "20600,53000,27000,54200" +st "FIFO_bram" +blo "20600,54000" +tm "CptNameMgr" +) +*85 (Text +uid 2361,0 +va (VaSet +) +xt "20600,54200,22500,55400" +st "I0" +blo "20600,55200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2362,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2363,0 +text (MLText +uid 2364,0 +va (VaSet +) +xt "20000,55600,43500,58000" +st "dataBitNb = uartDataBitNb ( positive ) +depth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 2365,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,50250,21750,51750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*86 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "12000,16000,19250,16000" +pts [ +"12000,16000" +"19250,16000" +] +) +start &1 +end &67 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +) +xt "12000,15000,15400,16200" +st "clock" +blo "12000,16000" +tm "WireNameMgr" +) +) +on &2 +) +*87 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "12000,12000,19250,12000" +pts [ +"12000,12000" +"19250,12000" +] +) +start &17 +end &69 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +) +xt "12000,11000,18400,12200" +st "morseCode" +blo "12000,12000" +tm "WireNameMgr" +) +) +on &3 +) +*88 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "12000,18000,19250,18000" +pts [ +"12000,18000" +"19250,18000" +] +) +start &4 +end &68 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +) +xt "12000,17000,15300,18200" +st "reset" +blo "12000,18000" +tm "WireNameMgr" +) +) +on &5 +) +*89 (Wire +uid 1160,0 +shape (OrthoPolyLine +uid 1161,0 +va (VaSet +vasetType 3 +) +xt "84750,40000,92000,40000" +pts [ +"84750,40000" +"92000,40000" +] +) +start &43 +end &18 +ss 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1164,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1165,0 +va (VaSet +) +xt "90000,39000,92800,40200" +st "TxD" +blo "90000,40000" +tm "WireNameMgr" +) +) +on &19 +) +*90 (Wire +uid 1312,0 +optionalChildren [ +*91 (BdJunction +uid 2051,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2052,0 +va (VaSet +vasetType 1 +) +xt "39600,11600,40400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1313,0 +va (VaSet +vasetType 3 +) +xt "36750,12000,43250,12000" +pts [ +"36750,12000" +"43250,12000" +] +) +start &70 +end &23 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1316,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1317,0 +va (VaSet +) +xt "37000,11000,46100,12200" +st "morseEnvelope" +blo "37000,12000" +tm "WireNameMgr" +) +) +on &64 +) +*92 (Wire +uid 1491,0 +shape (OrthoPolyLine +uid 1492,0 +va (VaSet +vasetType 3 +) +xt "40000,16000,43250,16000" +pts [ +"40000,16000" +"43250,16000" +] +) +end &21 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1497,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1498,0 +va (VaSet +) +xt "40000,15000,43400,16200" +st "clock" +blo "40000,16000" +tm "WireNameMgr" +) +) +on &2 +) +*93 (Wire +uid 1499,0 +shape (OrthoPolyLine +uid 1500,0 +va (VaSet +vasetType 3 +) +xt "40000,18000,43250,18000" +pts [ +"40000,18000" +"43250,18000" +] +) +end &22 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1506,0 +va (VaSet +) +xt "40000,17000,43300,18200" +st "reset" +blo "40000,18000" +tm "WireNameMgr" +) +) +on &5 +) +*94 (Wire +uid 1577,0 +shape (OrthoPolyLine +uid 1578,0 +va (VaSet +vasetType 3 +) +xt "60750,12000,67250,12000" +pts [ +"60750,12000" +"67250,12000" +] +) +start &26 +end &59 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1581,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1582,0 +va (VaSet +) +xt "61000,11000,68900,12200" +st "symbolValue" +blo "61000,12000" +tm "WireNameMgr" +) +) +on &30 +) +*95 (Wire +uid 1585,0 +shape (OrthoPolyLine +uid 1586,0 +va (VaSet +vasetType 3 +) +xt "60750,16000,67250,16000" +pts [ +"60750,16000" +"67250,16000" +] +) +start &25 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1589,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1590,0 +va (VaSet +) +xt "61000,15000,68600,16200" +st "symbolValid" +blo "61000,16000" +tm "WireNameMgr" +) +) +on &31 +) +*96 (Wire +uid 1593,0 +shape (OrthoPolyLine +uid 1594,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,14000,67250,14000" +pts [ +"60750,14000" +"67250,14000" +] +) +start &24 +end &57 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1597,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1598,0 +va (VaSet +) +xt "61000,13000,70300,14200" +st "symbolDuration" +blo "61000,14000" +tm "WireNameMgr" +) +) +on &32 +) +*97 (Wire +uid 1711,0 +shape (OrthoPolyLine +uid 1712,0 +va (VaSet +vasetType 3 +) +xt "64000,20000,67250,20000" +pts [ +"64000,20000" +"67250,20000" +] +) +end &54 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1717,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1718,0 +va (VaSet +) +xt "64000,19000,67400,20200" +st "clock" +blo "64000,20000" +tm "WireNameMgr" +) +) +on &2 +) +*98 (Wire +uid 1719,0 +shape (OrthoPolyLine +uid 1720,0 +va (VaSet +vasetType 3 +) +xt "64000,22000,67250,22000" +pts [ +"64000,22000" +"67250,22000" +] +) +end &55 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1725,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1726,0 +va (VaSet +) +xt "64000,21000,67300,22200" +st "reset" +blo "64000,22000" +tm "WireNameMgr" +) +) +on &5 +) +*99 (Wire +uid 1729,0 +shape (OrthoPolyLine +uid 1730,0 +va (VaSet +vasetType 3 +) +xt "84750,14000,92000,14000" +pts [ +"84750,14000" +"92000,14000" +] +) +start &56 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1733,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1734,0 +va (VaSet +) +xt "86750,13000,92250,14200" +st "charValid" +blo "86750,14000" +tm "WireNameMgr" +) +) +on &33 +) +*100 (Wire +uid 1737,0 +shape (OrthoPolyLine +uid 1738,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,12000,92000,12000" +pts [ +"84750,12000" +"92000,12000" +] +) +start &60 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1741,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1742,0 +va (VaSet +) +xt "86750,11000,91550,12200" +st "charOut" +blo "86750,12000" +tm "WireNameMgr" +) +) +on &34 +) +*101 (Wire +uid 1789,0 +shape (OrthoPolyLine +uid 1790,0 +va (VaSet +vasetType 3 +) +xt "13000,44000,19250,44000" +pts [ +"13000,44000" +"19250,44000" +] +) +end &75 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1796,0 +va (VaSet +) +xt "13000,43000,18500,44200" +st "charValid" +blo "13000,44000" +tm "WireNameMgr" +) +) +on &33 +) +*102 (Wire +uid 1797,0 +shape (OrthoPolyLine +uid 1798,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12750,40000,19250,40000" +pts [ +"12750,40000" +"19250,40000" +] +) +end &80 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1803,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1804,0 +va (VaSet +) +xt "13000,39000,17800,40200" +st "charOut" +blo "13000,40000" +tm "WireNameMgr" +) +) +on &34 +) +*103 (Wire +uid 1805,0 +shape (OrthoPolyLine +uid 1806,0 +va (VaSet +vasetType 3 +) +xt "16000,48000,19250,48000" +pts [ +"16000,48000" +"19250,48000" +] +) +end &76 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1811,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1812,0 +va (VaSet +) +xt "16000,47000,19400,48200" +st "clock" +blo "16000,48000" +tm "WireNameMgr" +) +) +on &2 +) +*104 (Wire +uid 1813,0 +shape (OrthoPolyLine +uid 1814,0 +va (VaSet +vasetType 3 +) +xt "16000,50000,19250,50000" +pts [ +"16000,50000" +"19250,50000" +] +) +end &77 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1820,0 +va (VaSet +) +xt "16000,49000,19300,50200" +st "reset" +blo "16000,50000" +tm "WireNameMgr" +) +) +on &5 +) +*105 (Wire +uid 1863,0 +optionalChildren [ +*106 (BdJunction +uid 1987,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1988,0 +va (VaSet +vasetType 1 +) +xt "41600,43600,42400,44400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1864,0 +va (VaSet +vasetType 3 +) +xt "42000,42000,67250,50000" +pts [ +"44000,50000" +"42000,50000" +"42000,42000" +"67250,42000" +] +) +start &35 +end &47 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1867,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1868,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,40700,53200,42100" +st "txSend" +blo "48000,41900" +tm "WireNameMgr" +) +) +on &41 +) +*107 (Wire +uid 1877,0 +shape (OrthoPolyLine +uid 1878,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "36750,40000,67250,40000" +pts [ +"36750,40000" +"67250,40000" +] +) +start &78 +end &46 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1882,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,38700,44400,40100" +st "txWord" +blo "39000,39900" +tm "WireNameMgr" +) +) +on &39 +) +*108 (Wire +uid 1883,0 +shape (OrthoPolyLine +uid 1884,0 +va (VaSet +vasetType 3 +) +xt "64000,50000,67250,50000" +pts [ +"64000,50000" +"67250,50000" +] +) +end &45 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1887,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1888,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,48700,68100,50100" +st "reset" +blo "64000,49900" +tm "WireNameMgr" +) +) +on &5 +) +*109 (Wire +uid 1889,0 +shape (OrthoPolyLine +uid 1890,0 +va (VaSet +vasetType 3 +) +xt "64000,48000,67250,48000" +pts [ +"64000,48000" +"67250,48000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1894,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,46700,67800,48100" +st "clock" +blo "64000,47900" +tm "WireNameMgr" +) +) +on &2 +) +*110 (Wire +uid 1895,0 +shape (OrthoPolyLine +uid 1896,0 +va (VaSet +vasetType 3 +) +xt "60000,44000,67250,50000" +pts [ +"67250,44000" +"62000,44000" +"62000,50000" +"60000,50000" +] +) +start &48 +end &35 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1899,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1900,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,42700,67000,44100" +st "txBusy" +blo "62000,43900" +tm "WireNameMgr" +) +) +on &40 +) +*111 (Wire +uid 1983,0 +shape (OrthoPolyLine +uid 1984,0 +va (VaSet +vasetType 3 +) +xt "36750,44000,42000,44000" +pts [ +"42000,44000" +"36750,44000" +] +) +start &106 +end &79 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1985,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1986,0 +va (VaSet +isHidden 1 +) +xt "38750,43000,42950,44200" +st "txSend" +blo "38750,44000" +tm "WireNameMgr" +) +) +on &41 +) +*112 (Wire +uid 1991,0 +shape (OrthoPolyLine +uid 1992,0 +va (VaSet +vasetType 3 +) +xt "36750,42000,44000,52000" +pts [ +"36750,42000" +"40000,42000" +"40000,52000" +"44000,52000" +] +) +start &81 +end &35 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 1995,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1996,0 +va (VaSet +) +xt "37000,52000,44400,53200" +st "txFifoEmpty" +blo "37000,53000" +tm "WireNameMgr" +) +) +on &52 +) +*113 (Wire +uid 2045,0 +shape (OrthoPolyLine +uid 2046,0 +va (VaSet +vasetType 3 +) +xt "40000,4000,48000,12000" +pts [ +"40000,12000" +"40000,4000" +"48000,4000" +] +) +start &91 +end &65 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +) +xt "40000,3000,49100,4200" +st "morseEnvelope" +blo "40000,4000" +tm "WireNameMgr" +) +) +on &64 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *114 (PackageList +uid 105,0 +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 106,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,0,900,1000" +st "Package List" +blo "-6000,800" +) +*116 (MLText +uid 107,0 +va (VaSet +) +xt "-6000,1000,11500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 108,0 +stg "VerticalLayoutStrategy" +textVec [ +*117 (Text +uid 109,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*118 (Text +uid 110,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*119 (MLText +uid 111,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*120 (Text +uid 112,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*121 (MLText +uid 113,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*122 (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*123 (MLText +uid 115,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-7600,-1600,134612,76352" +cachedDiagramExtent "-6000,0,103000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +lastUid 2503,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*125 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*126 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*128 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*129 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*131 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*132 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*134 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*135 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*137 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*138 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*140 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*142 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*144 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,54000,1000,55000" +st "Declarations" +blo "-6000,54800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,55000,-2600,56000" +st "Ports:" +blo "-6000,55800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,61000,-1200,62000" +st "Pre User:" +blo "-6000,61800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-4000,62000,13600,64000" +st "constant unitCountBitNb: positive := 3; +constant fifoDepth : positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,64000,3000,65000" +st "Diagram Signals:" +blo "-6000,64800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-6000,54000,0,55000" +st "Post User:" +blo "-6000,54800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-6000,54000,-6000,54000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 30,0 +usingSuid 1 +emptyRow *145 (LEmptyRow +) +uid 118,0 +optionalChildren [ +*146 (RefLabelRowHdr +) +*147 (TitleRowHdr +) +*148 (FilterRowHdr +) +*149 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*150 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*151 (GroupColHdr +tm "GroupColHdrMgr" +) +*152 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*153 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*154 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*155 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*156 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*157 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*158 (LeafLogPort +port (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 65,0 +) +*159 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 67,0 +) +*160 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 69,0 +) +*161 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 17,0 +) +) +uid 1147,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 20,0 +) +) +uid 1599,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "symbolValid" +t "std_ulogic" +o 7 +suid 21,0 +) +) +uid 1601,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 8 +suid 22,0 +) +) +uid 1603,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "charValid" +t "std_ulogic" +o 9 +suid 23,0 +) +) +uid 1743,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 10 +suid 24,0 +) +) +uid 1745,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txWord" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 11 +suid 25,0 +) +) +uid 1999,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txBusy" +t "std_ulogic" +o 12 +suid 26,0 +) +) +uid 2001,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txSend" +t "std_ulogic" +o 13 +suid 27,0 +) +) +uid 2003,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txFifoEmpty" +t "std_ulogic" +o 14 +suid 29,0 +) +) +uid 2005,0 +) +*171 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 30,0 +) +) +uid 2061,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 131,0 +optionalChildren [ +*172 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *173 (MRCItem +litem &145 +pos 14 +dimension 20 +) +uid 133,0 +optionalChildren [ +*174 (MRCItem +litem &146 +pos 0 +dimension 20 +uid 134,0 +) +*175 (MRCItem +litem &147 +pos 1 +dimension 23 +uid 135,0 +) +*176 (MRCItem +litem &148 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*177 (MRCItem +litem &158 +pos 0 +dimension 20 +uid 66,0 +) +*178 (MRCItem +litem &159 +pos 1 +dimension 20 +uid 68,0 +) +*179 (MRCItem +litem &160 +pos 2 +dimension 20 +uid 70,0 +) +*180 (MRCItem +litem &161 +pos 3 +dimension 20 +uid 1146,0 +) +*181 (MRCItem +litem &162 +pos 5 +dimension 20 +uid 1600,0 +) +*182 (MRCItem +litem &163 +pos 6 +dimension 20 +uid 1602,0 +) +*183 (MRCItem +litem &164 +pos 7 +dimension 20 +uid 1604,0 +) +*184 (MRCItem +litem &165 +pos 8 +dimension 20 +uid 1744,0 +) +*185 (MRCItem +litem &166 +pos 9 +dimension 20 +uid 1746,0 +) +*186 (MRCItem +litem &167 +pos 10 +dimension 20 +uid 2000,0 +) +*187 (MRCItem +litem &168 +pos 11 +dimension 20 +uid 2002,0 +) +*188 (MRCItem +litem &169 +pos 12 +dimension 20 +uid 2004,0 +) +*189 (MRCItem +litem &170 +pos 13 +dimension 20 +uid 2006,0 +) +*190 (MRCItem +litem &171 +pos 4 +dimension 20 +uid 2062,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*191 (MRCItem +litem &149 +pos 0 +dimension 20 +uid 138,0 +) +*192 (MRCItem +litem &151 +pos 1 +dimension 50 +uid 139,0 +) +*193 (MRCItem +litem &152 +pos 2 +dimension 100 +uid 140,0 +) +*194 (MRCItem +litem &153 +pos 3 +dimension 50 +uid 141,0 +) +*195 (MRCItem +litem &154 +pos 4 +dimension 100 +uid 142,0 +) +*196 (MRCItem +litem &155 +pos 5 +dimension 100 +uid 143,0 +) +*197 (MRCItem +litem &156 +pos 6 +dimension 50 +uid 144,0 +) +*198 (MRCItem +litem &157 +pos 7 +dimension 80 +uid 145,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 132,0 +vaOverrides [ +] +) +] +) +uid 117,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *199 (LEmptyRow +) +uid 147,0 +optionalChildren [ +*200 (RefLabelRowHdr +) +*201 (TitleRowHdr +) +*202 (FilterRowHdr +) +*203 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*204 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*205 (GroupColHdr +tm "GroupColHdrMgr" +) +*206 (NameColHdr +tm "GenericNameColHdrMgr" +) +*207 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*208 (InitColHdr +tm "GenericValueColHdrMgr" +) +*209 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*210 (EolColHdr +tm "GenericEolColHdrMgr" +) +*211 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 257,0 +) +*212 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +uid 259,0 +) +*213 (LogGeneric +generic (GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +uid 261,0 +) +*214 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +uid 321,0 +) +*215 (LogGeneric +generic (GiElement +name "toneFrequency" +type "real" +value "300.0" +) +uid 808,0 +) +*216 (LogGeneric +generic (GiElement +name "deglitchBitNb" +type "natural" +value "8" +) +uid 2249,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 159,0 +optionalChildren [ +*217 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *218 (MRCItem +litem &199 +pos 6 +dimension 20 +) +uid 161,0 +optionalChildren [ +*219 (MRCItem +litem &200 +pos 0 +dimension 20 +uid 162,0 +) +*220 (MRCItem +litem &201 +pos 1 +dimension 23 +uid 163,0 +) +*221 (MRCItem +litem &202 +pos 2 +hidden 1 +dimension 20 +uid 164,0 +) +*222 (MRCItem +litem &211 +pos 0 +dimension 20 +uid 256,0 +) +*223 (MRCItem +litem &212 +pos 1 +dimension 20 +uid 258,0 +) +*224 (MRCItem +litem &213 +pos 3 +dimension 20 +uid 260,0 +) +*225 (MRCItem +litem &214 +pos 2 +dimension 20 +uid 320,0 +) +*226 (MRCItem +litem &215 +pos 4 +dimension 20 +uid 807,0 +) +*227 (MRCItem +litem &216 +pos 5 +dimension 20 +uid 2248,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 165,0 +optionalChildren [ +*228 (MRCItem +litem &203 +pos 0 +dimension 20 +uid 166,0 +) +*229 (MRCItem +litem &205 +pos 1 +dimension 50 +uid 167,0 +) +*230 (MRCItem +litem &206 +pos 2 +dimension 100 +uid 168,0 +) +*231 (MRCItem +litem &207 +pos 3 +dimension 100 +uid 169,0 +) +*232 (MRCItem +litem &208 +pos 4 +dimension 50 +uid 170,0 +) +*233 (MRCItem +litem &209 +pos 5 +dimension 50 +uid 171,0 +) +*234 (MRCItem +litem &210 +pos 6 +dimension 80 +uid 172,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 146,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse/hds/morse@decoder/symbol.sb b/05-Morse/Morse/hds/morse@decoder/symbol.sb new file mode 100644 index 0000000..24093a0 --- /dev/null +++ b/05-Morse/Morse/hds/morse@decoder/symbol.sb @@ -0,0 +1,1759 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2011,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +uid 353,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 2011,0 +) +) +uid 623,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 100,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 354,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 622,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 403,0 +) +*50 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +uid 405,0 +) +*51 (LogGeneric +generic (GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +uid 407,0 +) +*52 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +uid 432,0 +) +*53 (LogGeneric +generic (GiElement +name "toneFrequency" +type "real" +value "300.0" +) +uid 457,0 +) +*54 (LogGeneric +generic (GiElement +name "deglitchBitNb" +type "natural" +value "8" +) +uid 698,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &37 +pos 6 +dimension 20 +) +uid 131,0 +optionalChildren [ +*57 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 134,0 +) +*58 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 136,0 +) +*59 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*60 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 404,0 +) +*61 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 406,0 +) +*62 (MRCItem +litem &51 +pos 3 +dimension 20 +uid 408,0 +) +*63 (MRCItem +litem &52 +pos 2 +dimension 20 +uid 433,0 +) +*64 (MRCItem +litem &53 +pos 4 +dimension 20 +uid 458,0 +) +*65 (MRCItem +litem &54 +pos 5 +dimension 20 +uid 699,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*66 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 140,0 +) +*67 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*68 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 146,0 +) +*69 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 148,0 +) +*70 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 150,0 +) +*71 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 152,0 +) +*72 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@decoder/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@decoder/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@decoder" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseDecoder" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "morseDecoder" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@decoder/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseDecoder/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "morseDecoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,14625,32000,15375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "33000,14700,37500,15600" +st "morseCode" +blo "33000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,20500,11700" +st "morseCode : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*75 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "33000,18400,35500,19300" +st "clock" +blo "33000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,20500,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*76 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "33000,20400,35500,21300" +st "reset" +blo "33000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,20500,13500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*77 (CptPort +uid 348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 529,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,14625,48750,15375" +) +tg (CPTG +uid 350,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 351,0 +va (VaSet +font "courier,9,0" +) +xt "45500,14700,47000,15600" +st "TxD" +ju 2 +blo "47000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 352,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,20500,14400" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*78 (CptPort +uid 624,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 625,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,18625,48750,19375" +) +tg (CPTG +uid 626,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 627,0 +va (VaSet +font "courier,8,0" +) +xt "40000,18550,47000,19450" +st "morseEnvelope" +ju 2 +blo "47000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 628,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,19500,15300" +st "morseEnvelope : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,11000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22800,35100,23700" +st "Morse" +blo "32600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,23700,39100,24600" +st "morseDecoder" +blo "32600,24400" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,26600,49500,33800" +st "Generic Declarations + +clockFrequency real 100.0E6 +uartBaudRate real 115.2E3 +uartDataBitNb positive 8 +unitDuration real 100.0E-3 +toneFrequency real 300.0 +deglitchBitNb natural 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +(GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +(GiElement +name "toneFrequency" +type "real" +value "300.0" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "389,72,1436,880" +viewArea "-1100,-1100,63712,41008" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 722,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/morse@encoder/struct.bd b/05-Morse/Morse/hds/morse@encoder/struct.bd new file mode 100644 index 0000000..c8c13d9 --- /dev/null +++ b/05-Morse/Morse/hds/morse@encoder/struct.bd @@ -0,0 +1,4641 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_UART" +duLibraryName "RS232" +duName "serialPortReceiver" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/uartBaudRate + 0.5)" +) +] +mwi 0 +uid 193,0 +) +(Instance +name "I_tone" +duLibraryName "Morse" +duName "toneGenerator" +elements [ +(GiElement +name "toneDivide" +type "positive" +value "integer(clockFrequency/toneFrequency + 0.5)" +) +] +mwi 0 +uid 756,0 +) +(Instance +name "I_enc" +duLibraryName "Morse" +duName "charToMorse" +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +] +mwi 0 +uid 1073,0 +) +(Instance +name "I_FIFO" +duLibraryName "Memory" +duName "FIFO_bram" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 1764,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@encoder\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@encoder\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@encoder" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morseEncoder" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseEncoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:20" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morseEncoder" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morse@encoder\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse\\hds\\morseEncoder\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:50:20" +) +(vvPair +variable "unit" +value "morseEncoder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 116,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-3000,33625,-1500,34375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-1500,34000,-1000,34000" +pts [ +"-1500,34000" +"-1000,34000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +) +xt "-7400,33500,-4000,34700" +st "clock" +ju 2 +blo "-4000,34500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-4000,9000,9700,10200" +st "clock : std_ulogic" +) +) +*3 (Net +uid 35,0 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-4000,7800,11500,9000" +st "morseCode : std_ulogic" +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-3000,35625,-1500,36375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-1500,36000,-1000,36000" +pts [ +"-1500,36000" +"-1000,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +) +xt "-7300,35500,-4000,36700" +st "reset" +ju 2 +blo "-4000,36500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-4000,10200,9600,11400" +st "reset : std_ulogic" +) +) +*6 (Grouping +uid 73,0 +optionalChildren [ +*7 (CommentText +uid 75,0 +shape (Rectangle +uid 76,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,73000,83000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 77,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,73500,66200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 78,0 +shape (Rectangle +uid 79,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,69000,87000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 80,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,69500,83200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,71000,83000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,71500,66200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,71000,66000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 86,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,71500,62200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,70000,103000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,70200,97300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,69000,103000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,69500,87200,69500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,69000,83000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 95,0 +va (VaSet +fg "32768,0,0" +) +xt "67350,69400,77650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,72000,66000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,72500,62200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,73000,66000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,73500,62200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,72000,83000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,72500,66200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 74,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "62000,69000,103000,74000" +) +oxt "14000,66000,55000,71000" +) +*17 (SaComponent +uid 193,0 +optionalChildren [ +*18 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,29625,7000,30375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "8000,29400,10800,30600" +st "RxD" +blo "8000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*19 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,33625,7000,34375" +) +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 180,0 +va (VaSet +) +xt "8000,33400,11400,34600" +st "clock" +blo "8000,34400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*20 (CptPort +uid 181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,35625,7000,36375" +) +tg (CPTG +uid 183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 184,0 +va (VaSet +) +xt "8000,35400,11300,36600" +st "reset" +blo "8000,36400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,29625,23750,30375" +) +tg (CPTG +uid 187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 188,0 +va (VaSet +) +xt "17201,29400,22001,30600" +st "dataOut" +ju 2 +blo "22001,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,31625,23750,32375" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 192,0 +va (VaSet +) +xt "16500,31400,22000,32600" +st "dataValid" +ju 2 +blo "22000,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "7000,26000,23000,38000" +) +oxt "34000,16000,50000,28000" +ttg (MlTextGroup +uid 195,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 196,0 +va (VaSet +font "Verdana,9,1" +) +xt "7600,37800,11300,39000" +st "RS232" +blo "7600,38800" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 197,0 +va (VaSet +font "Verdana,9,1" +) +xt "7600,39000,18000,40200" +st "serialPortReceiver" +blo "7600,40000" +tm "CptNameMgr" +) +*25 (Text +uid 198,0 +va (VaSet +font "Verdana,9,1" +) +xt "7600,40200,12100,41400" +st "I_UART" +blo "7600,41200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 199,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 200,0 +text (MLText +uid 201,0 +va (VaSet +) +xt "7000,41400,48600,43800" +st "dataBitNb = uartDataBitNb ( positive ) +baudRateDivide = integer(clockFrequency/uartBaudRate + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/uartBaudRate + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,36250,8750,37750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*26 (Net +uid 351,0 +decl (Decl +n "characterIn" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +declText (MLText +uid 352,0 +va (VaSet +) +xt "-4000,17000,35600,18200" +st "SIGNAL characterIn : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 353,0 +decl (Decl +n "characterValid" +t "std_ulogic" +o 7 +suid 10,0 +) +declText (MLText +uid 354,0 +va (VaSet +) +xt "-4000,18200,16200,19400" +st "SIGNAL characterValid : std_ulogic" +) +) +*28 (Net +uid 435,0 +decl (Decl +n "characterReg" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 5 +suid 11,0 +) +declText (MLText +uid 436,0 +va (VaSet +) +xt "-4000,15800,36100,17000" +st "SIGNAL characterReg : std_ulogic_vector(uartDataBitNb-1 DOWNTO 0)" +) +) +*29 (HdlText +uid 714,0 +optionalChildren [ +*30 (EmbeddedText +uid 728,0 +commentText (CommentText +uid 729,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 730,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "79000,27000,87000,33000" +) +oxt "0,0,18000,5000" +text (MLText +uid 731,0 +va (VaSet +) +xt "79200,27200,86600,32000" +st " +morseCode <= morseOut and tone; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 8000 +) +) +) +] +shape (Rectangle +uid 715,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "79000,26000,87000,34000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 716,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 717,0 +va (VaSet +font "Verdana,8,1" +) +xt "79150,34000,81450,35000" +st "eb1" +blo "79150,34800" +tm "HdlTextNameMgr" +) +*32 (Text +uid 718,0 +va (VaSet +font "Verdana,8,1" +) +xt "79150,35000,80350,36000" +st "1" +blo "79150,35800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 719,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "79250,32250,80750,33750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*33 (Net +uid 720,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 12,0 +) +declText (MLText +uid 721,0 +va (VaSet +) +xt "-4000,19400,15600,20600" +st "SIGNAL morseOut : std_ulogic" +) +) +*34 (Net +uid 732,0 +decl (Decl +n "tone" +t "std_ulogic" +o 9 +suid 13,0 +) +declText (MLText +uid 733,0 +va (VaSet +) +xt "-4000,20600,14000,21800" +st "SIGNAL tone : std_ulogic" +) +) +*35 (SaComponent +uid 756,0 +optionalChildren [ +*36 (CptPort +uid 744,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 745,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,53625,71750,54375" +) +tg (CPTG +uid 746,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 747,0 +va (VaSet +) +xt "67100,53400,70000,54600" +st "tone" +ju 2 +blo "70000,54400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "tone" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*37 (CptPort +uid 748,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 749,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,53625,55000,54375" +) +tg (CPTG +uid 750,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 751,0 +va (VaSet +) +xt "56000,53400,59400,54600" +st "clock" +blo "56000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*38 (CptPort +uid 752,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,55625,55000,56375" +) +tg (CPTG +uid 754,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 755,0 +va (VaSet +) +xt "56000,55400,59300,56600" +st "reset" +blo "56000,56400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 757,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,50000,71000,58000" +) +oxt "42000,20000,58000,28000" +ttg (MlTextGroup +uid 758,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 759,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,57800,59100,59000" +st "Morse" +blo "55600,58800" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 760,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,59000,64100,60200" +st "toneGenerator" +blo "55600,60000" +tm "CptNameMgr" +) +*41 (Text +uid 761,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,60200,59600,61400" +st "I_tone" +blo "55600,61200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 762,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 763,0 +text (MLText +uid 764,0 +va (VaSet +) +xt "55000,61600,94700,62800" +st "toneDivide = integer(clockFrequency/toneFrequency + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "toneDivide" +type "positive" +value "integer(clockFrequency/toneFrequency + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 765,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "55250,56250,56750,57750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 1073,0 +optionalChildren [ +*43 (CptPort +uid 1049,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1050,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,29625,71750,30375" +) +tg (CPTG +uid 1051,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1052,0 +va (VaSet +) +xt "64300,29400,70000,30600" +st "morseOut" +ju 2 +blo "70000,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*44 (CptPort +uid 1053,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1054,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,37625,55000,38375" +) +tg (CPTG +uid 1055,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1056,0 +va (VaSet +) +xt "56000,37400,59400,38600" +st "clock" +blo "56000,38400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*45 (CptPort +uid 1057,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1058,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,39625,55000,40375" +) +tg (CPTG +uid 1059,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1060,0 +va (VaSet +) +xt "56000,39400,59300,40600" +st "reset" +blo "56000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*46 (CptPort +uid 1061,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,31625,55000,32375" +) +tg (CPTG +uid 1063,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1064,0 +va (VaSet +) +xt "56000,31400,64500,32600" +st "charNotReady" +blo "56000,32400" +) +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*47 (CptPort +uid 1065,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1066,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,29625,55000,30375" +) +tg (CPTG +uid 1067,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1068,0 +va (VaSet +) +xt "56000,29400,60000,30600" +st "charIn" +blo "56000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "charIn" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +*48 (CptPort +uid 1069,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1070,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,33625,55000,34375" +) +tg (CPTG +uid 1071,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1072,0 +va (VaSet +) +xt "56000,33550,61300,34750" +st "readChar" +blo "56000,34550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 1074,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,26000,71000,42000" +) +oxt "42000,12000,58000,28000" +ttg (MlTextGroup +uid 1075,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1076,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,41800,59100,43000" +st "Morse" +blo "55600,42800" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1077,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,42700,63000,43900" +st "charToMorse" +blo "55600,43700" +tm "CptNameMgr" +) +*51 (Text +uid 1078,0 +va (VaSet +font "Verdana,9,1" +) +xt "55600,43600,59000,44800" +st "I_enc" +blo "55600,44600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1079,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1080,0 +text (MLText +uid 1081,0 +va (VaSet +) +xt "55000,45600,96500,48000" +st "characterBitNb = uartDataBitNb ( positive ) +unitCountDivide = integer(clockFrequency*unitDuration + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 1082,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "55250,40250,56750,41750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (Net +uid 1089,0 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 10 +suid 15,0 +) +declText (MLText +uid 1090,0 +va (VaSet +) +xt "-4000,21800,16500,23000" +st "SIGNAL charNotReady : std_ulogic" +) +) +*53 (Net +uid 1095,0 +decl (Decl +n "readChar" +t "std_ulogic" +o 11 +suid 16,0 +) +declText (MLText +uid 1096,0 +va (VaSet +) +xt "-4000,23000,15200,24200" +st "SIGNAL readChar : std_ulogic" +) +) +*54 (PortIoOut +uid 1213,0 +shape (CompositeShape +uid 1214,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1215,0 +sl 0 +ro 270 +xt "95500,29625,97000,30375" +) +(Line +uid 1216,0 +sl 0 +ro 270 +xt "95000,30000,95500,30000" +pts [ +"95000,30000" +"95500,30000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1217,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1218,0 +va (VaSet +) +xt "98000,29500,104400,30700" +st "morseCode" +blo "98000,30500" +tm "WireNameMgr" +) +) +) +*55 (Net +uid 1556,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 18,0 +) +declText (MLText +uid 1557,0 +va (VaSet +) +xt "-4000,11400,9700,12600" +st "RxD : std_ulogic" +) +) +*56 (PortIoIn +uid 1601,0 +shape (CompositeShape +uid 1602,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1603,0 +sl 0 +ro 270 +xt "-3000,29625,-1500,30375" +) +(Line +uid 1604,0 +sl 0 +ro 270 +xt "-1500,30000,-1000,30000" +pts [ +"-1500,30000" +"-1000,30000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1605,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1606,0 +va (VaSet +isHidden 1 +) +xt "-6800,29500,-4000,30700" +st "RxD" +ju 2 +blo "-4000,30500" +tm "WireNameMgr" +) +) +) +*57 (SaComponent +uid 1764,0 +optionalChildren [ +*58 (CptPort +uid 1732,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1733,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,33625,31000,34375" +) +tg (CPTG +uid 1734,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1735,0 +va (VaSet +) +xt "32000,33400,35100,34600" +st "write" +blo "32000,34400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 1736,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1737,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,37625,31000,38375" +) +tg (CPTG +uid 1738,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1739,0 +va (VaSet +) +xt "32000,37400,35400,38600" +st "clock" +blo "32000,38400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*60 (CptPort +uid 1740,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1741,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,39625,31000,40375" +) +tg (CPTG +uid 1742,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1743,0 +va (VaSet +) +xt "32000,39400,35300,40600" +st "reset" +blo "32000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*61 (CptPort +uid 1744,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1745,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,29625,47750,30375" +) +tg (CPTG +uid 1746,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1747,0 +va (VaSet +) +xt "41201,29400,46001,30600" +st "dataOut" +ju 2 +blo "46001,30400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*62 (CptPort +uid 1748,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1749,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,33625,47750,34375" +) +tg (CPTG +uid 1750,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1751,0 +va (VaSet +) +xt "43100,33400,46000,34600" +st "read" +ju 2 +blo "46000,34400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*63 (CptPort +uid 1752,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,29625,31000,30375" +) +tg (CPTG +uid 1754,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1755,0 +va (VaSet +) +xt "31999,29400,35999,30600" +st "dataIn" +blo "31999,30400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*64 (CptPort +uid 1756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,31625,47750,32375" +) +tg (CPTG +uid 1758,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1759,0 +va (VaSet +) +xt "42200,31400,46000,32600" +st "empty" +ju 2 +blo "46000,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*65 (CptPort +uid 1760,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1761,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,31625,31000,32375" +) +tg (CPTG +uid 1762,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1763,0 +va (VaSet +) +xt "32000,31400,34200,32600" +st "full" +blo "32000,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1765,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,26000,47000,42000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 1766,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 1767,0 +va (VaSet +) +xt "31600,41800,36300,43000" +st "Memory" +blo "31600,42800" +tm "BdLibraryNameMgr" +) +*67 (Text +uid 1768,0 +va (VaSet +) +xt "31600,42800,38000,44000" +st "FIFO_bram" +blo "31600,43800" +tm "CptNameMgr" +) +*68 (Text +uid 1769,0 +va (VaSet +) +xt "31600,43800,36000,45000" +st "I_FIFO" +blo "31600,44800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1770,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1771,0 +text (MLText +uid 1772,0 +va (VaSet +) +xt "31000,46000,54500,48400" +st "dataBitNb = uartDataBitNb ( positive ) +depth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 1773,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "31250,40250,32750,41750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*69 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "-1000,34000,6250,34000" +pts [ +"-1000,34000" +"6250,34000" +] +) +start &1 +end &19 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +) +xt "-1000,33000,2400,34200" +st "clock" +blo "-1000,34000" +tm "WireNameMgr" +) +) +on &2 +) +*70 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "87000,30000,95000,30000" +pts [ +"95000,30000" +"87000,30000" +] +) +start &54 +end &29 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +) +xt "91000,29000,97400,30200" +st "morseCode" +blo "91000,30000" +tm "WireNameMgr" +) +) +on &3 +) +*71 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "-1000,36000,6250,36000" +pts [ +"-1000,36000" +"6250,36000" +] +) +start &4 +end &20 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +) +xt "-1000,35000,2300,36200" +st "reset" +blo "-1000,36000" +tm "WireNameMgr" +) +) +on &5 +) +*72 (Wire +uid 205,0 +shape (OrthoPolyLine +uid 206,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23750,30000,30250,30000" +pts [ +"23750,30000" +"30250,30000" +] +) +start &21 +end &63 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 209,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +) +xt "24750,29000,32050,30200" +st "characterIn" +blo "24750,30000" +tm "WireNameMgr" +) +) +on &26 +) +*73 (Wire +uid 213,0 +shape (OrthoPolyLine +uid 214,0 +va (VaSet +vasetType 3 +) +xt "23750,32000,30250,34000" +pts [ +"23750,32000" +"27000,32000" +"27000,34000" +"30250,34000" +] +) +start &22 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +) +xt "24000,33000,32800,34200" +st "characterValid" +blo "24000,34000" +tm "WireNameMgr" +) +) +on &27 +) +*74 (Wire +uid 411,0 +shape (OrthoPolyLine +uid 412,0 +va (VaSet +vasetType 3 +) +xt "27000,40000,30250,40000" +pts [ +"27000,40000" +"30250,40000" +] +) +end &60 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 418,0 +va (VaSet +) +xt "27000,39000,30300,40200" +st "reset" +blo "27000,40000" +tm "WireNameMgr" +) +) +on &5 +) +*75 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "27000,38000,30250,38000" +pts [ +"27000,38000" +"30250,38000" +] +) +end &59 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +) +xt "27000,37000,30400,38200" +st "clock" +blo "27000,38000" +tm "WireNameMgr" +) +) +on &2 +) +*76 (Wire +uid 427,0 +shape (OrthoPolyLine +uid 428,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47750,30000,54250,30000" +pts [ +"47750,30000" +"54250,30000" +] +) +start &61 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 433,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +) +xt "48000,29000,56100,30200" +st "characterReg" +blo "48000,30000" +tm "WireNameMgr" +) +) +on &28 +) +*77 (Wire +uid 509,0 +shape (OrthoPolyLine +uid 510,0 +va (VaSet +vasetType 3 +) +xt "51000,40000,54250,40000" +pts [ +"51000,40000" +"54250,40000" +] +) +end &45 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 515,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 516,0 +va (VaSet +) +xt "51000,39000,54300,40200" +st "reset" +blo "51000,40000" +tm "WireNameMgr" +) +) +on &5 +) +*78 (Wire +uid 517,0 +shape (OrthoPolyLine +uid 518,0 +va (VaSet +vasetType 3 +) +xt "51000,38000,54250,38000" +pts [ +"51000,38000" +"54250,38000" +] +) +end &44 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 523,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 524,0 +va (VaSet +) +xt "51000,37000,54400,38200" +st "clock" +blo "51000,38000" +tm "WireNameMgr" +) +) +on &2 +) +*79 (Wire +uid 646,0 +shape (OrthoPolyLine +uid 647,0 +va (VaSet +vasetType 3 +) +xt "51000,56000,54250,56000" +pts [ +"51000,56000" +"54250,56000" +] +) +end &38 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 653,0 +va (VaSet +) +xt "51000,55000,54300,56200" +st "reset" +blo "51000,56000" +tm "WireNameMgr" +) +) +on &5 +) +*80 (Wire +uid 654,0 +shape (OrthoPolyLine +uid 655,0 +va (VaSet +vasetType 3 +) +xt "51000,54000,54250,54000" +pts [ +"51000,54000" +"54250,54000" +] +) +end &37 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 661,0 +va (VaSet +) +xt "51000,53000,54400,54200" +st "clock" +blo "51000,54000" +tm "WireNameMgr" +) +) +on &2 +) +*81 (Wire +uid 722,0 +shape (OrthoPolyLine +uid 723,0 +va (VaSet +vasetType 3 +) +xt "71750,30000,79000,30000" +pts [ +"71750,30000" +"79000,30000" +] +) +start &43 +end &29 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 727,0 +va (VaSet +) +xt "73750,29000,79450,30200" +st "morseOut" +blo "73750,30000" +tm "WireNameMgr" +) +) +on &33 +) +*82 (Wire +uid 734,0 +shape (OrthoPolyLine +uid 735,0 +va (VaSet +vasetType 3 +) +xt "71750,32000,79000,54000" +pts [ +"71750,54000" +"75000,54000" +"75000,32000" +"79000,32000" +] +) +start &36 +end &29 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 738,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 739,0 +va (VaSet +) +xt "76000,31000,78900,32200" +st "tone" +blo "76000,32000" +tm "WireNameMgr" +) +) +on &34 +) +*83 (Wire +uid 1091,0 +shape (OrthoPolyLine +uid 1092,0 +va (VaSet +vasetType 3 +) +xt "47750,32000,54250,32000" +pts [ +"54250,32000" +"47750,32000" +] +) +start &46 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1093,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1094,0 +va (VaSet +) +xt "48000,31000,56500,32200" +st "charNotReady" +blo "48000,32000" +tm "WireNameMgr" +) +) +on &52 +) +*84 (Wire +uid 1097,0 +shape (OrthoPolyLine +uid 1098,0 +va (VaSet +vasetType 3 +) +xt "47750,34000,54250,34000" +pts [ +"54250,34000" +"47750,34000" +] +) +start &48 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1099,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1100,0 +va (VaSet +) +xt "48250,33000,53550,34200" +st "readChar" +blo "48250,34000" +tm "WireNameMgr" +) +) +on &53 +) +*85 (Wire +uid 1550,0 +shape (OrthoPolyLine +uid 1551,0 +va (VaSet +vasetType 3 +) +xt "-1000,30000,6250,30000" +pts [ +"6250,30000" +"-1000,30000" +] +) +start &18 +end &56 +ss 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1555,0 +va (VaSet +) +xt "-1000,29000,1800,30200" +st "RxD" +blo "-1000,30000" +tm "WireNameMgr" +) +) +on &55 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 105,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 106,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,0,900,1000" +st "Package List" +blo "-6000,800" +) +*88 (MLText +uid 107,0 +va (VaSet +) +xt "-6000,1000,11500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 108,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 109,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*90 (Text +uid 110,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*91 (MLText +uid 111,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*92 (Text +uid 112,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*93 (MLText +uid 113,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*94 (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*95 (MLText +uid 115,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-7612,-1603,134949,75855" +cachedDiagramExtent "-7400,0,104400,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +lastUid 1937,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*98 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*100 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*101 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*103 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*104 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*106 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*107 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*109 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*110 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*112 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*114 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*116 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,5800,1000,6800" +st "Declarations" +blo "-6000,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,6800,-2600,7800" +st "Ports:" +blo "-6000,7600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,12600,-1200,13600" +st "Pre User:" +blo "-6000,13400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-4000,13600,16500,14800" +st "constant fifoDepth : positive := 100;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-6000,14800,3000,15800" +st "Diagram Signals:" +blo "-6000,15600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-6000,5800,0,6800" +st "Post User:" +blo "-6000,6600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-6000,5800,-6000,5800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 18,0 +usingSuid 1 +emptyRow *117 (LEmptyRow +) +uid 118,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*125 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*126 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*127 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*128 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*129 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*130 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 65,0 +) +*131 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 67,0 +) +*132 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 69,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "characterIn" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 467,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "characterValid" +t "std_ulogic" +o 7 +suid 10,0 +) +) +uid 469,0 +) +*135 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "characterReg" +t "std_ulogic_vector" +b "(uartDataBitNb-1 DOWNTO 0)" +o 5 +suid 11,0 +) +) +uid 471,0 +) +*136 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 740,0 +) +*137 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "tone" +t "std_ulogic" +o 9 +suid 13,0 +) +) +uid 742,0 +) +*138 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 10 +suid 15,0 +) +) +uid 1101,0 +) +*139 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readChar" +t "std_ulogic" +o 11 +suid 16,0 +) +) +uid 1103,0 +) +*140 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 18,0 +) +) +uid 1543,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 131,0 +optionalChildren [ +*141 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *142 (MRCItem +litem &117 +pos 11 +dimension 20 +) +uid 133,0 +optionalChildren [ +*143 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 134,0 +) +*144 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 135,0 +) +*145 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*146 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 66,0 +) +*147 (MRCItem +litem &131 +pos 1 +dimension 20 +uid 68,0 +) +*148 (MRCItem +litem &132 +pos 2 +dimension 20 +uid 70,0 +) +*149 (MRCItem +litem &133 +pos 4 +dimension 20 +uid 468,0 +) +*150 (MRCItem +litem &134 +pos 5 +dimension 20 +uid 470,0 +) +*151 (MRCItem +litem &135 +pos 6 +dimension 20 +uid 472,0 +) +*152 (MRCItem +litem &136 +pos 7 +dimension 20 +uid 741,0 +) +*153 (MRCItem +litem &137 +pos 8 +dimension 20 +uid 743,0 +) +*154 (MRCItem +litem &138 +pos 9 +dimension 20 +uid 1102,0 +) +*155 (MRCItem +litem &139 +pos 10 +dimension 20 +uid 1104,0 +) +*156 (MRCItem +litem &140 +pos 3 +dimension 20 +uid 1542,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*157 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 138,0 +) +*158 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 139,0 +) +*159 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 140,0 +) +*160 (MRCItem +litem &125 +pos 3 +dimension 50 +uid 141,0 +) +*161 (MRCItem +litem &126 +pos 4 +dimension 100 +uid 142,0 +) +*162 (MRCItem +litem &127 +pos 5 +dimension 100 +uid 143,0 +) +*163 (MRCItem +litem &128 +pos 6 +dimension 50 +uid 144,0 +) +*164 (MRCItem +litem &129 +pos 7 +dimension 80 +uid 145,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 132,0 +vaOverrides [ +] +) +] +) +uid 117,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +uid 147,0 +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +*177 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 257,0 +) +*178 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +uid 259,0 +) +*179 (LogGeneric +generic (GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +uid 261,0 +) +*180 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +uid 321,0 +) +*181 (LogGeneric +generic (GiElement +name "toneFrequency" +type "real" +value "300.0" +) +uid 808,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 159,0 +optionalChildren [ +*182 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *183 (MRCItem +litem &165 +pos 5 +dimension 20 +) +uid 161,0 +optionalChildren [ +*184 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 162,0 +) +*185 (MRCItem +litem &167 +pos 1 +dimension 23 +uid 163,0 +) +*186 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +uid 164,0 +) +*187 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 256,0 +) +*188 (MRCItem +litem &178 +pos 1 +dimension 20 +uid 258,0 +) +*189 (MRCItem +litem &179 +pos 3 +dimension 20 +uid 260,0 +) +*190 (MRCItem +litem &180 +pos 2 +dimension 20 +uid 320,0 +) +*191 (MRCItem +litem &181 +pos 4 +dimension 20 +uid 807,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 165,0 +optionalChildren [ +*192 (MRCItem +litem &169 +pos 0 +dimension 20 +uid 166,0 +) +*193 (MRCItem +litem &171 +pos 1 +dimension 50 +uid 167,0 +) +*194 (MRCItem +litem &172 +pos 2 +dimension 100 +uid 168,0 +) +*195 (MRCItem +litem &173 +pos 3 +dimension 100 +uid 169,0 +) +*196 (MRCItem +litem &174 +pos 4 +dimension 50 +uid 170,0 +) +*197 (MRCItem +litem &175 +pos 5 +dimension 50 +uid 171,0 +) +*198 (MRCItem +litem &176 +pos 6 +dimension 80 +uid 172,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 146,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse/hds/morse@encoder/symbol.sb b/05-Morse/Morse/hds/morse@encoder/symbol.sb new file mode 100644 index 0000000..c5f9a4d --- /dev/null +++ b/05-Morse/Morse/hds/morse@encoder/symbol.sb @@ -0,0 +1,1675 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2014,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +uid 676,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 677,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 403,0 +) +*48 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +uid 405,0 +) +*49 (LogGeneric +generic (GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +uid 407,0 +) +*50 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +uid 432,0 +) +*51 (LogGeneric +generic (GiElement +name "toneFrequency" +type "real" +value "300.0" +) +uid 457,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &35 +pos 5 +dimension 20 +) +uid 131,0 +optionalChildren [ +*54 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*56 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*57 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 404,0 +) +*58 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 406,0 +) +*59 (MRCItem +litem &49 +pos 3 +dimension 20 +uid 408,0 +) +*60 (MRCItem +litem &50 +pos 2 +dimension 20 +uid 433,0 +) +*61 (MRCItem +litem &51 +pos 4 +dimension 20 +uid 458,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*62 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*63 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*64 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*65 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*66 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*67 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*68 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@encoder/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@encoder/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@encoder" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseEncoder" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseEncoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "morseEncoder" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@encoder/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseEncoder/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "morseEncoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,14625,48750,15375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "42500,14400,47000,15300" +st "morseCode" +ju 2 +blo "47000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,18500,11700" +st "morseCode : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*71 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "33000,18400,35500,19300" +st "clock" +blo "33000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,18500,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*72 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "33000,20400,35500,21300" +st "reset" +blo "33000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,18500,13500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*73 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 701,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,14625,32000,15375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "33000,14550,34500,15450" +st "RxD" +blo "33000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,17500,14400" +st "RxD : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,11000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22800,35100,23700" +st "Morse" +blo "32600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,23700,39100,24600" +st "morseEncoder" +blo "32600,24400" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,26600,49500,32900" +st "Generic Declarations + +clockFrequency real 100.0E6 +uartBaudRate real 115.2E3 +uartDataBitNb positive 8 +unitDuration real 100.0E-3 +toneFrequency real 300.0 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "uartBaudRate" +type "real" +value "115.2E3" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +(GiElement +name "unitDuration" +type "real" +value "100.0E-3" +) +(GiElement +name "toneFrequency" +type "real" +value "300.0" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "352,48,1477,898" +viewArea "-1000,-1000,73200,48560" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14400,2500,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 701,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/morse@to@char@decoder/symbol.sb b/05-Morse/Morse/hds/morse@to@char@decoder/symbol.sb new file mode 100644 index 0000000..9fa8f0b --- /dev/null +++ b/05-Morse/Morse/hds/morse@to@char@decoder/symbol.sb @@ -0,0 +1,1824 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2017,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +uid 320,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +uid 322,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "charValid" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 686,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +uid 690,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +uid 823,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +uid 825,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 7 +suid 2017,0 +) +) +uid 880,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 100,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 321,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 323,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 687,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 691,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 824,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 826,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 881,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "100" +) +uid 432,0 +) +*54 (LogGeneric +generic (GiElement +name "unitCountBitNb" +type "positive" +value "2" +) +uid 827,0 +) +*55 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "8" +) +uid 882,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 134,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 136,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 433,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 828,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 883,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 140,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 144,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 146,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 148,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 150,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 152,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@to@char@decoder/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@to@char@decoder/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@to@char@decoder" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseToCharDecoder" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseToCharDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "morseToCharDecoder" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morse@to@char@decoder/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/morseToCharDecoder/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "morseToCharDecoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,25625,39000,26375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "40000,25400,42500,26300" +st "clock" +blo "40000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,21000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*73 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,27625,39000,28375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "40000,27400,42500,28300" +st "reset" +blo "40000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,21000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*74 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 907,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,19625,55750,20375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "49500,19550,54000,20450" +st "charValid" +ju 2 +blo "54000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,21000,10500" +st "charValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "charValid" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*75 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,19625,39000,20375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,9,0" +) +xt "40000,19550,47500,20450" +st "symbolDuration" +blo "40000,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,33500,11400" +st "symbolDuration : IN unsigned (unitCountBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +) +*76 (CptPort +uid 813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 909,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,21625,39000,22375" +) +tg (CPTG +uid 815,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 816,0 +va (VaSet +font "courier,9,0" +) +xt "40000,21550,46000,22450" +st "symbolValid" +blo "40000,22250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 817,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,21000,12300" +st "symbolValid : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +*77 (CptPort +uid 818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,17625,39000,18375" +) +tg (CPTG +uid 820,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 821,0 +va (VaSet +font "courier,9,0" +) +xt "40000,17550,46000,18450" +st "symbolValue" +blo "40000,18250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 822,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,21000,13200" +st "symbolValue : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +) +*78 (CptPort +uid 875,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 911,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,17625,55750,18375" +) +tg (CPTG +uid 877,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 878,0 +va (VaSet +font "courier,9,0" +) +xt "50500,17550,54000,18450" +st "charOut" +ju 2 +blo "54000,18250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 879,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,37000,14100" +st "charOut : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "charOut" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 7 +suid 2017,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,14000,55000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "39600,29800,42100,30700" +st "Morse" +blo "39600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "39600,30700,49100,31600" +st "morseToCharDecoder" +blo "39600,31400" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,33600,54500,38100" +st "Generic Declarations + +unitCountDivide positive 100 +unitCountBitNb positive 2 +characterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "100" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "2" +) +(GiElement +name "characterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "252,81,1550,926" +viewArea "-1100,-1100,72000,44800" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,6500,6900" +st "Declarations" +blo "0,6700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6900,3000,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14100,2500,15000" +st "User:" +blo "0,14800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,7500,6900" +st "Internal User:" +blo "0,6700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,2000,15000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 934,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/symbol@length@counter/symbol.sb b/05-Morse/Morse/hds/symbol@length@counter/symbol.sb new file mode 100644 index 0000000..b663bc9 --- /dev/null +++ b/05-Morse/Morse/hds/symbol@length@counter/symbol.sb @@ -0,0 +1,1744 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2016,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +uid 320,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +uid 322,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 686,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +uid 690,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +uid 823,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +uid 825,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 100,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 321,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 323,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 687,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 691,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 824,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 826,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "100" +) +uid 432,0 +) +*52 (LogGeneric +generic (GiElement +name "unitCountBitNb" +type "positive" +value "2" +) +uid 827,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 136,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 433,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 828,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 140,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 146,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 148,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 150,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 152,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbol@length@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbol@length@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbol@length@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbolLengthCounter" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "symbolLengthCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "symbolLengthCounter" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbol@length@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/symbolLengthCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "symbolLengthCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "41000,17400,43500,18300" +st "clock" +blo "41000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,21000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*69 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "41000,19400,43500,20300" +st "reset" +blo "41000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,21000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*70 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 741,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,13625,40000,14375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "41000,13550,45500,14450" +st "morseCode" +blo "41000,14250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,21000,10500" +st "morseCode : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*71 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 743,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,15625,56750,16375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,9,0" +) +xt "47500,15550,55000,16450" +st "symbolDuration" +ju 2 +blo "55000,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,33500,11400" +st "symbolDuration : OUT unsigned (unitCountBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolDuration" +t "unsigned" +b "(unitCountBitNb-1 DOWNTO 0)" +o 4 +suid 2014,0 +) +) +) +*72 (CptPort +uid 813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 814,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,17625,56750,18375" +) +tg (CPTG +uid 815,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 816,0 +va (VaSet +font "courier,9,0" +) +xt "49000,17550,55000,18450" +st "symbolValid" +ju 2 +blo "55000,18250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 817,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,21000,12300" +st "symbolValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolValid" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +*73 (CptPort +uid 818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 819,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,13625,56750,14375" +) +tg (CPTG +uid 820,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 821,0 +va (VaSet +font "courier,9,0" +) +xt "49000,13550,55000,14450" +st "symbolValue" +ju 2 +blo "55000,14250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 822,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,20000,13200" +st "symbolValue : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "symbolValue" +t "std_ulogic" +o 6 +suid 2016,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,10000,56000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,21800,43100,22700" +st "Morse" +blo "40600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,22700,50600,23600" +st "symbolLengthCounter" +blo "40600,23400" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,25600,55500,29200" +st "Generic Declarations + +unitCountDivide positive 100 +unitCountBitNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "100" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "268,73,1566,918" +viewArea "-1100,-1100,72000,46704" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,6500,6900" +st "Declarations" +blo "0,6700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6900,3000,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13200,2500,14100" +st "User:" +blo "0,13900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,7500,6900" +st "Internal User:" +blo "0,6700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,2000,14100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 874,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/tone@generator/symbol.sb b/05-Morse/Morse/hds/tone@generator/symbol.sb new file mode 100644 index 0000000..68602f3 --- /dev/null +++ b/05-Morse/Morse/hds/tone@generator/symbol.sb @@ -0,0 +1,1528 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2011,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "tone" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +uid 211,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +uid 320,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +uid 322,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 100,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 212,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 321,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 323,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "toneDivide" +type "positive" +value "100E3" +) +uid 533,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 134,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 136,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 534,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 140,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 144,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 146,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 148,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 150,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 152,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/tone@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/tone@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/tone@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/toneGenerator" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toneGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "toneGenerator" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/tone@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/toneGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "toneGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,23625,58750,24375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "54100,23400,57000,24600" +st "tone" +ju 2 +blo "57000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8000,16500,8900" +st "tone : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "tone" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*61 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,23625,42000,24375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "43000,23400,46400,24600" +st "clock" +blo "43000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8900,16500,9800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*62 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,25625,42000,26375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "43000,25400,46300,26600" +st "reset" +blo "43000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,15500,10700" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,20000,58000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "42600,27800,45100,28700" +st "Morse" +blo "42600,28500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "42600,28700,49600,29600" +st "toneGenerator" +blo "42600,29400" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,31600,56000,34300" +st "Generic Declarations + +toneDivide positive 100E3 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "toneDivide" +type "positive" +value "100E3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "99,41,1397,886" +viewArea "-1100,-1100,74430,48110" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 626,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse/hds/unit@counter/symbol.sb b/05-Morse/Morse/hds/unit@counter/symbol.sb new file mode 100644 index 0000000..305139d --- /dev/null +++ b/05-Morse/Morse/hds/unit@counter/symbol.sb @@ -0,0 +1,1672 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2014,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +uid 320,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +uid 322,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "startCounter" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 686,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 4 +suid 2013,0 +) +) +uid 688,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "done" +t "std_ulogic" +o 5 +suid 2014,0 +) +) +uid 690,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 100,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 321,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 323,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 687,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 689,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 691,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 109,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 117,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 121,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 123,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +uid 432,0 +) +*50 (LogGeneric +generic (GiElement +name "unitCountBitNb" +type "positive" +value "3" +) +uid 692,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 166,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 134,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 136,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 433,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 693,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 140,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 146,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 148,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 150,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 152,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unitCounter" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "unitCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:13:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "unitCounter" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unit@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse/hds/unitCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:13:01" +) +(vvPair +variable "unit" +value "unitCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 313,0 +va (VaSet +font "courier,9,0" +) +xt "41000,17400,44400,18600" +st "clock" +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8000,20000,8900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*67 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "courier,9,0" +) +xt "41000,19400,44300,20600" +st "reset" +blo "41000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8900,20000,9800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*68 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 741,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,11625,40000,12375" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 674,0 +va (VaSet +font "courier,9,0" +) +xt "41000,11400,48800,12600" +st "startCounter" +blo "41000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,20000,10700" +st "startCounter : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "startCounter" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*69 (CptPort +uid 676,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 742,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,13625,40000,14375" +) +tg (CPTG +uid 678,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 679,0 +va (VaSet +font "courier,9,0" +) +xt "41000,13400,45000,14600" +st "unitNb" +blo "41000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 680,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,32500,11600" +st "unitNb : IN unsigned (unitCountBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 4 +suid 2013,0 +) +) +) +*70 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 743,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,11625,56750,12375" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +va (VaSet +font "courier,9,0" +) +xt "51900,11400,55000,12600" +st "done" +ju 2 +blo "55000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,19000,12500" +st "done : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "done" +t "std_ulogic" +o 5 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,8000,56000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,21800,43100,22700" +st "Morse" +blo "40600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,22700,46600,23600" +st "unitCounter" +blo "40600,23400" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,25600,56000,29200" +st "Generic Declarations + +unitCountDivide positive 10E3 +unitCountBitNb positive 3 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "10E3" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "99,41,1397,886" +viewArea "-1100,-1100,74430,48110" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 766,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/05-Morse/Morse_test/hdl/charToMorseController_tester_test.vhd b/05-Morse/Morse_test/hdl/charToMorseController_tester_test.vhd new file mode 100644 index 0000000..3eca717 --- /dev/null +++ b/05-Morse/Morse_test/hdl/charToMorseController_tester_test.vhd @@ -0,0 +1,70 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF charToMorseController_tester IS + -- clock and reset + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + -- character input + constant textToSend : string := "tea time"; + constant charInputDelay : time := 200 us; + signal writePointer, readPointer : integer := 0; + signal fifoDataAvailable: std_uLogic := '0'; + +BEGIN + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + sReset <= '1', '0' after 2*clockPeriod; + reset <= sReset; + + ------------------------------------------------------------------------------ + -- test sequence + testSequence: process + begin + -- send characters + for index in 1 to textToSend'length loop + wait for charInputDelay; + writePointer <= writePointer + 1; + end loop; + -- end of fifo input + print(cr & cr); + assert false + report "End of text" + severity note; + wait; + end process testSequence; + + ------------------------------------------------------------------------------ + -- fifo simulation + fifo: process + begin + -- wait for action + wait until rising_edge(sClock); + -- add char in fifo + if readChar = '1' then + readPointer <= readPointer + 1; + end if; + -- end of simulation + if readPointer = textToSend'length then + wait for charInputDelay; + print(cr & cr); + assert false + report "End of simulation" + severity failure; + end if; + end process fifo; + + char <= std_ulogic_vector(to_unsigned( + character'pos(textToSend(readPointer+1)), char'length + )) when (readPointer < textToSend'length) and (fifoDataAvailable = '1') + else (others => '-'); + + fifoDataAvailable <= '1' when readPointer < writePointer + else '0'; + charNotReady <= not fifoDataAvailable; + +END ARCHITECTURE test; diff --git a/05-Morse/Morse_test/hdl/morseDecoder_tester_test.vhd b/05-Morse/Morse_test/hdl/morseDecoder_tester_test.vhd new file mode 100644 index 0000000..42ded03 --- /dev/null +++ b/05-Morse/Morse_test/hdl/morseDecoder_tester_test.vhd @@ -0,0 +1,108 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF morseDecoder_tester IS + -- clock and reset + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + -- UART + constant uartPeriod: time := (1.0/uartBaudRate) * 1 sec; + constant uartWriteInterval: time := 2 ms; + signal uartInString : string(1 to 32); + signal uartSendInString: std_uLogic; + signal uartSendInDone: std_uLogic; + signal uartInByte: character; + signal uartSendInByte: std_uLogic; + +BEGIN + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + sReset <= '1', '0' after 2*clockPeriod; + reset <= sReset; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + uartSendInString <= '0'; + wait for 4*uartPeriod; + -- characters with max. 2 Morse symbols + print("Sending characters with max. 2 symbols"); + uartInString <= pad("tea time", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- characters starting with a dot + print("Sending characters starting with a dot"); + uartInString <= pad("eish54v3uf2arlwpj1", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- characters starting with a dash + print("Sending characters starting with a dash"); + uartInString <= pad("tndb6xkcymgz7qo890", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- end of simulation + print(cr & cr); + assert false + report "End of simulation" + severity failure; + wait; + end process; + + --============================================================================ + -- uart send + rsSendSerialString: process + constant uartBytePeriod : time := 15*uartPeriod; + variable commandRight: natural; + begin + + uartSendInByte <= '0'; + uartSendInDone <= '0'; + + wait until rising_edge(uartSendInString); + + commandRight := uartInString'right; + while uartInString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + for index in uartInString'left to commandRight loop + uartInByte <= uartInString(index); + uartSendInByte <= '1', '0' after 1 ns; + wait for uartBytePeriod; + end loop; + + uartInByte <= cr; + uartSendInByte <= '1', '0' after 1 ns; + wait for uartBytePeriod; + + uartSendInDone <= '1'; + wait for 1 ns; + + end process rsSendSerialString; + + rsSendSerialByte: process + variable rxData: unsigned(uartDataBitNb-1 downto 0); + begin + RxD <= '1'; + + wait until rising_edge(uartSendInByte); + rxData := to_unsigned(character'pos(uartInByte), rxData'length); + + RxD <= '0'; + wait for uartPeriod; + + for index in rxData'reverse_range loop + RxD <= rxData(index); + wait for uartPeriod; + end loop; + + end process rsSendSerialByte; + +END ARCHITECTURE test; + diff --git a/05-Morse/Morse_test/hdl/morseEncoder_tester_test.vhd b/05-Morse/Morse_test/hdl/morseEncoder_tester_test.vhd new file mode 100644 index 0000000..3bd8ccc --- /dev/null +++ b/05-Morse/Morse_test/hdl/morseEncoder_tester_test.vhd @@ -0,0 +1,106 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF morseEncoder_tester IS + -- clock and reset + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + -- UART + constant uartPeriod: time := (1.0/uartBaudRate) * 1 sec; + constant uartWriteInterval: time := 8 ms; + signal uartInString : string(1 to 32); + signal uartSendInString: std_uLogic; + signal uartSendInDone: std_uLogic; + signal uartInByte: character; + signal uartSendInByte: std_uLogic; + +BEGIN + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + sReset <= '1', '0' after 2*clockPeriod; + reset <= sReset; + ------------------------------------------------------------------------------ + -- test sequence + process + begin + uartSendInString <= '0'; + wait for 4*uartPeriod; + -- characters with max. 2 Morse symbols + print("Sending characters with max. 2 symbols"); + uartInString <= pad("tea time", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- characters starting with a dot + print("Sending characters starting with a dot"); + uartInString <= pad("eish54v3uf2arlwpj1", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- characters starting with a dash + print("Sending characters starting with a dash"); + uartInString <= pad("tndb6xkcymgz7qo890", uartInString'length); + uartSendInString <= '1', '0' after 1 ns; + wait until uartSendInDone = '1'; + wait for uartWriteInterval; + -- end of simulation + print(cr & cr); + assert false + report "End of simulation" + severity failure; + wait; + end process; + + --============================================================================ + -- uart send + rsSendSerialString: process + constant uartBytePeriod : time := 15*uartPeriod; + variable commandRight: natural; + begin + + uartSendInByte <= '0'; + uartSendInDone <= '0'; + + wait until rising_edge(uartSendInString); + + commandRight := uartInString'right; + while uartInString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + for index in uartInString'left to commandRight loop + uartInByte <= uartInString(index); + uartSendInByte <= '1', '0' after 1 ns; + wait for uartBytePeriod; + end loop; + + uartInByte <= cr; + uartSendInByte <= '1', '0' after 1 ns; + wait for uartBytePeriod; + + uartSendInDone <= '1'; + wait for 1 ns; + + end process rsSendSerialString; + + rsSendSerialByte: process + variable rxData: unsigned(uartDataBitNb-1 downto 0); + begin + RxD <= '1'; + + wait until rising_edge(uartSendInByte); + rxData := to_unsigned(character'pos(uartInByte), rxData'length); + + RxD <= '0'; + wait for uartPeriod; + + for index in rxData'reverse_range loop + RxD <= rxData(index); + wait for uartPeriod; + end loop; + + end process rsSendSerialByte; + +END ARCHITECTURE test; diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_charToMorseController_tester_test.vhd._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_charToMorseController_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_charToMorseController_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_struct.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tester_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_chartomorsecontroller_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morseDecoder_tester_test.vhd._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morseDecoder_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morseDecoder_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morseEncoder_tester_test.vhd._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morseEncoder_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morseEncoder_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_struct.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tester_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morsedecoder_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_struct.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tester_entity.vhg._fpf b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/05-Morse/Morse_test/hds/.hdlsidedata/_morseencoder_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/05-Morse/Morse_test/hds/@d@a@c_tester_1/interface b/05-Morse/Morse_test/hds/@d@a@c_tester_1/interface new file mode 100644 index 0000000..b372831 --- /dev/null +++ b/05-Morse/Morse_test/hds/@d@a@c_tester_1/interface @@ -0,0 +1,1035 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\@d@a@c_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\DAC_tester" +) +(vvPair +variable "date" +value "01/30/06" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "30" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE0787" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\DAC_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "12:48:31" +) +(vvPair +variable "unit" +value "DAC_tester" +) +(vvPair +variable "user" +value "userE" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2006" +) +(vvPair +variable "yy" +value "06" +) +] +) +uid 71,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,5250,24375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 180,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "23400,7000,24600,9700" +st "clock" +ju 2 +blo "24400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 181,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 183,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82625,5250,83375,6000" +) +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 185,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "82400,7000,83600,24900" +st "lowpassOut : (signalBitNb-1:0)" +ju 2 +blo "83400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 186,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*4 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +m 1 +o 4 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 190,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "19400,7000,20600,23800" +st "parallelIn : (signalBitNb-1:0)" +ju 2 +blo "20400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*5 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,5250,26375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 195,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "25400,7000,26600,9600" +st "reset" +ju 2 +blo "26400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +) +*6 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53625,5250,54375,6000" +) +n "serialOut" +t "std_ulogic" +o 5 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "53400,7000,54600,11700" +st "serialOut" +ju 2 +blo "54400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "serialOut : IN std_ulogic ; +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,90000,14000" +) +oxt "15000,6000,66000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "48900,8800,56100,10000" +st "Curves_test" +blo "48900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "48900,10000,55900,11200" +st "DAC_tester" +blo "48900,11000" +) +) +gi *7 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "27500,6000,41000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*8 (Grouping +uid 16,0 +optionalChildren [ +*9 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,45500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*14 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*17 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48700,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *19 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*21 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "DAC_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *22 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *23 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44000,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 201,0 +) diff --git a/05-Morse/Morse_test/hds/_chartomorsecontroller_tb._epf b/05-Morse/Morse_test/hds/_chartomorsecontroller_tb._epf new file mode 100644 index 0000000..c21fe47 --- /dev/null +++ b/05-Morse/Morse_test/hds/_chartomorsecontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom char@to@morse@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Morse_test/hds/_chartomorsecontroller_tester._epf b/05-Morse/Morse_test/hds/_chartomorsecontroller_tester._epf new file mode 100644 index 0000000..d3446c1 --- /dev/null +++ b/05-Morse/Morse_test/hds/_chartomorsecontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom charToMorseController_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/05-Morse/Morse_test/hds/_morsedecoder_tb._epf b/05-Morse/Morse_test/hds/_morsedecoder_tb._epf new file mode 100644 index 0000000..b8b5678 --- /dev/null +++ b/05-Morse/Morse_test/hds/_morsedecoder_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom morse@decoder_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Morse_test/hds/_morsedecoder_tester._epf b/05-Morse/Morse_test/hds/_morsedecoder_tester._epf new file mode 100644 index 0000000..571e9fc --- /dev/null +++ b/05-Morse/Morse_test/hds/_morsedecoder_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom morseDecoder_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/05-Morse/Morse_test/hds/_morseencoder_tb._epf b/05-Morse/Morse_test/hds/_morseencoder_tb._epf new file mode 100644 index 0000000..b4154a8 --- /dev/null +++ b/05-Morse/Morse_test/hds/_morseencoder_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom morse@encoder_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/05-Morse/Morse_test/hds/_morseencoder_tester._epf b/05-Morse/Morse_test/hds/_morseencoder_tester._epf new file mode 100644 index 0000000..e0552f9 --- /dev/null +++ b/05-Morse/Morse_test/hds/_morseencoder_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom morseEncoder_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/05-Morse/Morse_test/hds/beamer@periph@blanking_tester/interface b/05-Morse/Morse_test/hds/beamer@periph@blanking_tester/interface new file mode 100644 index 0000000..49eb239 --- /dev/null +++ b/05-Morse/Morse_test/hds/beamer@periph@blanking_tester/interface @@ -0,0 +1,1408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester" +) +(vvPair +variable "date" +value "04/24/08" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1635" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "13:33:53" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +m 1 +o 11 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,22200" +st "addr : (addressBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,73500,6000" +st "addr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +) +*3 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "40400,7000,41600,9700" +st "clock" +ju 2 +blo "41400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61500,6800" +st "clock : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +n "cs" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "36400,7000,37600,8200" +st "cs" +ju 2 +blo "37400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61500,7600" +st "cs : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +m 1 +o 10 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,21500" +st "dataIn : (dataBitNb-1:0)" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,76500,8400" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*6 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,22300" +st "dataOut : (dataBitNb-1:0)" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,76000,2800" +st "dataOut : IN std_logic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +n "outX" +t "std_ulogic" +o 8 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "78400,7000,79600,9300" +st "outX" +ju 2 +blo "79400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61500,3600" +st "outX : IN std_ulogic ; +" +) +) +*8 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +n "outY" +t "std_ulogic" +o 7 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "76400,7000,77600,9300" +st "outY" +ju 2 +blo "77400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "outY : IN std_ulogic ; +" +) +) +*9 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +n "outZ" +t "std_ulogic" +o 15 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "74400,7000,75600,9300" +st "outZ" +ju 2 +blo "75400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61500,5200" +st "outZ : IN std_ulogic ; +" +) +) +*10 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "rd" +t "std_ulogic" +m 1 +o 6 +r 9 +d 0 +s 0 +sf 1 +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,8000" +st "rd" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,61500,9200" +st "rd : OUT std_ulogic ; +" +) +) +*11 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 10 +d 0 +s 0 +sf 1 +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "42400,7000,43600,9600" +st "reset" +ju 2 +blo "43400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,61500,10000" +st "reset : OUT std_ulogic ; +" +) +) +*12 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +n "selSinCos" +t "std_ulogic" +m 1 +o 14 +r 11 +d 0 +s 0 +sf 1 +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "70400,7000,71600,12100" +st "selSinCos" +ju 2 +blo "71400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,61500,10800" +st "selSinCos : OUT std_ulogic ; +" +) +) +*13 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +n "wrH" +t "std_ulogic" +m 1 +o 5 +r 12 +d 0 +s 0 +sf 1 +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "32400,7000,33600,8900" +st "wrH" +ju 2 +blo "33400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,61500,11600" +st "wrH : OUT std_ulogic ; +" +) +) +*14 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +n "wrL" +t "std_ulogic" +m 1 +o 4 +r 13 +d 0 +s 0 +sf 1 +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "34400,7000,35600,8700" +st "wrL" +ju 2 +blo "35400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,60500,12400" +st "wrL : OUT std_ulogic +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,87000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,8800,49850,10000" +st "Curves_test" +blo "42650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,10000,59350,11200" +st "beamerPeriphBlanking_tester" +blo "42650,11000" +) +) +gi *15 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6000,40000,9200" +st "Generic Declarations + +dataBitNb positive 16 +addressBitNb positive 24 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*16 (Grouping +uid 16,0 +optionalChildren [ +*17 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*22 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*23 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*24 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*25 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*26 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52100,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *27 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*29 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "beamerPeriphBlanking_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *30 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *31 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,12400,44000,13400" +st "User:" +blo "42000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13400,44000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 115,0 +) diff --git a/05-Morse/Morse_test/hds/char@to@morse@controller_tb/struct.bd b/05-Morse/Morse_test/hds/char@to@morse@controller_tb/struct.bd new file mode 100644 index 0000000..b4077e5 --- /dev/null +++ b/05-Morse/Morse_test/hds/char@to@morse@controller_tb/struct.bd @@ -0,0 +1,3588 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_DUT" +duLibraryName "Morse" +duName "charToMorseController" +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 3079,0 +) +(Instance +name "I_cnt" +duLibraryName "Morse" +duName "unitCounter" +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +mwi 0 +uid 3124,0 +) +(Instance +name "I_tester" +duLibraryName "Morse_test" +duName "charToMorseController_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +] +mwi 0 +uid 3410,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\charToMorseController_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorseController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Morse_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorseController_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\charToMorseController_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:50:43" +) +(vvPair +variable "unit" +value "charToMorseController_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,73000,91000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,73500,74200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "91000,69000,95000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "91200,69500,91200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,71000,91000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,71500,74200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,71000,74000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,71500,70200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "91000,70000,111000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "91200,70200,105300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "95000,69000,111000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "95200,69500,95200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,69000,91000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "75350,69400,85650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,72000,74000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,72500,70200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,73000,74000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,73500,70200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,72000,91000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,72500,74200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "70000,69000,111000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 2556,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +declText (MLText +uid 2557,0 +va (VaSet +) +xt "2000,22800,19500,24000" +st "SIGNAL reset : std_ulogic +" +) +) +*13 (Net +uid 2564,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +declText (MLText +uid 2565,0 +va (VaSet +) +xt "2000,18000,19600,19200" +st "SIGNAL clock : std_ulogic +" +) +) +*14 (SaComponent +uid 3079,0 +optionalChildren [ +*15 (CptPort +uid 3043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3044,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,28625,61750,29375" +) +tg (CPTG +uid 3045,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3046,0 +va (VaSet +) +xt "54300,28400,60000,29600" +st "morseOut" +ju 2 +blo "60000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseOut" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*16 (CptPort +uid 3047,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3048,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,36625,45000,37375" +) +tg (CPTG +uid 3049,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3050,0 +va (VaSet +) +xt "46000,36400,49400,37600" +st "clock" +blo "46000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*17 (CptPort +uid 3051,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3052,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,38625,45000,39375" +) +tg (CPTG +uid 3053,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3054,0 +va (VaSet +) +xt "46000,38400,49300,39600" +st "reset" +blo "46000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*18 (CptPort +uid 3055,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3056,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,30625,45000,31375" +) +tg (CPTG +uid 3057,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3058,0 +va (VaSet +) +xt "46000,30400,54500,31600" +st "charNotReady" +blo "46000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*19 (CptPort +uid 3059,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3060,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,28625,45000,29375" +) +tg (CPTG +uid 3061,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3062,0 +va (VaSet +) +xt "46000,28400,48900,29600" +st "char" +blo "46000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 5 +suid 2011,0 +) +) +) +*20 (CptPort +uid 3063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3064,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,32625,61750,33375" +) +tg (CPTG +uid 3065,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3066,0 +va (VaSet +) +xt "52200,32400,60000,33600" +st "startCounter" +ju 2 +blo "60000,33400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "startCounter" +t "std_ulogic" +o 6 +suid 2012,0 +) +) +) +*21 (CptPort +uid 3067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3068,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,34625,61750,35375" +) +tg (CPTG +uid 3069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3070,0 +va (VaSet +) +xt "56000,34400,60000,35600" +st "unitNb" +ju 2 +blo "60000,35400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 7 +suid 2013,0 +) +) +) +*22 (CptPort +uid 3071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3072,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,36625,61750,37375" +) +tg (CPTG +uid 3073,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3074,0 +va (VaSet +) +xt "52200,36400,60000,37600" +st "counterDone" +ju 2 +blo "60000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "counterDone" +t "std_ulogic" +o 8 +suid 2014,0 +) +) +) +*23 (CptPort +uid 3075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3076,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,32625,45000,33375" +) +tg (CPTG +uid 3077,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3078,0 +va (VaSet +) +xt "46000,32550,51300,33750" +st "readChar" +blo "46000,33550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readChar" +t "std_ulogic" +o 9 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 3080,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,25000,61000,41000" +) +oxt "40000,6000,56000,22000" +ttg (MlTextGroup +uid 3081,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 3082,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,40800,49100,42000" +st "Morse" +blo "45600,41800" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 3083,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,41700,58500,42900" +st "charToMorseController" +blo "45600,42700" +tm "CptNameMgr" +) +*26 (Text +uid 3084,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,42600,49300,43800" +st "I_DUT" +blo "45600,43600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3085,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3086,0 +text (MLText +uid 3087,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,44600,66600,46600" +st "characterBitNb = characterBitNb ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*27 (Net +uid 3088,0 +decl (Decl +n "readChar" +t "std_ulogic" +o 3 +suid 29,0 +) +declText (MLText +uid 3089,0 +va (VaSet +) +xt "2000,21600,20600,22800" +st "SIGNAL readChar : std_ulogic +" +) +) +*28 (Net +uid 3096,0 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 30,0 +) +declText (MLText +uid 3097,0 +va (VaSet +) +xt "2000,16800,21900,18000" +st "SIGNAL charNotReady : std_ulogic +" +) +) +*29 (SaComponent +uid 3124,0 +optionalChildren [ +*30 (CptPort +uid 3104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,38625,69000,39375" +) +tg (CPTG +uid 3106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3107,0 +va (VaSet +) +xt "70000,38400,73400,39600" +st "clock" +blo "70000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2008,0 +) +) +) +*31 (CptPort +uid 3108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,40625,69000,41375" +) +tg (CPTG +uid 3110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3111,0 +va (VaSet +) +xt "70000,40400,73300,41600" +st "reset" +blo "70000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2009,0 +) +) +) +*32 (CptPort +uid 3112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,32625,69000,33375" +) +tg (CPTG +uid 3114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3115,0 +va (VaSet +) +xt "70000,32400,77800,33600" +st "startCounter" +blo "70000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "startCounter" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*33 (CptPort +uid 3116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,34625,69000,35375" +) +tg (CPTG +uid 3118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3119,0 +va (VaSet +) +xt "70000,34400,74000,35600" +st "unitNb" +blo "70000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 4 +suid 2013,0 +) +) +) +*34 (CptPort +uid 3120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,32625,85750,33375" +) +tg (CPTG +uid 3122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3123,0 +va (VaSet +) +xt "80900,32400,84000,33600" +st "done" +ju 2 +blo "84000,33400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "done" +t "std_ulogic" +o 5 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 3125,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "69000,29000,85000,43000" +) +oxt "40000,8000,56000,22000" +ttg (MlTextGroup +uid 3126,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 3127,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,42800,73100,44000" +st "Morse" +blo "69600,43800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 3128,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,43700,76700,44900" +st "unitCounter" +blo "69600,44700" +tm "CptNameMgr" +) +*37 (Text +uid 3129,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,44600,72900,45800" +st "I_cnt" +blo "69600,45600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3130,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3131,0 +text (MLText +uid 3132,0 +va (VaSet +font "Verdana,8,0" +) +xt "69000,46600,102800,48600" +st "unitCountDivide = integer(clockFrequency*unitDuration + 0.5) ( positive ) +unitCountBitNb = unitCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "unitCountDivide" +type "positive" +value "integer(clockFrequency*unitDuration + 0.5)" +) +(GiElement +name "unitCountBitNb" +type "positive" +value "unitCountBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*38 (Net +uid 3133,0 +decl (Decl +n "startCounter" +t "std_ulogic" +o 5 +suid 31,0 +) +declText (MLText +uid 3134,0 +va (VaSet +) +xt "2000,24000,21200,25200" +st "SIGNAL startCounter : std_ulogic +" +) +) +*39 (Net +uid 3139,0 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 6 +suid 32,0 +) +declText (MLText +uid 3140,0 +va (VaSet +) +xt "2000,25200,34400,26400" +st "SIGNAL unitNb : unsigned(unitCountBitNb-1 downto 0) +" +) +) +*40 (Net +uid 3145,0 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 33,0 +) +declText (MLText +uid 3146,0 +va (VaSet +) +xt "2000,19200,19600,20400" +st "SIGNAL done : std_ulogic +" +) +) +*41 (Net +uid 3167,0 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 34,0 +) +declText (MLText +uid 3168,0 +va (VaSet +) +xt "2000,20400,21000,21600" +st "SIGNAL morseOut : std_ulogic +" +) +) +*42 (Net +uid 3175,0 +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 9 +suid 35,0 +) +declText (MLText +uid 3176,0 +va (VaSet +) +xt "2000,15600,39800,16800" +st "SIGNAL char : std_ulogic_vector(characterBitNb-1 DOWNTO 0) +" +) +) +*43 (Blk +uid 3410,0 +shape (Rectangle +uid 3411,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "25000,51000,101000,59000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3412,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 3413,0 +va (VaSet +) +xt "25250,59500,32350,60700" +st "Morse_test" +blo "25250,60500" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 3414,0 +va (VaSet +) +xt "25250,60400,42550,61600" +st "charToMorseController_tester" +blo "25250,61400" +tm "BlkNameMgr" +) +*46 (Text +uid 3415,0 +va (VaSet +) +xt "25250,61300,30050,62500" +st "I_tester" +blo "25250,62300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3416,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3417,0 +text (MLText +uid 3418,0 +va (VaSet +) +xt "25000,63000,51500,65400" +st "clockFrequency = clockFrequency ( real ) +characterBitNb = characterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "characterBitNb" +type "positive" +value "characterBitNb" +) +] +) +) +*47 (Wire +uid 2558,0 +shape (OrthoPolyLine +uid 2559,0 +va (VaSet +vasetType 3 +) +xt "43000,39000,44250,51000" +pts [ +"44250,39000" +"43000,39000" +"43000,51000" +] +) +start &17 +end &43 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2562,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2563,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,37600,43350,39000" +st "reset" +blo "39250,38800" +tm "WireNameMgr" +) +) +on &12 +) +*48 (Wire +uid 2566,0 +shape (OrthoPolyLine +uid 2567,0 +va (VaSet +vasetType 3 +) +xt "41000,37000,44250,51000" +pts [ +"44250,37000" +"41000,37000" +"41000,51000" +] +) +start &16 +end &43 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2571,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,35600,43050,37000" +st "clock" +blo "39250,36800" +tm "WireNameMgr" +) +) +on &13 +) +*49 (Wire +uid 3090,0 +shape (OrthoPolyLine +uid 3091,0 +va (VaSet +vasetType 3 +) +xt "37000,33000,44250,51000" +pts [ +"44250,33000" +"37000,33000" +"37000,51000" +] +) +start &23 +end &43 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3094,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3095,0 +va (VaSet +font "Verdana,12,0" +) +xt "37250,31700,43950,33100" +st "readChar" +blo "37250,32900" +tm "WireNameMgr" +) +) +on &27 +) +*50 (Wire +uid 3098,0 +shape (OrthoPolyLine +uid 3099,0 +va (VaSet +vasetType 3 +) +xt "35000,31000,44250,51000" +pts [ +"44250,31000" +"35000,31000" +"35000,51000" +] +) +start &18 +end &43 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3102,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3103,0 +va (VaSet +font "Verdana,12,0" +) +xt "34250,29700,44650,31100" +st "charNotReady" +blo "34250,30900" +tm "WireNameMgr" +) +) +on &28 +) +*51 (Wire +uid 3135,0 +shape (OrthoPolyLine +uid 3136,0 +va (VaSet +vasetType 3 +) +xt "61750,33000,68250,33000" +pts [ +"61750,33000" +"68250,33000" +] +) +start &20 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3137,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3138,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,31700,73450,33100" +st "startCounter" +blo "63750,32900" +tm "WireNameMgr" +) +) +on &38 +) +*52 (Wire +uid 3141,0 +shape (OrthoPolyLine +uid 3142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "61750,35000,68250,35000" +pts [ +"61750,35000" +"68250,35000" +] +) +start &21 +end &33 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3143,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3144,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,33700,68650,35100" +st "unitNb" +blo "63750,34900" +tm "WireNameMgr" +) +) +on &39 +) +*53 (Wire +uid 3147,0 +shape (OrthoPolyLine +uid 3148,0 +va (VaSet +vasetType 3 +) +xt "61750,33000,89000,47000" +pts [ +"85750,33000" +"89000,33000" +"89000,47000" +"63000,47000" +"63000,37000" +"61750,37000" +] +) +start &34 +end &22 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3149,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3150,0 +va (VaSet +font "Verdana,12,0" +) +xt "87750,31700,91750,33100" +st "done" +blo "87750,32900" +tm "WireNameMgr" +) +) +on &40 +) +*54 (Wire +uid 3151,0 +shape (OrthoPolyLine +uid 3152,0 +va (VaSet +vasetType 3 +) +xt "65000,41000,68250,41000" +pts [ +"68250,41000" +"67000,41000" +"65000,41000" +] +) +start &31 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3158,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,39700,68100,41100" +st "reset" +blo "64000,40900" +tm "WireNameMgr" +) +) +on &12 +) +*55 (Wire +uid 3159,0 +shape (OrthoPolyLine +uid 3160,0 +va (VaSet +vasetType 3 +) +xt "65000,39000,68250,39000" +pts [ +"68250,39000" +"65000,39000" +"65000,39000" +] +) +start &30 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3165,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3166,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,37700,67800,39100" +st "clock" +blo "64000,38900" +tm "WireNameMgr" +) +) +on &13 +) +*56 (Wire +uid 3169,0 +shape (OrthoPolyLine +uid 3170,0 +va (VaSet +vasetType 3 +) +xt "61750,25000,93000,51000" +pts [ +"61750,29000" +"65000,29000" +"65000,25000" +"93000,25000" +"93000,51000" +] +) +start &15 +end &43 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3174,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,27700,70750,29100" +st "morseOut" +blo "63750,28900" +tm "WireNameMgr" +) +) +on &41 +) +*57 (Wire +uid 3177,0 +shape (OrthoPolyLine +uid 3178,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,29000,44250,51000" +pts [ +"44250,29000" +"33000,29000" +"33000,51000" +] +) +start &19 +end &43 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3182,0 +va (VaSet +font "Verdana,12,0" +) +xt "40250,27700,43750,29100" +st "char" +blo "40250,28900" +tm "WireNameMgr" +) +) +on &42 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *58 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*60 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*62 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*63 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*65 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*66 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*67 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1603,-1603,140959,75855" +cachedDiagramExtent "0,0,111000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3613,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*69 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*70 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*72 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*73 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*75 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*76 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*78 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*79 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*81 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*82 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*84 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*86 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*88 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5600,7000,6600" +st "Declarations" +blo "0,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6600,3400,7600" +st "Ports:" +blo "0,7400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7600,4800,8600" +st "Pre User:" +blo "0,8400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,8600,26900,14600" +st "constant characterBitNb: positive := 7; +constant unitCountBitNb: positive := 3; +constant unitDuration: real := 50.0E-6; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,9000,15600" +st "Diagram Signals:" +blo "0,15400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5600,6000,6600" +st "Post User:" +blo "0,6400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,5600,0,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 35,0 +usingSuid 1 +emptyRow *89 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*90 (RefLabelRowHdr +) +*91 (TitleRowHdr +) +*92 (FilterRowHdr +) +*93 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*94 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*95 (GroupColHdr +tm "GroupColHdrMgr" +) +*96 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*97 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*98 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*99 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*100 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*101 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +) +uid 2588,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 2590,0 +) +*104 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readChar" +t "std_ulogic" +o 3 +suid 29,0 +) +) +uid 3183,0 +) +*105 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 30,0 +) +) +uid 3185,0 +) +*106 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "startCounter" +t "std_ulogic" +o 5 +suid 31,0 +) +) +uid 3187,0 +) +*107 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unitNb" +t "unsigned" +b "(unitCountBitNb-1 downto 0)" +o 6 +suid 32,0 +) +) +uid 3189,0 +) +*108 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "done" +t "std_ulogic" +o 7 +suid 33,0 +) +) +uid 3191,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 34,0 +) +) +uid 3193,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 9 +suid 35,0 +) +) +uid 3195,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &89 +pos 9 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*113 (MRCItem +litem &90 +pos 0 +dimension 20 +uid 1337,0 +) +*114 (MRCItem +litem &91 +pos 1 +dimension 23 +uid 1338,0 +) +*115 (MRCItem +litem &92 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*116 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 2589,0 +) +*117 (MRCItem +litem &103 +pos 1 +dimension 20 +uid 2591,0 +) +*118 (MRCItem +litem &104 +pos 2 +dimension 20 +uid 3184,0 +) +*119 (MRCItem +litem &105 +pos 3 +dimension 20 +uid 3186,0 +) +*120 (MRCItem +litem &106 +pos 4 +dimension 20 +uid 3188,0 +) +*121 (MRCItem +litem &107 +pos 5 +dimension 20 +uid 3190,0 +) +*122 (MRCItem +litem &108 +pos 6 +dimension 20 +uid 3192,0 +) +*123 (MRCItem +litem &109 +pos 7 +dimension 20 +uid 3194,0 +) +*124 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 3196,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*125 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 1341,0 +) +*126 (MRCItem +litem &95 +pos 1 +dimension 50 +uid 1342,0 +) +*127 (MRCItem +litem &96 +pos 2 +dimension 100 +uid 1343,0 +) +*128 (MRCItem +litem &97 +pos 3 +dimension 50 +uid 1344,0 +) +*129 (MRCItem +litem &98 +pos 4 +dimension 100 +uid 1345,0 +) +*130 (MRCItem +litem &99 +pos 5 +dimension 100 +uid 1346,0 +) +*131 (MRCItem +litem &100 +pos 6 +dimension 50 +uid 1347,0 +) +*132 (MRCItem +litem &101 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *133 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*134 (RefLabelRowHdr +) +*135 (TitleRowHdr +) +*136 (FilterRowHdr +) +*137 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*138 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*139 (GroupColHdr +tm "GroupColHdrMgr" +) +*140 (NameColHdr +tm "GenericNameColHdrMgr" +) +*141 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*142 (InitColHdr +tm "GenericValueColHdrMgr" +) +*143 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*144 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*145 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *146 (MRCItem +litem &133 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*147 (MRCItem +litem &134 +pos 0 +dimension 20 +uid 1365,0 +) +*148 (MRCItem +litem &135 +pos 1 +dimension 23 +uid 1366,0 +) +*149 (MRCItem +litem &136 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*150 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 1369,0 +) +*151 (MRCItem +litem &139 +pos 1 +dimension 50 +uid 1370,0 +) +*152 (MRCItem +litem &140 +pos 2 +dimension 100 +uid 1371,0 +) +*153 (MRCItem +litem &141 +pos 3 +dimension 100 +uid 1372,0 +) +*154 (MRCItem +litem &142 +pos 4 +dimension 50 +uid 1373,0 +) +*155 (MRCItem +litem &143 +pos 5 +dimension 50 +uid 1374,0 +) +*156 (MRCItem +litem &144 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse_test/hds/char@to@morse@controller_tb/symbol.sb b/05-Morse/Morse_test/hds/char@to@morse@controller_tb/symbol.sb new file mode 100644 index 0000000..7b0e694 --- /dev/null +++ b/05-Morse/Morse_test/hds/char@to@morse@controller_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/char@to@morse@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/char@to@morse@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/char@to@morse@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/charToMorseController_tb" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorseController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:12:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "charToMorseController_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/char@to@morse@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/charToMorseController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:12:49" +) +(vvPair +variable "unit" +value "charToMorseController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,28100,15700" +st "Morse_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,35100,16600" +st "charToMorseController_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1800,44500,2700" +st "User:" +blo "42000,2500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,44000,2700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/05-Morse/Morse_test/hds/char@to@morse@controller_tester/interface b/05-Morse/Morse_test/hds/char@to@morse@controller_tester/interface new file mode 100644 index 0000000..4e5912c --- /dev/null +++ b/05-Morse/Morse_test/hds/char@to@morse@controller_tester/interface @@ -0,0 +1,1718 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 42,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 9 +suid 37,0 +) +) +uid 601,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 38,0 +) +) +uid 603,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 39,0 +) +) +uid 605,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 40,0 +) +) +uid 607,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "readChar" +t "std_ulogic" +o 3 +suid 41,0 +) +) +uid 609,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 42,0 +) +) +uid 611,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 602,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 604,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 606,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 608,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 610,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "characterBitNb" +type "positive" +value "" +) +uid 391,0 +) +*52 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 481,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 138,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*58 (MRCItem +litem &51 +pos 1 +dimension 20 +uid 392,0 +) +*59 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 482,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\charToMorseController_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "charToMorseController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "charToMorseController_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\char@to@morse@controller_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\charToMorseController_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:50:43" +) +(vvPair +variable "unit" +value "charToMorseController_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 572,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 574,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10500" +st "char" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 575,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,80500,4400" +st "char : OUT std_ulogic_vector (characterBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "char" +t "std_ulogic_vector" +b "(characterBitNb-1 DOWNTO 0)" +o 9 +suid 37,0 +) +) +) +*69 (CptPort +uid 576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 577,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 579,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,17400" +st "charNotReady" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 580,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,63000,5200" +st "charNotReady : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "charNotReady" +t "std_ulogic" +o 4 +suid 38,0 +) +) +) +*70 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 584,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 585,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,63000,6000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 39,0 +) +) +) +*71 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82625,5250,83375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "82300,7000,83700,14000" +st "morseOut" +ju 2 +blo "83500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,63000,2800" +st "morseOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "morseOut" +t "std_ulogic" +o 8 +suid 40,0 +) +) +) +*72 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,13700" +st "readChar" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,63000,3600" +st "readChar : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "readChar" +t "std_ulogic" +o 3 +suid 41,0 +) +) +) +*73 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 42,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,91000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "45150,9050,51550,10050" +st "Morse_test" +blo "45150,9850" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "45150,10050,60850,11050" +st "charToMorseController_tester" +blo "45150,10850" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "26000,6000,37600,10000" +st "Generic Declarations + +clockFrequency real +characterBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "" +) +(GiElement +name "characterBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,44800,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,56200,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,40900,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*88 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "80,66,1095,756" +viewArea "-500,-500,83100,45260" +cachedDiagramExtent "0,0,91000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Morse_test" +entityName "charToMorseController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,6800,45000,7800" +st "User:" +blo "42000,7600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,7800,44000,7800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 612,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/05-Morse/Morse_test/hds/morse@decoder_tb/struct.bd b/05-Morse/Morse_test/hds/morse@decoder_tb/struct.bd new file mode 100644 index 0000000..eafe662 --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@decoder_tb/struct.bd @@ -0,0 +1,3271 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Morse_test" +duName "morseDecoder_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +] +mwi 0 +uid 2178,0 +) +(Instance +name "I_enc" +duLibraryName "Morse" +duName "morseEncoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +mwi 0 +uid 3220,0 +) +(Instance +name "I_DUT" +duLibraryName "Morse" +duName "morseDecoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +mwi 0 +uid 3389,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseDecoder_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseDecoder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:57" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morseDecoder_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseDecoder_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:50:57" +) +(vvPair +variable "unit" +value "morseDecoder_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,65000,79000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,65500,62200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,83000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61500,79200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,63000,79000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,63500,62200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,62000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63500,58200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,62000,99000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,62200,93300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,61000,99000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,61500,83200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,61000,79000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "63350,61400,73650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,62000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64500,58200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,62000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65500,58200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,64000,79000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,64500,62200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "58000,61000,99000,66000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 2178,0 +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,40000,89000,48000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2180,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 2181,0 +va (VaSet +) +xt "18850,48200,25950,49400" +st "Morse_test" +blo "18850,49200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 2182,0 +va (VaSet +) +xt "18850,49400,31150,50600" +st "morseDecoder_tester" +blo "18850,50400" +tm "BlkNameMgr" +) +*15 (Text +uid 2183,0 +va (VaSet +) +xt "18850,50600,23650,51800" +st "I_tester" +blo "18850,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2184,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2185,0 +text (MLText +uid 2186,0 +va (VaSet +) +xt "19000,52000,45300,55600" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +] +) +) +*16 (Net +uid 2556,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +declText (MLText +uid 2557,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,16100,22600" +st "SIGNAL reset : std_ulogic +" +) +) +*17 (Net +uid 2564,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +declText (MLText +uid 2565,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,16100,19600" +st "SIGNAL clock : std_ulogic +" +) +) +*18 (Net +uid 2572,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 27,0 +) +declText (MLText +uid 2573,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,16400,17600" +st "SIGNAL RxD : std_ulogic +" +) +) +*19 (Net +uid 2580,0 +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 28,0 +) +declText (MLText +uid 2581,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,17500,20600" +st "SIGNAL morseCode : std_ulogic +" +) +) +*20 (Net +uid 3115,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 5 +suid 30,0 +) +declText (MLText +uid 3116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,16300,18600" +st "SIGNAL TxD : std_ulogic +" +) +) +*21 (SaComponent +uid 3220,0 +optionalChildren [ +*22 (CptPort +uid 3204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,23625,51750,24375" +) +tg (CPTG +uid 3206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3207,0 +va (VaSet +) +xt "43600,23400,50000,24600" +st "morseCode" +ju 2 +blo "50000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*23 (CptPort +uid 3208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3209,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,27625,35000,28375" +) +tg (CPTG +uid 3210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3211,0 +va (VaSet +) +xt "36000,27400,39400,28600" +st "clock" +blo "36000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*24 (CptPort +uid 3212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,29625,35000,30375" +) +tg (CPTG +uid 3214,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3215,0 +va (VaSet +) +xt "36000,29400,39300,30600" +st "reset" +blo "36000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*25 (CptPort +uid 3216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3217,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,23625,35000,24375" +) +tg (CPTG +uid 3218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3219,0 +va (VaSet +) +xt "36000,23550,38800,24750" +st "RxD" +blo "36000,24550" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 3221,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,20000,51000,32000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 3222,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 3223,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,31800,39100,33000" +st "Morse" +blo "35600,32800" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 3224,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,32700,43700,33900" +st "morseEncoder" +blo "35600,33700" +tm "CptNameMgr" +) +*28 (Text +uid 3225,0 +va (VaSet +font "Verdana,9,1" +) +xt "35600,33600,39000,34800" +st "I_enc" +blo "35600,34600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3226,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3227,0 +text (MLText +uid 3228,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,35600,59200,40600" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*29 (Net +uid 3293,0 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 6 +suid 31,0 +) +declText (MLText +uid 3294,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,17900,21600" +st "SIGNAL morseEnvelope : std_ulogic +" +) +) +*30 (SaComponent +uid 3389,0 +optionalChildren [ +*31 (CptPort +uid 3369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,23625,59000,24375" +) +tg (CPTG +uid 3371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3372,0 +va (VaSet +) +xt "60000,23700,66400,24900" +st "morseCode" +blo "60000,24700" +) +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*32 (CptPort +uid 3373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,27625,59000,28375" +) +tg (CPTG +uid 3375,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3376,0 +va (VaSet +) +xt "60000,27400,63400,28600" +st "clock" +blo "60000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*33 (CptPort +uid 3377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,29625,59000,30375" +) +tg (CPTG +uid 3379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3380,0 +va (VaSet +) +xt "60000,29400,63300,30600" +st "reset" +blo "60000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*34 (CptPort +uid 3381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75000,23625,75750,24375" +) +tg (CPTG +uid 3383,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3384,0 +va (VaSet +) +xt "71200,23700,74000,24900" +st "TxD" +ju 2 +blo "74000,24700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +*35 (CptPort +uid 3385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75000,27625,75750,28375" +) +tg (CPTG +uid 3387,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3388,0 +va (VaSet +font "Verdana,8,0" +) +xt "66800,27550,74000,28550" +st "morseEnvelope" +ju 2 +blo "74000,28350" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 5 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 3390,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "59000,20000,75000,32000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 3391,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 3392,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,31800,63100,33000" +st "Morse" +blo "59600,32800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 3393,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,32700,67800,33900" +st "morseDecoder" +blo "59600,33700" +tm "CptNameMgr" +) +*38 (Text +uid 3394,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,33600,63300,34800" +st "I_DUT" +blo "59600,34600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3395,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3396,0 +text (MLText +uid 3397,0 +va (VaSet +font "Verdana,8,0" +) +xt "59000,35600,83200,41600" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) +deglitchBitNb = deglitchBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +(GiElement +name "deglitchBitNb" +type "natural" +value "deglitchBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (Wire +uid 2558,0 +shape (OrthoPolyLine +uid 2559,0 +va (VaSet +vasetType 3 +) +xt "33000,30000,34250,40000" +pts [ +"34250,30000" +"33000,30000" +"33000,40000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2562,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2563,0 +va (VaSet +font "Verdana,12,0" +) +xt "29250,28600,33350,30000" +st "reset" +blo "29250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*40 (Wire +uid 2566,0 +shape (OrthoPolyLine +uid 2567,0 +va (VaSet +vasetType 3 +) +xt "31000,28000,34250,40000" +pts [ +"34250,28000" +"31000,28000" +"31000,40000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2571,0 +va (VaSet +font "Verdana,12,0" +) +xt "29250,26600,33050,28000" +st "clock" +blo "29250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*41 (Wire +uid 2574,0 +shape (OrthoPolyLine +uid 2575,0 +va (VaSet +vasetType 3 +) +xt "27000,24000,34250,40000" +pts [ +"34250,24000" +"27000,24000" +"27000,40000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2578,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2579,0 +va (VaSet +font "Verdana,12,0" +) +xt "30250,22600,33450,24000" +st "RxD" +blo "30250,23800" +tm "WireNameMgr" +) +) +on &18 +) +*42 (Wire +uid 2582,0 +shape (OrthoPolyLine +uid 2583,0 +va (VaSet +vasetType 3 +) +xt "51750,24000,58250,24000" +pts [ +"51750,24000" +"58250,24000" +] +) +start &22 +end &31 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2587,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,22700,59000,24100" +st "morseCode" +blo "51000,23900" +tm "WireNameMgr" +) +) +on &19 +) +*43 (Wire +uid 3062,0 +shape (OrthoPolyLine +uid 3063,0 +va (VaSet +vasetType 3 +) +xt "55000,30000,58250,30000" +pts [ +"58250,30000" +"55000,30000" +] +) +start &33 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3068,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3069,0 +va (VaSet +font "Verdana,12,0" +) +xt "53250,28600,57350,30000" +st "reset" +blo "53250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*44 (Wire +uid 3070,0 +shape (OrthoPolyLine +uid 3071,0 +va (VaSet +vasetType 3 +) +xt "55000,28000,58250,28000" +pts [ +"58250,28000" +"55000,28000" +] +) +start &32 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3076,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3077,0 +va (VaSet +font "Verdana,12,0" +) +xt "53250,26600,57050,28000" +st "clock" +blo "53250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*45 (Wire +uid 3117,0 +shape (OrthoPolyLine +uid 3118,0 +va (VaSet +vasetType 3 +) +xt "75750,24000,81000,40000" +pts [ +"75750,24000" +"81000,24000" +"81000,40000" +] +) +start &34 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3121,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3122,0 +va (VaSet +font "Verdana,12,0" +) +xt "77750,22700,80850,24100" +st "TxD" +blo "77750,23900" +tm "WireNameMgr" +) +) +on &20 +) +*46 (Wire +uid 3295,0 +shape (OrthoPolyLine +uid 3296,0 +va (VaSet +vasetType 3 +) +xt "75750,28000,79000,40000" +pts [ +"75750,28000" +"79000,28000" +"79000,40000" +] +) +start &35 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3299,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3300,0 +va (VaSet +font "Verdana,12,0" +) +xt "77750,26700,89050,28100" +st "morseEnvelope" +blo "77750,27900" +tm "WireNameMgr" +) +) +on &29 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *47 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*49 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*51 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*52 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*53 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*54 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*55 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*56 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1432,-1432,125925,67764" +cachedDiagramExtent "0,0,99000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3471,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*59 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*61 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*62 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*64 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*65 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*67 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*68 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*70 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*71 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*73 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*75 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*77 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5600,7000,6600" +st "Declarations" +blo "0,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6600,3400,7600" +st "Ports:" +blo "0,7400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7600,4800,8600" +st "Pre User:" +blo "0,8400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8600,30400,15600" +st "constant uartBaudRate: real := 115.2E3; +constant uartDataBitNb: positive := 7; +constant morseUnitDuration: real := 50.0E-6; +constant morseToneFrequency: real := 10.0/morseUnitDuration; +constant deglitchBitNb: natural := 8; +constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15600,9000,16600" +st "Diagram Signals:" +blo "0,16400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5600,6000,6600" +st "Post User:" +blo "0,6400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5600,0,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 31,0 +usingSuid 1 +emptyRow *78 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*79 (RefLabelRowHdr +) +*80 (TitleRowHdr +) +*81 (FilterRowHdr +) +*82 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*83 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*84 (GroupColHdr +tm "GroupColHdrMgr" +) +*85 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*86 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*87 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*88 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*89 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*90 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +) +uid 2588,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 2590,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 27,0 +) +) +uid 2592,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 28,0 +) +) +uid 2594,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_ulogic" +o 5 +suid 30,0 +) +) +uid 3123,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 6 +suid 31,0 +) +) +uid 3330,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*97 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *98 (MRCItem +litem &78 +pos 6 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*99 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 1337,0 +) +*100 (MRCItem +litem &80 +pos 1 +dimension 23 +uid 1338,0 +) +*101 (MRCItem +litem &81 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*102 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 2589,0 +) +*103 (MRCItem +litem &92 +pos 1 +dimension 20 +uid 2591,0 +) +*104 (MRCItem +litem &93 +pos 2 +dimension 20 +uid 2593,0 +) +*105 (MRCItem +litem &94 +pos 3 +dimension 20 +uid 2595,0 +) +*106 (MRCItem +litem &95 +pos 4 +dimension 20 +uid 3124,0 +) +*107 (MRCItem +litem &96 +pos 5 +dimension 20 +uid 3331,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*108 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 1341,0 +) +*109 (MRCItem +litem &84 +pos 1 +dimension 50 +uid 1342,0 +) +*110 (MRCItem +litem &85 +pos 2 +dimension 100 +uid 1343,0 +) +*111 (MRCItem +litem &86 +pos 3 +dimension 50 +uid 1344,0 +) +*112 (MRCItem +litem &87 +pos 4 +dimension 100 +uid 1345,0 +) +*113 (MRCItem +litem &88 +pos 5 +dimension 100 +uid 1346,0 +) +*114 (MRCItem +litem &89 +pos 6 +dimension 50 +uid 1347,0 +) +*115 (MRCItem +litem &90 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *116 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*117 (RefLabelRowHdr +) +*118 (TitleRowHdr +) +*119 (FilterRowHdr +) +*120 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*121 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*122 (GroupColHdr +tm "GroupColHdrMgr" +) +*123 (NameColHdr +tm "GenericNameColHdrMgr" +) +*124 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*125 (InitColHdr +tm "GenericValueColHdrMgr" +) +*126 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*127 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*128 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *129 (MRCItem +litem &116 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*130 (MRCItem +litem &117 +pos 0 +dimension 20 +uid 1365,0 +) +*131 (MRCItem +litem &118 +pos 1 +dimension 23 +uid 1366,0 +) +*132 (MRCItem +litem &119 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*133 (MRCItem +litem &120 +pos 0 +dimension 20 +uid 1369,0 +) +*134 (MRCItem +litem &122 +pos 1 +dimension 50 +uid 1370,0 +) +*135 (MRCItem +litem &123 +pos 2 +dimension 100 +uid 1371,0 +) +*136 (MRCItem +litem &124 +pos 3 +dimension 100 +uid 1372,0 +) +*137 (MRCItem +litem &125 +pos 4 +dimension 50 +uid 1373,0 +) +*138 (MRCItem +litem &126 +pos 5 +dimension 50 +uid 1374,0 +) +*139 (MRCItem +litem &127 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse_test/hds/morse@decoder_tb/symbol.sb b/05-Morse/Morse_test/hds/morse@decoder_tb/symbol.sb new file mode 100644 index 0000000..aca7181 --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@decoder_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@decoder_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@decoder_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@decoder_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morseDecoder_tb" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseDecoder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:12:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "morseDecoder_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@decoder_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morseDecoder_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:12:49" +) +(vvPair +variable "unit" +value "morseDecoder_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,28100,15700" +st "Morse_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,30600,16600" +st "morseDecoder_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1800,44500,2700" +st "User:" +blo "42000,2500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,44000,2700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/05-Morse/Morse_test/hds/morse@decoder_tester/interface b/05-Morse/Morse_test/hds/morse@decoder_tester/interface new file mode 100644 index 0000000..fe51603 --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@decoder_tester/interface @@ -0,0 +1,1670 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +uid 375,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 6 +suid 16,0 +) +) +uid 377,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 17,0 +) +) +uid 379,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 18,0 +) +) +uid 381,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 5 +suid 19,0 +) +) +uid 383,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 376,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 378,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 380,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 382,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 384,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 159,0 +) +*50 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "9.6E3" +) +uid 161,0 +) +*51 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +uid 163,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*54 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 125,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 126,0 +) +*56 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 160,0 +) +*58 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 162,0 +) +*59 (MRCItem +litem &51 +pos 2 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*60 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 129,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 130,0 +) +*62 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 131,0 +) +*63 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 132,0 +) +*64 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 133,0 +) +*65 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 134,0 +) +*66 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseDecoder_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseDecoder_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:50:57" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morseDecoder_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@decoder_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseDecoder_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:50:57" +) +(vvPair +variable "unit" +value "morseDecoder_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 351,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 352,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 353,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 354,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,63500,5200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 15,0 +) +) +) +*69 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 358,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74300,7000,75700,18300" +st "morseEnvelope" +ju 2 +blo "75500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,63500,3600" +st "morseEnvelope : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "morseEnvelope" +t "std_ulogic" +o 6 +suid 16,0 +) +) +) +*70 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 361,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 363,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 364,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 17,0 +) +) +) +*71 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10200" +st "RxD" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,63500,4400" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 18,0 +) +) +) +*72 (CptPort +uid 370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 371,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +tg (CPTG +uid 372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 373,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "76300,7000,77700,10100" +st "TxD" +ju 2 +blo "77500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 374,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,63500,2800" +st "TxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 5 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,85000,14000" +) +oxt "15000,6000,83000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "44450,9050,50850,10050" +st "Morse_test" +blo "44450,9850" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "44450,10050,55550,11050" +st "morseDecoder_tester" +blo "44450,10850" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "23000,6000,37900,11000" +st "Generic Declarations + +clockFrequency real 100.0E6 +uartBaudRate real 9.6E3 +uartDataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "uartBaudRate" +type "real" +value "9.6E3" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,44800,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,56200,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,47500,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*87 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "57,32,1072,722" +viewArea "-500,-500,83100,45260" +cachedDiagramExtent "0,0,83000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Morse_test" +entityName "morseDecoder_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,6000,45000,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 384,0 +activeModelName "Symbol:GEN" +) diff --git a/05-Morse/Morse_test/hds/morse@encoder_tb/struct.bd b/05-Morse/Morse_test/hds/morse@encoder_tb/struct.bd new file mode 100644 index 0000000..fd9bc8a --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@encoder_tb/struct.bd @@ -0,0 +1,2715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Morse_test" +duName "morseEncoder_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +] +mwi 0 +uid 2178,0 +) +(Instance +name "I_DUT" +duLibraryName "Morse" +duName "morseEncoder" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +mwi 0 +uid 2755,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseEncoder_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseEncoder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:51:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Morse_test/concat" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morseEncoder_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseEncoder_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "14:51:13" +) +(vvPair +variable "unit" +value "morseEncoder_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,65000,79000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,65500,62200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,83000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61500,79200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,63000,79000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,63500,62200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,62000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63500,58200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,62000,99000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,62200,93300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,61000,99000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,61500,83200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,61000,79000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "63350,61400,73650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,62000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64500,58200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,62000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65500,58200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "62000,64000,79000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "62200,64500,62200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "58000,61000,99000,66000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 2178,0 +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "29000,38000,73000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2180,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 2181,0 +va (VaSet +) +xt "28850,46200,35950,47400" +st "Morse_test" +blo "28850,47200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 2182,0 +va (VaSet +) +xt "28850,47400,41050,48600" +st "morseEncoder_tester" +blo "28850,48400" +tm "BlkNameMgr" +) +*15 (Text +uid 2183,0 +va (VaSet +) +xt "28850,48600,33650,49800" +st "I_tester" +blo "28850,49600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2184,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2185,0 +text (MLText +uid 2186,0 +va (VaSet +) +xt "29000,50000,55300,53600" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +] +) +) +*16 (Net +uid 2556,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +declText (MLText +uid 2557,0 +va (VaSet +) +xt "2000,20000,18600,21200" +st "SIGNAL reset : std_ulogic +" +) +) +*17 (Net +uid 2564,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +declText (MLText +uid 2565,0 +va (VaSet +) +xt "2000,17600,18700,18800" +st "SIGNAL clock : std_ulogic +" +) +) +*18 (Net +uid 2572,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 27,0 +) +declText (MLText +uid 2573,0 +va (VaSet +) +xt "2000,16400,18700,17600" +st "SIGNAL RxD : std_ulogic +" +) +) +*19 (Net +uid 2580,0 +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 28,0 +) +declText (MLText +uid 2581,0 +va (VaSet +) +xt "2000,18800,20500,20000" +st "SIGNAL morseCode : std_ulogic +" +) +) +*20 (SaComponent +uid 2755,0 +optionalChildren [ +*21 (CptPort +uid 2739,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2740,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 2741,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2742,0 +va (VaSet +) +xt "53600,21400,60000,22600" +st "morseCode" +ju 2 +blo "60000,22400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "morseCode" +t "std_ulogic" +o 1 +suid 2007,0 +) +) +) +*22 (CptPort +uid 2743,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,25625,45000,26375" +) +tg (CPTG +uid 2745,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2746,0 +va (VaSet +) +xt "46000,25400,49400,26600" +st "clock" +blo "46000,26400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2008,0 +) +) +) +*23 (CptPort +uid 2747,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 2749,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2750,0 +va (VaSet +) +xt "46000,27400,49300,28600" +st "reset" +blo "46000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 2009,0 +) +) +) +*24 (CptPort +uid 2751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,21625,45000,22375" +) +tg (CPTG +uid 2753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2754,0 +va (VaSet +) +xt "46000,21400,48800,22600" +st "RxD" +blo "46000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 2010,0 +) +) +) +] +shape (Rectangle +uid 2756,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,18000,61000,30000" +) +oxt "32000,11000,48000,23000" +ttg (MlTextGroup +uid 2757,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 2758,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,29800,49100,31000" +st "Morse" +blo "45600,30800" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 2759,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,31000,53700,32200" +st "morseEncoder" +blo "45600,32000" +tm "CptNameMgr" +) +*27 (Text +uid 2760,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,32200,49300,33400" +st "I_DUT" +blo "45600,33200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2761,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2762,0 +text (MLText +uid 2763,0 +va (VaSet +) +xt "45000,33600,73900,39600" +st "clockFrequency = clockFrequency ( real ) +uartBaudRate = uartBaudRate ( real ) +uartDataBitNb = uartDataBitNb ( positive ) +unitDuration = morseUnitDuration ( real ) +toneFrequency = morseToneFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "uartBaudRate" +type "real" +value "uartBaudRate" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "uartDataBitNb" +) +(GiElement +name "unitDuration" +type "real" +value "morseUnitDuration" +) +(GiElement +name "toneFrequency" +type "real" +value "morseToneFrequency" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 2558,0 +shape (OrthoPolyLine +uid 2559,0 +va (VaSet +vasetType 3 +) +xt "43000,28000,44250,38000" +pts [ +"44250,28000" +"43000,28000" +"43000,38000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2562,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2563,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,26600,43350,28000" +st "reset" +blo "39250,27800" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 2566,0 +shape (OrthoPolyLine +uid 2567,0 +va (VaSet +vasetType 3 +) +xt "41000,26000,44250,38000" +pts [ +"44250,26000" +"41000,26000" +"41000,38000" +] +) +start &22 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2571,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,24600,43050,26000" +st "clock" +blo "39250,25800" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 2574,0 +shape (OrthoPolyLine +uid 2575,0 +va (VaSet +vasetType 3 +) +xt "37000,22000,44250,38000" +pts [ +"44250,22000" +"37000,22000" +"37000,38000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2578,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2579,0 +va (VaSet +font "Verdana,12,0" +) +xt "40250,20600,43450,22000" +st "RxD" +blo "40250,21800" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 2582,0 +shape (OrthoPolyLine +uid 2583,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,65000,38000" +pts [ +"61750,22000" +"65000,22000" +"65000,38000" +] +) +start &21 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2587,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,71750,22000" +st "morseCode" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*34 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1432,-1432,125925,67764" +cachedDiagramExtent "0,0,99000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3073,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*46 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*47 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*52 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*53 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*55 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*56 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5200,7000,6200" +st "Declarations" +blo "0,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6200,3400,7200" +st "Ports:" +blo "0,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,4800,8200" +st "Pre User:" +blo "0,8000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,8200,37300,15400" +st "constant clockFrequency: real := 60.0E6; +--constant clockFrequency: real := 66.0E6; +constant uartBaudRate: real := 115.2E3; +constant uartDataBitNb: positive := 7; +constant morseUnitDuration: real := 50.0E-6; +constant morseToneFrequency: real := 10.0/morseUnitDuration;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15400,9000,16400" +st "Diagram Signals:" +blo "0,16200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5200,6000,6200" +st "Post User:" +blo "0,6000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,5200,0,5200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 25,0 +) +) +uid 2588,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 2590,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 27,0 +) +) +uid 2592,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 28,0 +) +) +uid 2594,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 1337,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 1338,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 2589,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 2591,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 2593,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 2595,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 1341,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 1342,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 1343,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 1344,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 1345,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 1346,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 1347,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 1365,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 1366,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 1369,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 1370,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 1371,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 1372,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 1373,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 1374,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/05-Morse/Morse_test/hds/morse@encoder_tb/symbol.sb b/05-Morse/Morse_test/hds/morse@encoder_tb/symbol.sb new file mode 100644 index 0000000..884beb3 --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@encoder_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@encoder_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@encoder_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@encoder_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morseEncoder_tb" +) +(vvPair +variable "date" +value "03/29/19" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "29" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseEncoder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/29/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "09:12:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "morseEncoder_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morse@encoder_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Morse/Prefs/../Morse_test/hds/morseEncoder_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "morse" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:12:49" +) +(vvPair +variable "unit" +value "morseEncoder_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,28100,15700" +st "Morse_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,30600,16600" +st "morseEncoder_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1800,44500,2700" +st "User:" +blo "42000,2500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,44000,2700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/05-Morse/Morse_test/hds/morse@encoder_tester/interface b/05-Morse/Morse_test/hds/morse@encoder_tester/interface new file mode 100644 index 0000000..685bbdf --- /dev/null +++ b/05-Morse/Morse_test/hds/morse@encoder_tester/interface @@ -0,0 +1,1594 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 25,0 +) +) +uid 578,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 26,0 +) +) +uid 580,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 27,0 +) +) +uid 582,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 28,0 +) +) +uid 584,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 579,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 581,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 583,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 585,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 131,0 +) +*48 (LogGeneric +generic (GiElement +name "uartBaudRate" +type "real" +value "" +) +uid 207,0 +) +*49 (LogGeneric +generic (GiElement +name "uartDataBitNb" +type "positive" +value "" +) +uid 283,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 208,0 +) +*57 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 284,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseEncoder_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "morseEncoder_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:51:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Morse_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Morse_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "morseEncoder_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morse@encoder_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\05-Morse\\Prefs\\..\\Morse_test\\hds\\morseEncoder_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:51:13" +) +(vvPair +variable "unit" +value "morseEncoder_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 559,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 560,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 561,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 562,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 25,0 +) +) +) +*67 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,15000" +st "morseCode" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 567,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61500,2800" +st "morseCode : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "morseCode" +t "std_ulogic" +o 3 +suid 26,0 +) +) +) +*68 (CptPort +uid 568,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 569,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 570,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 571,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 572,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,60500,5200" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 27,0 +) +) +) +*69 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 576,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10200" +st "RxD" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 577,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61500,3600" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "31500,9050,37900,10050" +st "Morse_test" +blo "31500,9850" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "31500,10050,42500,11050" +st "morseEncoder_tester" +blo "31500,10850" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "13000,6000,24700,11000" +st "Generic Declarations + +clockFrequency real +uartBaudRate real +uartDataBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "" +) +(GiElement +name "uartBaudRate" +type "real" +value "" +) +(GiElement +name "uartDataBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "72,45,1090,735" +viewArea "-500,-500,71410,48820" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Morse_test" +entityName "morseEncoder_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,5200,45000,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 585,0 +activeModelName "Symbol:GEN" +) diff --git a/05-Morse/Morse_test/hds/sine@gen_tester/interface b/05-Morse/Morse_test/hds/sine@gen_tester/interface new file mode 100644 index 0000000..2f7045f --- /dev/null +++ b/05-Morse/Morse_test/hds/sine@gen_tester/interface @@ -0,0 +1,1182 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester" +) +(vvPair +variable "date" +value "04/01/08" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2636" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "12:48:44" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 61,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 165,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 166,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62000,6000" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 170,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 171,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "reset : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 173,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 175,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "60400,7000,61600,23500" +st "sawtooth : (signalBitNb-1:0)" +ju 2 +blo "61400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*5 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "shiftPhase" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 180,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,13700" +st "shiftPhase" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 181,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,62000,7600" +st "shiftPhase : OUT std_ulogic ; +" +) +) +*6 (CptPort +uid 182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 183,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 185,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "54400,7000,55600,21100" +st "sine : (signalBitNb-1:0)" +ju 2 +blo "55400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 186,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 190,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "58400,7000,59600,22400" +st "square : (signalBitNb-1:0)" +ju 2 +blo "59400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,73500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*8 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +m 1 +o 8 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 195,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,21200" +st "step : (phaseBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,72000,8400" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0) +" +) +) +*9 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "56400,7000,57600,22800" +st "triangle : (signalBitNb-1:0)" +ju 2 +blo "57400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +oxt "15000,6000,61000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,8800,44800,10000" +st "Curves_test" +blo "37600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,10000,46400,11200" +st "sineGen_tester" +blo "37600,11000" +) +) +gi *10 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,30500,9200" +st "Generic Declarations + +phaseBitNb positive 16 +signalBitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*11 (Grouping +uid 16,0 +optionalChildren [ +*12 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*17 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49800,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *22 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*24 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *25 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *26 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,8400,44000,9400" +st "User:" +blo "42000,9200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9400,44000,9400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 201,0 +) diff --git a/05-Morse/Morse_test/hds/waveform@gen_tester/interface b/05-Morse/Morse_test/hds/waveform@gen_tester/interface new file mode 100644 index 0000000..c5124f5 --- /dev/null +++ b/05-Morse/Morse_test/hds/waveform@gen_tester/interface @@ -0,0 +1,988 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester" +) +(vvPair +variable "date" +value "06/09/08" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1647" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "17:30:05" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 66,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 110,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "en" +t "std_ulogic" +m 1 +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 115,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,8200" +st "en" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,59500,3600" +st "en : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,59500,4400" +st "reset : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +m 1 +o 3 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 125,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,17400" +st "step : (bitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,67000,5200" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,66000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,8800,42250,10000" +st "Curves_test" +blo "35050,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,10000,46950,11200" +st "waveformGen_tester" +blo "35050,11000" +) +) +gi *6 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,27500,8400" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*7 (Grouping +uid 16,0 +optionalChildren [ +*8 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,45500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*13 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*17 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51900,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *18 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*20 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *21 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *22 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,5200,44000,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/05-Morse/Prefs/dp_user/v2_72/dp_user_prefs b/05-Morse/Prefs/dp_user/v2_72/dp_user_prefs new file mode 100644 index 0000000..035eb8f --- /dev/null +++ b/05-Morse/Prefs/dp_user/v2_72/dp_user_prefs @@ -0,0 +1,65 @@ +[LexParser.LexVHDL2008] +[LexParser] +[Editor] +recentFile0=/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/05-Morse/Prefs/../Morse/hdl/chartomorsecontroller_fsm.vhg +recentFile1=/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/05-Morse/Prefs/../Morse/hdl/chartomorsecontroller_entity.vhg +lastFilter=.vhg +mark.lineImage=blueball +[Printer] +ENSCRIPT_LIBRARY=/usr/opt/HDS/resources/enscript/share/enscript +[ToolbarFrames] +geom0Group1=top H +geom0Group2=top H +geom0Group3=top H +state0Search=1 +Num=0 +state0VersionManagement=1 +state0Tasks=1 +state0View=1 +state0Standard=1 +state0Edit=1 +Group1=Standard Search +Group2=Edit Bookmarks View Macros DocumentTools Windows +Group3=VersionManagement Tasks +state0Macros=1 +state0Bookmarks=1 +state0Windows=1 +state0DocumentTools=1 +[LexParser.LexPSL] +[DND] +TrackerBg=#c3c3c3 +signalAcceptDropBg=white +signalRefuseDropBg=red +[General] +[Browser] +normalTextBg=white +normalTextFg=black +[Replace] +historyMax=4 +atomicReplaceAll=No +[Console] +[Templates] +Visibility=No +[SearchInFiles] +SearchAsRegExp=0 +MatchCase=0 +LookInSubfolders=0 +historyMax=4 +[Geometry] +TopWindow0=1613x991+67+0 +FrameSupp0,0=165 +[VDiff] +[R72] +indentType=spaces +indentString=\#\#\# +[TCOM] +logTCOMActivity=No +afterIdleHandlerTimeSlice=300 +[Menus] +DocAndVis= +[Help] +default=te_guide +[Plugins] +userLanguages= +[Search] +historyMax=4 diff --git a/05-Morse/Prefs/hds.hdp b/05-Morse/Prefs/hds.hdp new file mode 100644 index 0000000..66a3cfa --- /dev/null +++ b/05-Morse/Prefs/hds.hdp @@ -0,0 +1,52 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board +Common = $SCRATCH_DIR/Common +Common_test = $SCRATCH_DIR/Common_test +Lattice = $SCRATCH_DIR/Lattice +Memory = $SCRATCH_DIR/Memory +Memory_test = $SCRATCH_DIR/Memory_test +Morse = $SCRATCH_DIR/Morse +Morse_test = $SCRATCH_DIR/Morse_test +RS232 = $SCRATCH_DIR/RS232 +RS232_test = $SCRATCH_DIR/RS232_test +UVM = $SCRATCH_DIR/UVM +UVM_test = $SCRATCH_DIR/UVM_test +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +Common = $HDS_PROJECT_DIR/../../Libs/Common/hdl +Common_test = $HDS_PROJECT_DIR/../../Libs/Common_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hdl +Memory = $HDS_PROJECT_DIR/../../Libs/Memory/hdl +Memory_test = $HDS_PROJECT_DIR/../../Libs/Memory_test/hdl +Morse = $HDS_PROJECT_DIR/../Morse/hdl +Morse_test = $HDS_PROJECT_DIR/../Morse_test/hdl +RS232 = $HDS_PROJECT_DIR/../../Libs/RS232/hdl +RS232_test = $HDS_PROJECT_DIR/../../Libs/RS232_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +UVM = $HDS_PROJECT_DIR/../../Libs/UVM/hdl +UVM_test = $HDS_PROJECT_DIR/../../Libs/UVM_test/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +Common = $HDS_PROJECT_DIR/../../Libs/Common/hds +Common_test = $HDS_PROJECT_DIR/../../Libs/Common_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hds +Memory = $HDS_PROJECT_DIR/../../Libs/Memory/hds +Memory_test = $HDS_PROJECT_DIR/../../Libs/Memory_test/hds +Morse = $HDS_PROJECT_DIR/../Morse/hds +Morse_test = $HDS_PROJECT_DIR/../Morse_test/hds +RS232 = $HDS_PROJECT_DIR/../../Libs/RS232/hds +RS232_test = $HDS_PROJECT_DIR/../../Libs/RS232_test/hds +std = $HDS_HOME/hdl_libs/std/hds +UVM = $HDS_PROJECT_DIR/../../Libs/UVM/hds +UVM_test = $HDS_PROJECT_DIR/../../Libs/UVM_test/hds +[hds_settings] +design_root = Board.morse_ebs3(struct)morse_ebs3/struct.bd +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/05-Morse/Prefs/hds_team/shared.hdp b/05-Morse/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/05-Morse/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/05-Morse/Prefs/hds_team/v2018.1/hds_team_prefs b/05-Morse/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/05-Morse/Prefs/hds_team/v2018.1/title_block.tmpl b/05-Morse/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/05-Morse/Prefs/hds_team/v2019.2/hds_team_prefs b/05-Morse/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/05-Morse/Prefs/hds_team/v2019.2/title_block.tmpl b/05-Morse/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/05-Morse/Prefs/hds_team/v2019.3/hds_team_prefs b/05-Morse/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/05-Morse/Prefs/hds_team/v2019.3/title_block.tmpl b/05-Morse/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/05-Morse/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/05-Morse/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..da0e177 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6095 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/05-Morse/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/05-Morse/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918-9+48" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Morse" +showingHierarchy 0 +openLibs [ +"Morse" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Morse_test" +showingHierarchy 0 +openLibs [ +"Morse_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 24 +yPos 100 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..5d1345f --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/uvmRs232.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/05-Morse/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Prefs/hds_user/v2018.1/hds_user_prefs b/05-Morse/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/generate.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/05-Morse/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/05-Morse/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..7e45fd9 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6871 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\05-Morse\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\05-Morse\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\05-Morse\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\05-Morse\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "679x388+307+271" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Morse" +showingHierarchy 0 +openLibs [ +"Morse" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Morse_test" +showingHierarchy 0 +openLibs [ +"Morse_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +"Lattice" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/generate.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6a2954d --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/charToMorseController.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/05-Morse/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/05-Morse/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/05-Morse/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/05-Morse/Scripts/cleanGenerated.bash b/05-Morse/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/05-Morse/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/05-Morse/Scripts/cleanGenerated.bat b/05-Morse/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/05-Morse/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/05-Morse/Scripts/cleanScratch.bat b/05-Morse/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/05-Morse/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/05-Morse/Scripts/generateSSHKey.bash b/05-Morse/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/05-Morse/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/05-Morse/Scripts/hdlDesigner.bash b/05-Morse/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/05-Morse/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/05-Morse/Scripts/hdlDesigner.bat b/05-Morse/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/05-Morse/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/05-Morse/Scripts/searchPaths.bat b/05-Morse/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/05-Morse/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/05-Morse/Scripts/trimLibs.pl b/05-Morse/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/05-Morse/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/05-Morse/Scripts/update_ise.pl b/05-Morse/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/05-Morse/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ '0'); + hReady <= '0'; + hResp <= '0'; + + -- Out + ioOut <= (OTHERS => '0'); + ioEn <= (OTHERS => '0'); + +END ARCHITECTURE studentVersion; + diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hdl/ahbUart_studentVersion.vhd b/06-07-08-09-SystemOnChip/AhbLiteComponents/hdl/ahbUart_studentVersion.vhd new file mode 100644 index 0000000..249b9d3 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hdl/ahbUart_studentVersion.vhd @@ -0,0 +1,36 @@ +--============================================================================== +-- +-- AHB UART +-- +-- Implements a serial port. +-- +-------------------------------------------------------------------------------- +-- +-- Write registers +-- +-- 00, data register receives the word to be sent to the serial port. +-- 01, control register is used to control the peripheral. +-- 02, scaler register is used to set the baud rate. +-- +-------------------------------------------------------------------------------- +-- +-- Read registers +-- 00, data register provides the last word received by the serial port. +-- 01, status register is used to get the peripheral's state. +-- bit 0: data ready for read +-- bit 1: sending in progress +-- bit 2: receiving in progress +-- +ARCHITECTURE studentVersion OF ahbUart IS +BEGIN + + -- AHB-Lite + hRData <= (OTHERS => '0'); + hReady <= '0'; + hResp <= '0'; + + -- Serial + TxD <= '0'; + +END ARCHITECTURE studentVersion; + diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbGpio_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbGpio_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbGpio_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbUart_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbUart_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbUart_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbgpio_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbgpio_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbgpio_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbuart_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbuart_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/.hdlsidedata/_ahbuart_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbgpio._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbgpio._epf new file mode 100644 index 0000000..879f967 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbgpio._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom ahbGpio_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbuart._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbuart._epf new file mode 100644 index 0000000..c2cca17 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/_ahbuart._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom ahbUart_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@gpio/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@gpio/symbol.sb new file mode 100644 index 0000000..bf84e54 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@gpio/symbol.sb @@ -0,0 +1,2149 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2068,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +uid 2526,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +uid 2528,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +uid 2530,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +uid 2538,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +uid 2542,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +uid 2544,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +uid 2546,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +uid 2548,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2065,0 +) +) +uid 2656,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +uid 2697,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 2067,0 +) +) +uid 2844,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 2068,0 +) +) +uid 2851,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*27 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *28 (MRCItem +litem &1 +pos 13 +dimension 20 +) +uid 254,0 +optionalChildren [ +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*30 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*31 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*32 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*33 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2527,0 +) +*34 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2529,0 +) +*35 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2531,0 +) +*36 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2539,0 +) +*37 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2543,0 +) +*38 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2545,0 +) +*39 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2547,0 +) +*40 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2549,0 +) +*41 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2657,0 +) +*42 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2698,0 +) +*43 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2845,0 +) +*44 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 2852,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*45 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*46 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*47 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*48 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*49 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*50 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*51 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*52 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *53 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*54 (RefLabelRowHdr +) +*55 (TitleRowHdr +) +*56 (FilterRowHdr +) +*57 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*58 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*59 (GroupColHdr +tm "GroupColHdrMgr" +) +*60 (NameColHdr +tm "GenericNameColHdrMgr" +) +*61 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*62 (InitColHdr +tm "GenericValueColHdrMgr" +) +*63 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*64 (EolColHdr +tm "GenericEolColHdrMgr" +) +*65 (LogGeneric +generic (GiElement +name "ioNb" +type "positive" +value "8" +) +uid 2658,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*66 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *67 (MRCItem +litem &53 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*68 (MRCItem +litem &54 +pos 0 +dimension 20 +uid 296,0 +) +*69 (MRCItem +litem &55 +pos 1 +dimension 23 +uid 298,0 +) +*70 (MRCItem +litem &56 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*71 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 2659,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*72 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 302,0 +) +*73 (MRCItem +litem &59 +pos 1 +dimension 50 +uid 306,0 +) +*74 (MRCItem +litem &60 +pos 2 +dimension 199 +uid 308,0 +) +*75 (MRCItem +litem &61 +pos 3 +dimension 100 +uid 310,0 +) +*76 (MRCItem +litem &62 +pos 4 +dimension 50 +uid 312,0 +) +*77 (MRCItem +litem &63 +pos 5 +dimension 50 +uid 314,0 +) +*78 (MRCItem +litem &64 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@gpio\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@gpio\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@gpio" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahbGpio" +) +(vvPair +variable "date" +value "03.03.2015" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbGpio" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/AhbLiteComponents/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbGpio" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@gpio\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahbGpio\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:18:00" +) +(vvPair +variable "unit" +value "ahbGpio" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,19625,47000,20375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,19300,52500,20700" +st "hAddr" +blo "48000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,33500,12200" +st "hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*81 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2684,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,21625,47000,22375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,21300,53900,22700" +st "hWData" +blo "48000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,35500,16200" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*82 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2685,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,29625,47000,30375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,29300,53400,30700" +st "hRData" +blo "48000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17800,35500,18600" +st "hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*83 (CptPort +uid 2474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2686,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,25625,47000,26375" +) +tg (CPTG +uid 2476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2477,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,25300,53000,26700" +st "hWrite" +blo "48000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2478,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,19000,17000" +st "hWrite : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*84 (CptPort +uid 2494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,23625,47000,24375" +) +tg (CPTG +uid 2496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2497,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,23300,53100,24700" +st "hTrans" +blo "48000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2498,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,36000,15400" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*85 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2688,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,31625,47000,32375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,31300,53500,32700" +st "hReady" +blo "48000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,19000,19400" +st "hReady : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*86 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2689,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,33625,47000,34375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,33300,52700,34700" +st "hResp" +blo "48000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,19000,20200" +st "hResp : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*87 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2690,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,37625,47000,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,37300,51500,38700" +st "hClk" +blo "48000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,19000,13000" +st "hClk : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*88 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,39625,47000,40375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,39300,54800,40700" +st "hReset_n" +blo "48000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,19000,13800" +st "hReset_n : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*89 (CptPort +uid 2651,0 +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2654,0 +va (VaSet +font "Verdana,12,0" +) +xt "57800,21300,62000,22700" +st "ioOut" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2655,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21000,30500,21800" +st "ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2065,0 +) +) +) +*90 (CptPort +uid 2692,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2693,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,27625,47000,28375" +) +tg (CPTG +uid 2694,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2695,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,27300,51500,28700" +st "hSel" +blo "48000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2696,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,19000,14600" +st "hSel : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*91 (CptPort +uid 2839,0 +ps "OnEdgeStrategy" +shape (Triangle +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2841,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2842,0 +va (VaSet +font "Verdana,12,0" +) +xt "58800,23300,62000,24700" +st "ioIn" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2843,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17000,31500,17800" +st "ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 2067,0 +) +) +) +*92 (CptPort +uid 2846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2848,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2849,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,19300,62000,20700" +st "ioEn" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2850,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20200,31500,21000" +st "ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 2068,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,16000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,54600,42700" +st "AhbLiteComponents" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,50300,43700" +st "ahbGpio" +blo "47100,43500" +) +) +gi *93 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "47000,46000,58500,48400" +st "Generic Declarations + +ioNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*94 (Grouping +uid 136,0 +optionalChildren [ +*95 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,71700,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,71200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,59300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*100 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,92300,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "64150,61500,70850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,59300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,59900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,74600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*105 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 103,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*108 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,11300,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1421,895" +viewArea "-1500,-1500,100490,67966" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,21800,2400,22800" +st "User:" +blo "0,22600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22800,2000,22800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2852,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@uart/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@uart/symbol.sb new file mode 100644 index 0000000..4a0a3ec --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents/hds/ahb@uart/symbol.sb @@ -0,0 +1,2089 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2067,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +uid 2526,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +uid 2528,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +uid 2530,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +uid 2538,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +uid 2542,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +uid 2544,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +uid 2546,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +uid 2548,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 10 +suid 2065,0 +) +) +uid 2656,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +uid 2697,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 12 +suid 2067,0 +) +) +uid 2844,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 254,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2527,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2529,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2531,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2539,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2543,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2545,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2547,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2549,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2657,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2698,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2845,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "txFifoDepth" +type "positive" +value "8" +) +uid 2658,0 +) +*64 (LogGeneric +generic (GiElement +name "rxFifoDepth" +type "positive" +value "1" +) +uid 2791,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &51 +pos 2 +dimension 20 +) +uid 293,0 +optionalChildren [ +*67 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 296,0 +) +*68 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 298,0 +) +*69 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*70 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 2659,0 +) +*71 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 2792,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*72 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 302,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 306,0 +) +*74 (MRCItem +litem &58 +pos 2 +dimension 199 +uid 308,0 +) +*75 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 310,0 +) +*76 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 312,0 +) +*77 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 314,0 +) +*78 (MRCItem +litem &62 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@uart\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@uart\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@uart" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahbUart" +) +(vvPair +variable "date" +value "03.03.2015" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbUart" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/AhbLiteComponents/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbUart" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahb@uart\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents\\hds\\ahbUart\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:32:23" +) +(vvPair +variable "unit" +value "ahbUart" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,19625,47000,20375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,19300,52500,20700" +st "hAddr" +blo "48000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,33500,13000" +st "hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*81 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2684,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,21625,47000,22375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,21300,53900,22700" +st "hWData" +blo "48000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,35500,17000" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*82 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2685,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,29625,47000,30375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,29300,53400,30700" +st "hRData" +blo "48000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,35500,19400" +st "hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*83 (CptPort +uid 2474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2686,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,25625,47000,26375" +) +tg (CPTG +uid 2476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2477,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,25300,53000,26700" +st "hWrite" +blo "48000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2478,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17000,19000,17800" +st "hWrite : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*84 (CptPort +uid 2494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,23625,47000,24375" +) +tg (CPTG +uid 2496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2497,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,23300,53100,24700" +st "hTrans" +blo "48000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2498,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,36000,16200" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*85 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2688,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,31625,47000,32375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,31300,53500,32700" +st "hReady" +blo "48000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,19000,20200" +st "hReady : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*86 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2689,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,33625,47000,34375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,33300,52700,34700" +st "hResp" +blo "48000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20200,18000,21000" +st "hResp : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*87 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2690,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,37625,47000,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,37300,51500,38700" +st "hClk" +blo "48000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,19000,13800" +st "hClk : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*88 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,39625,47000,40375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,39300,54800,40700" +st "hReset_n" +blo "48000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,19000,14600" +st "hReset_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*89 (CptPort +uid 2651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2652,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2654,0 +va (VaSet +font "Verdana,12,0" +) +xt "58900,19300,62000,20700" +st "TxD" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2655,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17800,19000,18600" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 10 +suid 2065,0 +) +) +) +*90 (CptPort +uid 2692,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2693,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,27625,47000,28375" +) +tg (CPTG +uid 2694,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2695,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,27300,51500,28700" +st "hSel" +blo "48000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2696,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,19000,15400" +st "hSel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*91 (CptPort +uid 2839,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2869,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2841,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2842,0 +va (VaSet +font "Verdana,12,0" +) +xt "58800,21300,62000,22700" +st "RxD" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2843,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,19000,12200" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 12 +suid 2067,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,16000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,54600,42700" +st "AhbLiteComponents" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,50200,43700" +st "ahbUart" +blo "47100,43500" +) +) +gi *92 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "47000,46000,60500,49200" +st "Generic Declarations + +txFifoDepth positive 8 +rxFifoDepth positive 1 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "txFifoDepth" +type "positive" +value "8" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "1" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*93 (Grouping +uid 136,0 +optionalChildren [ +*94 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,71700,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,71200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,59300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*99 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,92300,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "64150,61500,70850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,59300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,59900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,74500,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*104 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *105 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 103,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*107 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,11300,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1422,895" +viewArea "-1500,-1500,100584,65334" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *108 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *109 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,21000,2400,22000" +st "User:" +blo "0,21800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22000,2000,22000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2869,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/AMBA_AHB-Lite_spec.pdf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/AMBA_AHB-Lite_spec.pdf new file mode 100644 index 0000000..99e720c Binary files /dev/null and b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/AMBA_AHB-Lite_spec.pdf differ diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/MAX11300.pdf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/MAX11300.pdf new file mode 100644 index 0000000..90e7065 Binary files /dev/null and b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/MAX11300.pdf differ diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/ad670.pdf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/ad670.pdf new file mode 100644 index 0000000..9f701ec Binary files /dev/null and b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/doc/ad670.pdf differ diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbGpio_tester_test.vhd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbGpio_tester_test.vhd new file mode 100644 index 0000000..f6f2116 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbGpio_tester_test.vhd @@ -0,0 +1,261 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF ahbGpio_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + signal reset_int: std_uLogic; + -- test information + signal noteTopSeparator : string(1 to 80) := (others => '-'); + signal errorTopSeparator : string(1 to 80) := (others => '#'); + signal bottomSeparator : string(1 to 80) := (others => '.'); + signal indentation : string(1 to 2) := (others => ' '); + signal noteInformation : string(1 to 9) := (others => ' '); + signal errorInformation : string(1 to 10) := (others => ' '); + signal failureInformation : string(1 to 12) := (others => ' '); + signal testInformation : string(1 to 50) := (others => ' '); + -- register definition + constant peripheralBaseAddress: natural := 2**4; + constant dataRegisterAddress: natural := 0; + constant outputEnableRegisterAddress: natural := 1; + -- AMBA bus access + signal registerAddress: natural; + signal registerData: integer; + signal registerWrite: std_uLogic; + signal registerRead: std_uLogic; + signal writeFlag, readFlag, readFlag1: std_uLogic; + signal writeData, readData: integer; + -- GPIO access + signal ioData: integer; + signal ioMask: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset_int <= '1', '0' after 2*clockPeriod; + hReset_n <= not(reset_int); + + clock_int <= not clock_int after clockPeriod/2; + hClk <= transport clock_int after clockPeriod*9.0/10.0; + + ------------------------------------------------------------------------------ + -- test sequence + testSequence: process + begin + registerAddress <= 0; + registerData <= 0; + registerWrite <= '0'; + registerRead <= '0'; + ioData <= 0; + ioMask <= 0; + wait for 100 ns; + + ---------------------------------------------------------------------------- + -- simple test + -- write en mask + testInformation <= pad("Writing data on the GPIO", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + ioData <= 16#AA#; + ioMask <= 16#0F#; wait for 0 ns; + registerAddress <= outputEnableRegisterAddress; + registerData <= ioMask; + registerWrite <= '1', '0' after clockPeriod/2; + wait for 4*clockPeriod; + -- write output data 55h + registerAddress <= dataRegisterAddress; + registerData <= 16#55#; + registerWrite <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + assert io = x"A5" + report + errorTopSeparator & cr & + noteInformation & indentation & "IO data not as expected" & cr & + noteInformation & bottomSeparator + severity error; + -- read data + testInformation <= pad("Reading data from the GPIO", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= dataRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#A5# + report + errorTopSeparator & cr & + noteInformation & indentation & "read data not as expected" & cr & + noteInformation & bottomSeparator + severity error; + wait for 100 ns; + + ---------------------------------------------------------------------------- + -- test with a different base address + -- write en mask + testInformation <= pad( + "Writing data to a different base address", testInformation'length + ); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + ioData <= 16#AA#; + ioMask <= 16#F0#; wait for 0 ns; + registerAddress <= peripheralBaseAddress + outputEnableRegisterAddress; + registerData <= ioMask; + registerWrite <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + -- write output data 55h + registerAddress <= peripheralBaseAddress + dataRegisterAddress; + registerData <= 16#55#; + registerWrite <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + -- read data + registerAddress <= peripheralBaseAddress + dataRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#5A# + report + errorTopSeparator & cr & + noteInformation & indentation & "read data not as expected" & cr & + noteInformation & bottomSeparator + severity error; + wait for 4*clockPeriod; + + ---------------------------------------------------------------------------- + -- access back to back + -- write en mask + testInformation <= pad("Accessing at full speed", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + wait until rising_edge(clock_int); + ioData <= 16#AA#; + ioMask <= 16#0F#; wait for 0 ns; + registerAddress <= outputEnableRegisterAddress; + registerData <= ioMask; + registerWrite <= '1' after clockPeriod/4, '0' after clockPeriod/2; + -- write output data 55h + wait until rising_edge(clock_int); + registerAddress <= dataRegisterAddress; + registerData <= 16#55#; + registerWrite <= '1' after clockPeriod/4, '0' after clockPeriod/2; + -- read data + wait until rising_edge(clock_int); + registerAddress <= dataRegisterAddress; + registerRead <= '1' after clockPeriod/4, '0' after clockPeriod/2; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#A5# + report + errorTopSeparator & cr & + noteInformation & indentation & "read data not as expected" & cr & + noteInformation & bottomSeparator + severity error; + wait for 4*clockPeriod; + -- end of simulation + wait for 100 ns; + testInformation <= pad("End of tests", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + failureInformation & indentation & testInformation & cr & + failureInformation & bottomSeparator + severity failure; + wait; + end process testSequence; + + ------------------------------------------------------------------------------ + -- AMBA bus access + -- phase 1: address and controls + busAccess1: process + variable writeAccess: boolean := false; + begin + wait on reset_int, registerWrite, registerRead; + if falling_edge(reset_int) then + hAddr <= (others => '-'); + hTrans <= transIdle; + hSel <= '0'; + writeFlag <= '0'; + end if; + if rising_edge(registerWrite) or rising_edge(registerRead) then + writeAccess := false; + if rising_edge(registerWrite) then + writeAccess := true; + end if; + wait until rising_edge(clock_int); + hAddr <= to_unsigned(registerAddress, hAddr'length), + (others => '-') after clockPeriod + 1 ns; + hTrans <= transNonSeq, transIdle after clockPeriod + 1 ns; + hSel <= '1', '0' after clockPeriod + 1 ns; + if writeAccess then + writeFlag <= '1', '0' after clockPeriod + 1 ns; + writeData <= registerData; + else + readFlag <= '1', '0' after clockPeriod + 1 ns; + end if; + end if; + end process busAccess1; + + hWrite <= writeFlag; + -- phase 2: data write + busAccess2: process + begin + wait until rising_edge(clock_int); + hWData <= (others => '-'); + readFlag1 <= '0'; + if writeFlag = '1' then + hWData <= std_uLogic_vector(to_signed(writeData, hWData'length)); + end if; + readFlag1 <= readFlag; + end process busAccess2; + -- phase 3: data read + busAccess3: process + begin + wait until rising_edge(clock_int); + if readFlag1 = '1' then + readData <= to_integer(to_01(unsigned(hRData))); + end if; + end process busAccess3; + + ------------------------------------------------------------------------------ + -- GPIO access + linesAccess: process(ioData, ioMask) + variable ioDataVector: unsigned(io'range); + variable ioMaskVector: unsigned(io'range); + begin + ioDataVector := to_unsigned(ioData, ioDataVector'length); + ioMaskVector := to_unsigned(ioMask, ioMaskVector'length); + for index in io'range loop + if ioMaskVector(index) = '1' then + io(index) <= 'Z'; + else + io(index) <= ioDataVector(index); + end if; + end loop; + end process; + +END ARCHITECTURE test; diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbUart_tester_test.vhd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbUart_tester_test.vhd new file mode 100644 index 0000000..4299ea5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/ahbUart_tester_test.vhd @@ -0,0 +1,330 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + + +ARCHITECTURE test OF ahbUart_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + signal reset_int: std_uLogic; + -- test information + signal noteTopSeparator : string(1 to 80) := (others => '-'); + signal errorTopSeparator : string(1 to 80) := (others => '#'); + signal bottomSeparator : string(1 to 80) := (others => '.'); + signal indentation : string(1 to 2) := (others => ' '); + signal noteInformation : string(1 to 9) := (others => ' '); + signal errorInformation : string(1 to 10) := (others => ' '); + signal failureInformation : string(1 to 12) := (others => ' '); + signal testInformation : string(1 to 50) := (others => ' '); + -- register definition + constant dataRegisterAddress: natural := 0; + constant controlRegisterAddress: natural := 1; + constant scalerRegisterAddress: natural := 2; + + constant statusRegisterAddress: natural := 1; + constant statusValidAddress: natural := 0; + constant valueRegisterAddress: natural := 1; + -- AMBA bus access + signal registerAddress: natural; + signal registerData: integer; + signal registerWrite: std_uLogic; + signal registerRead: std_uLogic; + signal writeFlag, readFlag, readFlag1: std_uLogic; + signal writeData, readData: integer; + -- UART access + constant baudPeriodNb: positive := 4; + signal uartData: integer; + signal uartSend: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset_int <= '1', '0' after 2*clockPeriod; + hReset_n <= not(reset_int); + + clock_int <= not clock_int after clockPeriod/2; + hClk <= transport clock_int after clockPeriod*9.0/10.0; + + ------------------------------------------------------------------------------ + -- test sequence + testSequence: process + begin + registerAddress <= 0; + registerData <= 0; + registerWrite <= '0'; + registerRead <= '0'; + uartSend <= '0'; + wait for 1 us; + -- write baud rate + testInformation <= pad("Writing baud rate", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= scalerRegisterAddress; + registerData <= baudPeriodNb; + registerWrite <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + -- write Tx data 55h + testInformation <= pad("Writing Tx data", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= dataRegisterAddress; + registerData <= 16#55#; + registerWrite <= '1', '0' after clockPeriod; + wait for 20*baudPeriodNb*clockPeriod; + -- write Tx data 0Fh + testInformation <= (others => ' '); + wait for 1 ns; + testInformation <= pad("Writing Tx data", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= dataRegisterAddress; + registerData <= 16#0F#; + registerWrite <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + -- read status + testInformation <= pad("Reading status", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= statusRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#02# + report + errorTopSeparator & cr & + errorInformation & indentation & + "expected status sending flag" & cr & + errorInformation & bottomSeparator + severity error; + wait for 12*baudPeriodNb*clockPeriod; + -- read status + testInformation <= (others => ' '); + wait for 1 ns; + testInformation <= pad("Reading status", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#00# + report + errorTopSeparator & cr & + errorInformation & indentation & + "expected no flag" & cr & + errorInformation & bottomSeparator + severity error; + wait for 20*baudPeriodNb*clockPeriod; + -- receive AAh + testInformation <= pad("Receiving Rx data", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + uartData <= 16#AA#; + uartSend <= '1', '0' after clockPeriod; + wait for 4*clockPeriod; + -- read status + testInformation <= pad("Reading status", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= statusRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#04# + report + errorTopSeparator & cr & + errorInformation & indentation & + "expected status receiving flag" & cr & + errorInformation & bottomSeparator + severity error; + wait for 10*baudPeriodNb*clockPeriod; + -- read status again + testInformation <= (others => ' '); + wait for 1 ns; + testInformation <= pad("Reading status", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#01# + report + errorTopSeparator & cr & + errorInformation & indentation & + "expected status data available flag" & cr & + errorInformation & bottomSeparator + severity error; + wait for 4*clockPeriod; + -- read data + testInformation <= pad("Reading data", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= dataRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#AA# + report + errorTopSeparator & cr & + errorInformation & indentation & "read data not as expected" & cr & + errorInformation & bottomSeparator + severity error; + wait for 4*clockPeriod; + -- read status + testInformation <= pad("Reading status", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + noteInformation & indentation & testInformation & cr & + noteInformation & bottomSeparator + severity note; + registerAddress <= statusRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + for index in 1 to 4 loop + wait until rising_edge(clock_int); + end loop; + assert readData = 16#00# + report + errorTopSeparator & cr & + errorInformation & indentation & + "expected no flag" & cr & + errorInformation & bottomSeparator + severity error; + wait for 4*clockPeriod; + -- end of simulation + wait for 100 ns; + testInformation <= pad("End of tests", testInformation'length); + wait for 0 ns; + assert false + report + noteTopSeparator & cr & + failureInformation & indentation & testInformation & cr & + failureInformation & bottomSeparator + severity failure; + wait; + end process testSequence; + + ------------------------------------------------------------------------------ + -- AMBA bus access + -- phase 1: address and controls + busAccess1: process + variable writeAccess: boolean := false; + begin + wait on reset_int, registerWrite, registerRead; + if falling_edge(reset_int) then + hAddr <= (others => '-'); + hTrans <= transIdle; + hSel <= '0'; + writeFlag <= '0'; + end if; + if rising_edge(registerWrite) or rising_edge(registerRead) then + writeAccess := false; + if rising_edge(registerWrite) then + writeAccess := true; + end if; + wait until rising_edge(clock_int); + hAddr <= to_unsigned(registerAddress, hAddr'length), + (others => '-') after clockPeriod + 1 ns; + hTrans <= transNonSeq, transIdle after clockPeriod + 1 ns; + hSel <= '1', '0' after clockPeriod + 1 ns; + if writeAccess then + writeFlag <= '1', '0' after clockPeriod + 1 ns; + writeData <= registerData; + else + readFlag <= '1', '0' after clockPeriod + 1 ns; + end if; + end if; + end process busAccess1; + + hWrite <= writeFlag; + -- phase 2: data write + busAccess2: process + begin + wait until rising_edge(clock_int); + hWData <= (others => '-'); + readFlag1 <= '0'; + if writeFlag = '1' then + hWData <= std_uLogic_vector(to_signed(writeData, hWData'length)); + end if; + readFlag1 <= readFlag; + end process busAccess2; + -- phase 3: data read + busAccess3: process + begin + wait until rising_edge(clock_int); + if readFlag1 = '1' then + readData <= to_integer(to_01(unsigned(hRData))); + end if; + end process busAccess3; + + ------------------------------------------------------------------------------ + -- UART access + sendByte: process + variable serialData: unsigned(7 downto 0); + begin + -- send stop bit + RxD <= '1'; + -- get new word + wait until rising_edge(uartSend); + serialData := to_unsigned(uartData, serialData'length); + -- send start bit + RxD <= '0'; + wait for baudPeriodNb * clockPeriod; + -- send data bits + for index in serialData'reverse_range loop + RxD <= serialData(index); + wait for baudPeriodNb * clockPeriod; + end loop; + end process sendByte; + +END ARCHITECTURE test; diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbDriver_sim.vhd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbDriver_sim.vhd new file mode 100644 index 0000000..e8514e6 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbDriver_sim.vhd @@ -0,0 +1,74 @@ +LIBRARY std; + USE std.TEXTIO.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE RTL OF uvmAhbDriver IS + + constant flipflopDelay: time := 1 ns; + + signal hAddr1, hWData1, hWData2: natural := 0; + signal hWrite1, hWrite2, hRead1, hRead2: std_ulogic := '0'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + hReset_n <= not(reset); + hClk <= clock; + + ------------------------------------------------------------------------------ + -- interpret transaction + interpretTransaction: process(driverTransaction) + variable my_line : line; + variable command_part : line; + begin + write(my_line, driverTransaction); + read_first(my_line, command_part); + if command_part.all = "write" then + read_first(my_line, command_part); + hAddr1 <= sscanf(command_part.all); + read_first(my_line, command_part); + hWData1 <= sscanf(command_part.all); + hWrite1 <= '1', '0' after 1 ns; + elsif command_part.all = "read" then + read_first(my_line, command_part); + hAddr1 <= sscanf(command_part.all); + hRead1 <= '1', '0' after 1 ns; + end if; + deallocate(my_line); + end process interpretTransaction; + -- expand pulses to the next clock + expandReadWrite: process + begin + hRead2 <= '0'; + hWrite2 <= '0'; + wait on hRead1, hWrite1; + hRead2 <= hRead1; + hWrite2 <= hWrite1; + wait until rising_edge(clock); + end process expandReadWrite; + -- delay signals 1 or 2 clock periods + synchAccess: process(reset, clock) + begin + if reset = '1' then + hAddr <= (others => '0'); + hWData2 <= 0; + hWData <= (others => '0'); + hWrite <= '0'; + hSel <= '0'; + hTrans <= transIdle; + elsif rising_edge(clock) then + hAddr <= to_unsigned(hAddr1, hAddr'length) after flipflopDelay; + hWData2 <= hWData1; + hWData <= std_ulogic_vector(to_unsigned(hWData2, hWData'length)) after flipflopDelay; + hWrite <= hWrite2 after flipflopDelay; + hSel <= hWrite2 or hRead2 after flipflopDelay; + if (hWrite2 = '1') or (hRead2 = '1') then + hTrans <= transNonSeq after flipflopDelay; + else + hTrans <= transIdle after flipflopDelay; + end if; + end if; + end process synchAccess; + +END ARCHITECTURE RTL; diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbMonitor_sim.vhd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbMonitor_sim.vhd new file mode 100644 index 0000000..395ea6f --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hdl/uvmAhbMonitor_sim.vhd @@ -0,0 +1,49 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE RTL OF uvmAhbMonitor IS + + signal addressReg: unsigned(hAddr'range); + signal writeReg: std_ulogic; + signal readReg: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- register address and controls + storeControls: process(hReset_n, hClk) + begin + if not(hReset_n) = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + readReg <= '0'; + elsif rising_edge(hClk) then + writeReg <= '0'; + readReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr(addressReg'range); + writeReg <= hWrite; + readReg <= not hWrite; + end if; + end if; + end process storeControls; + -- monitor acesses + reportBusAccess: process(hReset_n, hClk) + begin + if not(hReset_n) = '1' then + monitorTransaction <= pad( false, ' ', monitorTransaction'length, "idle"); + elsif rising_edge(hClk) then + if readReg = '1' then + monitorTransaction <= pad( + false, ' ', monitorTransaction'length, + "read " & sprintf("%04X", addressReg) & ' ' & sprintf("%04X", hRData) + ); + elsif writeReg = '1' then + monitorTransaction <= pad( + false, ' ', monitorTransaction'length, + "written " & sprintf("%04X", addressReg) & ' ' & sprintf("%04X", hWData) + ); + end if; + end if; + end process reportBusAccess; + +END ARCHITECTURE RTL; diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbGpio_tester_test.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbGpio_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbGpio_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbUart_tester_test.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbUart_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbUart_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_struct.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tester_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbgpio_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_struct.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tester_entity.vhg._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_ahbuart_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbDriver_sim.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbDriver_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbDriver_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbMonitor_sim.vhd._fpf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbMonitor_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/.hdlsidedata/_uvmAhbMonitor_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tb._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tb._epf new file mode 100644 index 0000000..a4f9fe7 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom ahb@gpio_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tester._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tester._epf new file mode 100644 index 0000000..1f5a6c5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbgpio_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom ahbGpio_tester_test.vhd diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tb._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tb._epf new file mode 100644 index 0000000..833e6e1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom ahb@uart_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tester._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tester._epf new file mode 100644 index 0000000..254f3eb --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_ahbuart_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom ahbUart_tester_test.vhd diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbagenthw._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbagenthw._epf new file mode 100644 index 0000000..7620737 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbagenthw._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvm@ahb@agent@hw/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbdriver._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbdriver._epf new file mode 100644 index 0000000..69ad7cc --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbdriver._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvmAhbDriver_sim.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbmonitor._epf b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbmonitor._epf new file mode 100644 index 0000000..cba4a77 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/_uvmahbmonitor._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvmAhbMonitor_sim.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/struct.bd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/struct.bd new file mode 100644 index 0000000..018b5fa --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/struct.bd @@ -0,0 +1,4005 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "AhbLiteComponents_test" +duName "ahbGpio_tester" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 12657,0 +) +(Instance +name "I_DUT" +duLibraryName "AhbLiteComponents" +duName "ahbGpio" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +] +mwi 0 +uid 13194,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbGpio_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:06:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbGpio_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:06:49" +) +(vvPair +variable "unit" +value "ahbGpio_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "119000,85000,138000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "119200,85400,134600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,85000,113000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "94250,85250,105750,86750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,91000,113000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,91400,110000,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,85000,119000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,85400,117900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,87000,113000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,87400,107400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,87000,92000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,87400,90600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,89000,92000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,89400,90600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,87000,138000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,87200,127300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,89000,113000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,89400,107500,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,91000,92000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,91400,91500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "87000,85000,138000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Net +uid 12555,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 5 +suid 108,0 +) +declText (MLText +uid 12556,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hReset_n : std_uLogic +" +) +) +*13 (Net +uid 12563,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 2 +suid 109,0 +) +declText (MLText +uid 12564,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hClk : std_uLogic +" +) +) +*14 (Net +uid 12571,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 110,0 +) +declText (MLText +uid 12572,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hResp : std_uLogic +" +) +) +*15 (Net +uid 12579,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 4 +suid 111,0 +) +declText (MLText +uid 12580,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hReady : std_uLogic +" +) +) +*16 (Net +uid 12587,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 112,0 +) +declText (MLText +uid 12588,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32000,1200" +st "SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0) +" +) +) +*17 (Net +uid 12595,0 +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 113,0 +) +declText (MLText +uid 12596,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hSel : std_uLogic +" +) +) +*18 (Net +uid 12603,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 114,0 +) +declText (MLText +uid 12604,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hWrite : std_uLogic +" +) +) +*19 (Net +uid 12611,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 115,0 +) +declText (MLText +uid 12612,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32500,1200" +st "SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0) +" +) +) +*20 (Net +uid 12619,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 116,0 +) +declText (MLText +uid 12620,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32000,1200" +st "SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0) +" +) +) +*21 (Net +uid 12627,0 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 117,0 +) +declText (MLText +uid 12628,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,30000,1200" +st "SIGNAL hAddr : unsigned( ahbAddressBitNb-1 DOWNTO 0 ) +" +) +) +*22 (Blk +uid 12657,0 +shape (Rectangle +uid 12658,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "42000,67000,132000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 12659,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 12660,0 +va (VaSet +font "Verdana,12,0" +) +xt "42400,74900,60800,76300" +st "AhbLiteComponents_test" +blo "42400,76100" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 12661,0 +va (VaSet +font "Verdana,12,0" +) +xt "42400,76300,53800,77700" +st "ahbGpio_tester" +blo "42400,77500" +tm "BlkNameMgr" +) +*25 (Text +uid 12662,0 +va (VaSet +font "Verdana,12,0" +) +xt "42400,77700,48300,79100" +st "I_tester" +blo "42400,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12663,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12664,0 +text (MLText +uid 12665,0 +va (VaSet +font "Courier New,8,0" +) +xt "42400,80300,68900,81900" +st "ioNb = ioNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +viewicon (ZoomableIcon +uid 12742,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "42250,73250,43750,74750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*26 (SaComponent +uid 13194,0 +optionalChildren [ +*27 (CptPort +uid 13142,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13143,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,36625,72000,37375" +) +tg (CPTG +uid 13144,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13145,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,36300,77500,37700" +st "hAddr" +blo "73000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*28 (CptPort +uid 13146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,38625,72000,39375" +) +tg (CPTG +uid 13148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13149,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,38300,78900,39700" +st "hWData" +blo "73000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*29 (CptPort +uid 13150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13151,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,46625,72000,47375" +) +tg (CPTG +uid 13152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13153,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,46300,78400,47700" +st "hRData" +blo "73000,47500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*30 (CptPort +uid 13154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,42625,72000,43375" +) +tg (CPTG +uid 13156,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13157,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,42300,78000,43700" +st "hWrite" +blo "73000,43500" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*31 (CptPort +uid 13158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,40625,72000,41375" +) +tg (CPTG +uid 13160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13161,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,40300,78100,41700" +st "hTrans" +blo "73000,41500" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*32 (CptPort +uid 13162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13163,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,48625,72000,49375" +) +tg (CPTG +uid 13164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13165,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,48300,78500,49700" +st "hReady" +blo "73000,49500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*33 (CptPort +uid 13166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13167,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,50625,72000,51375" +) +tg (CPTG +uid 13168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13169,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,50300,77700,51700" +st "hResp" +blo "73000,51500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*34 (CptPort +uid 13170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,54625,72000,55375" +) +tg (CPTG +uid 13172,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13173,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,54300,76500,55700" +st "hClk" +blo "73000,55500" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*35 (CptPort +uid 13174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,56625,72000,57375" +) +tg (CPTG +uid 13176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13177,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,56300,79800,57700" +st "hReset_n" +blo "73000,57500" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*36 (CptPort +uid 13178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88000,38625,88750,39375" +) +tg (CPTG +uid 13180,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13181,0 +va (VaSet +font "Verdana,12,0" +) +xt "82800,38300,87000,39700" +st "ioOut" +ju 2 +blo "87000,39500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2065,0 +) +) +) +*37 (CptPort +uid 13182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71250,44625,72000,45375" +) +tg (CPTG +uid 13184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13185,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,44300,76500,45700" +st "hSel" +blo "73000,45500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*38 (CptPort +uid 13186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13187,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88000,40625,88750,41375" +) +tg (CPTG +uid 13188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13189,0 +va (VaSet +font "Verdana,12,0" +) +xt "83800,40300,87000,41700" +st "ioIn" +ju 2 +blo "87000,41500" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 2067,0 +) +) +) +*39 (CptPort +uid 13190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13191,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88000,36625,88750,37375" +) +tg (CPTG +uid 13192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13193,0 +va (VaSet +font "Verdana,12,0" +) +xt "83500,36300,87000,37700" +st "ioEn" +ju 2 +blo "87000,37500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 2068,0 +) +) +) +] +shape (Rectangle +uid 13195,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "72000,33000,88000,59000" +) +oxt "47000,16000,63000,42000" +ttg (MlTextGroup +uid 13196,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 13197,0 +va (VaSet +) +xt "72100,58700,79600,59700" +st "AhbLiteComponents" +blo "72100,59500" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 13198,0 +va (VaSet +) +xt "72100,59700,75300,60700" +st "ahbGpio" +blo "72100,60500" +tm "CptNameMgr" +) +*42 (Text +uid 13199,0 +va (VaSet +) +xt "72100,60700,74800,61700" +st "I_DUT" +blo "72100,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13200,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13201,0 +text (MLText +uid 13202,0 +va (VaSet +font "Courier New,8,0" +) +xt "72000,63000,88000,63800" +st "ioNb = ioNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +] +) +viewicon (ZoomableIcon +uid 13203,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "72250,57250,73750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*43 (HdlText +uid 13204,0 +optionalChildren [ +*44 (EmbeddedText +uid 13246,0 +commentText (CommentText +uid 13247,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 13248,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "104000,34000,120000,44000" +) +oxt "0,0,18000,5000" +text (MLText +uid 13249,0 +va (VaSet +) +xt "104200,34200,119200,43800" +st " +tristate: process(ioEn, ioOut) +begin + for index in io'range loop + if ioEn(index) = '1' then + io(index) <= ioOut(index); + else + io(index) <= 'Z'; + end if; + end loop; +end process tristate; + +ioIn <= std_ulogic_vector(io); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 13205,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "104000,33000,120000,45000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 13206,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 13207,0 +va (VaSet +font "Verdana,8,1" +) +xt "103850,45000,106150,46000" +st "eb1" +blo "103850,45800" +tm "HdlTextNameMgr" +) +*46 (Text +uid 13208,0 +va (VaSet +font "Verdana,8,1" +) +xt "103850,46000,105050,47000" +st "1" +blo "103850,46800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 13209,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "104250,43250,105750,44750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*47 (Net +uid 13210,0 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 118,0 +) +declText (MLText +uid 13211,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,28000,1200" +st "SIGNAL ioEn : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*48 (Net +uid 13218,0 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 14 +suid 119,0 +) +declText (MLText +uid 13219,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,28000,1200" +st "SIGNAL ioOut : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*49 (Net +uid 13226,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 120,0 +) +declText (MLText +uid 13227,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,28000,1200" +st "SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*50 (Net +uid 13244,0 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 122,0 +) +declText (MLText +uid 13245,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,27500,1200" +st "SIGNAL io : std_logic_vector(ioNb-1 DOWNTO 0) +" +) +) +*51 (Wire +uid 12557,0 +shape (OrthoPolyLine +uid 12558,0 +va (VaSet +vasetType 3 +) +xt "70000,57000,71250,67000" +pts [ +"71250,57000" +"70000,57000" +"70000,67000" +] +) +start &35 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12562,0 +va (VaSet +font "Verdana,12,0" +) +xt "63250,55600,70050,57000" +st "hReset_n" +blo "63250,56800" +tm "WireNameMgr" +) +) +on &12 +) +*52 (Wire +uid 12565,0 +shape (OrthoPolyLine +uid 12566,0 +va (VaSet +vasetType 3 +) +xt "68000,55000,71250,67000" +pts [ +"71250,55000" +"68000,55000" +"68000,67000" +] +) +start &34 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12570,0 +va (VaSet +font "Verdana,12,0" +) +xt "66250,53600,69750,55000" +st "hClk" +blo "66250,54800" +tm "WireNameMgr" +) +) +on &13 +) +*53 (Wire +uid 12573,0 +shape (OrthoPolyLine +uid 12574,0 +va (VaSet +vasetType 3 +) +xt "64000,51000,71250,67000" +pts [ +"71250,51000" +"64000,51000" +"64000,67000" +] +) +start &33 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12578,0 +va (VaSet +font "Verdana,12,0" +) +xt "65250,49600,69950,51000" +st "hResp" +blo "65250,50800" +tm "WireNameMgr" +) +) +on &14 +) +*54 (Wire +uid 12581,0 +shape (OrthoPolyLine +uid 12582,0 +va (VaSet +vasetType 3 +) +xt "62000,49000,71250,67000" +pts [ +"71250,49000" +"62000,49000" +"62000,67000" +] +) +start &32 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12585,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12586,0 +va (VaSet +font "Verdana,12,0" +) +xt "64250,47600,69750,49000" +st "hReady" +blo "64250,48800" +tm "WireNameMgr" +) +) +on &15 +) +*55 (Wire +uid 12589,0 +shape (OrthoPolyLine +uid 12590,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60000,47000,71250,67000" +pts [ +"71250,47000" +"60000,47000" +"60000,67000" +] +) +start &29 +end &22 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12594,0 +va (VaSet +font "Verdana,12,0" +) +xt "65250,45600,70650,47000" +st "hRData" +blo "65250,46800" +tm "WireNameMgr" +) +) +on &16 +) +*56 (Wire +uid 12597,0 +shape (OrthoPolyLine +uid 12598,0 +va (VaSet +vasetType 3 +) +xt "58000,45000,71250,67000" +pts [ +"71250,45000" +"58000,45000" +"58000,67000" +] +) +start &37 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12602,0 +va (VaSet +font "Verdana,12,0" +) +xt "66250,43600,69750,45000" +st "hSel" +blo "66250,44800" +tm "WireNameMgr" +) +) +on &17 +) +*57 (Wire +uid 12605,0 +shape (OrthoPolyLine +uid 12606,0 +va (VaSet +vasetType 3 +) +xt "56000,43000,71250,67000" +pts [ +"71250,43000" +"56000,43000" +"56000,67000" +] +) +start &30 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12610,0 +va (VaSet +font "Verdana,12,0" +) +xt "65250,41600,70250,43000" +st "hWrite" +blo "65250,42800" +tm "WireNameMgr" +) +) +on &18 +) +*58 (Wire +uid 12613,0 +shape (OrthoPolyLine +uid 12614,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54000,41000,71250,67000" +pts [ +"71250,41000" +"54000,41000" +"54000,67000" +] +) +start &31 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12617,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12618,0 +va (VaSet +font "Verdana,12,0" +) +xt "65250,39600,70350,41000" +st "hTrans" +blo "65250,40800" +tm "WireNameMgr" +) +) +on &19 +) +*59 (Wire +uid 12621,0 +shape (OrthoPolyLine +uid 12622,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,39000,71250,67000" +pts [ +"71250,39000" +"52000,39000" +"52000,67000" +] +) +start &28 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12626,0 +va (VaSet +font "Verdana,12,0" +) +xt "64250,37600,70150,39000" +st "hWData" +blo "64250,38800" +tm "WireNameMgr" +) +) +on &20 +) +*60 (Wire +uid 12629,0 +shape (OrthoPolyLine +uid 12630,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,37000,71250,67000" +pts [ +"71250,37000" +"50000,37000" +"50000,67000" +] +) +start &27 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12633,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12634,0 +va (VaSet +font "Verdana,12,0" +) +xt "65250,35600,69750,37000" +st "hAddr" +blo "65250,36800" +tm "WireNameMgr" +) +) +on &21 +) +*61 (Wire +uid 13212,0 +shape (OrthoPolyLine +uid 13213,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "88750,37000,104000,37000" +pts [ +"88750,37000" +"104000,37000" +] +) +start &39 +end &43 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 13216,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13217,0 +va (VaSet +font "Verdana,12,0" +) +xt "90750,35600,94250,37000" +st "ioEn" +blo "90750,36800" +tm "WireNameMgr" +) +) +on &47 +) +*62 (Wire +uid 13220,0 +shape (OrthoPolyLine +uid 13221,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "88750,39000,104000,39000" +pts [ +"88750,39000" +"104000,39000" +] +) +start &36 +end &43 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 13224,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13225,0 +va (VaSet +font "Verdana,12,0" +) +xt "90750,37600,94950,39000" +st "ioOut" +blo "90750,38800" +tm "WireNameMgr" +) +) +on &48 +) +*63 (Wire +uid 13228,0 +shape (OrthoPolyLine +uid 13229,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "88750,41000,104000,41000" +pts [ +"88750,41000" +"104000,41000" +] +) +start &38 +end &43 +sat 32 +eat 2 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 13232,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13233,0 +va (VaSet +font "Verdana,12,0" +) +xt "90750,39600,93950,41000" +st "ioIn" +blo "90750,40800" +tm "WireNameMgr" +) +) +on &49 +) +*64 (Wire +uid 13236,0 +shape (OrthoPolyLine +uid 13237,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "120000,37000,124000,67000" +pts [ +"120000,37000" +"124000,37000" +"124000,67000" +] +) +start &43 +end &22 +sat 4 +eat 4 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13242,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13243,0 +va (VaSet +font "Verdana,12,0" +) +xt "122000,35600,123900,37000" +st "io" +blo "122000,36800" +tm "WireNameMgr" +) +) +on &50 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *65 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,19000,35900,20000" +st "Package List" +blo "29000,19800" +) +*67 (MLText +uid 1298,0 +va (VaSet +) +xt "29000,20000,46500,26000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*69 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*70 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*71 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*72 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*73 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*74 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "27426,17402,170102,94921" +cachedDiagramExtent "0,0,138000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "29000,19000" +lastUid 13522,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "300,1000,4000,2000" +st "Panel0" +blo "300,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,2550,8000,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,3950,7300,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*77 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,5350,4800,6750" +st "U_0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,3000,4300,4200" +st "Library" +blo "-100,4000" +) +*79 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,4200,9800,5400" +st "MWComponent" +blo "-100,5200" +) +*80 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,5400,2700,6600" +st "U_0" +blo "-100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,2550,4050,3750" +st "Library" +blo "-350,3550" +tm "BdLibraryNameMgr" +) +*82 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,3750,8950,4950" +st "SaComponent" +blo "-350,4750" +tm "CptNameMgr" +) +*83 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,4950,2450,6150" +st "U_0" +blo "-350,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,2550,3550,3750" +st "Library" +blo "-850,3550" +) +*85 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,3750,9450,4950" +st "VhdlComponent" +blo "-850,4750" +) +*86 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,4950,1950,6150" +st "U_0" +blo "-850,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,2550,2800,3750" +st "Library" +blo "-1600,3550" +) +*88 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,3750,10100,4950" +st "VerilogComponent" +blo "-1600,4750" +) +*89 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,4950,1200,6150" +st "U_0" +blo "-1600,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,3400,5250,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*91 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,4400,4150,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1400,1500,2600" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*93 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*95 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,26800,36000,27800" +st "Declarations" +blo "29000,27600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,32400,29000" +st "Ports:" +blo "29000,28800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,27800,33800,28800" +st "Pre User:" +blo "29000,28600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "31000,28800,59200,33600" +st "constant ioNb: positive := 8; + +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,38000,29000" +st "Diagram Signals:" +blo "29000,28800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,35000,29000" +st "Post User:" +blo "29000,28800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "31000,42400,31000,42400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 122,0 +usingSuid 1 +emptyRow *96 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*97 (RefLabelRowHdr +) +*98 (TitleRowHdr +) +*99 (FilterRowHdr +) +*100 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*101 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*102 (GroupColHdr +tm "GroupColHdrMgr" +) +*103 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*104 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*105 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*106 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*107 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*108 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 5 +suid 108,0 +) +) +uid 12637,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hClk" +t "std_uLogic" +o 2 +suid 109,0 +) +) +uid 12639,0 +) +*111 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 110,0 +) +) +uid 12641,0 +) +*112 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReady" +t "std_uLogic" +o 4 +suid 111,0 +) +) +uid 12643,0 +) +*113 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 112,0 +) +) +uid 12645,0 +) +*114 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 113,0 +) +) +uid 12647,0 +) +*115 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 114,0 +) +) +uid 12649,0 +) +*116 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 115,0 +) +) +uid 12651,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 116,0 +) +) +uid 12653,0 +) +*118 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 117,0 +) +) +uid 12655,0 +) +*119 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 118,0 +) +) +uid 13250,0 +) +*120 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 14 +suid 119,0 +) +) +uid 13252,0 +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 120,0 +) +) +uid 13254,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 122,0 +) +) +uid 13256,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*123 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *124 (MRCItem +litem &96 +pos 14 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*125 (MRCItem +litem &97 +pos 0 +dimension 20 +uid 3326,0 +) +*126 (MRCItem +litem &98 +pos 1 +dimension 23 +uid 3327,0 +) +*127 (MRCItem +litem &99 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*128 (MRCItem +litem &109 +pos 0 +dimension 20 +uid 12638,0 +) +*129 (MRCItem +litem &110 +pos 1 +dimension 20 +uid 12640,0 +) +*130 (MRCItem +litem &111 +pos 2 +dimension 20 +uid 12642,0 +) +*131 (MRCItem +litem &112 +pos 3 +dimension 20 +uid 12644,0 +) +*132 (MRCItem +litem &113 +pos 4 +dimension 20 +uid 12646,0 +) +*133 (MRCItem +litem &114 +pos 5 +dimension 20 +uid 12648,0 +) +*134 (MRCItem +litem &115 +pos 6 +dimension 20 +uid 12650,0 +) +*135 (MRCItem +litem &116 +pos 7 +dimension 20 +uid 12652,0 +) +*136 (MRCItem +litem &117 +pos 8 +dimension 20 +uid 12654,0 +) +*137 (MRCItem +litem &118 +pos 9 +dimension 20 +uid 12656,0 +) +*138 (MRCItem +litem &119 +pos 10 +dimension 20 +uid 13251,0 +) +*139 (MRCItem +litem &120 +pos 11 +dimension 20 +uid 13253,0 +) +*140 (MRCItem +litem &121 +pos 12 +dimension 20 +uid 13255,0 +) +*141 (MRCItem +litem &122 +pos 13 +dimension 20 +uid 13257,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*142 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 3330,0 +) +*143 (MRCItem +litem &102 +pos 1 +dimension 50 +uid 3331,0 +) +*144 (MRCItem +litem &103 +pos 2 +dimension 100 +uid 3332,0 +) +*145 (MRCItem +litem &104 +pos 3 +dimension 50 +uid 3333,0 +) +*146 (MRCItem +litem &105 +pos 4 +dimension 100 +uid 3334,0 +) +*147 (MRCItem +litem &106 +pos 5 +dimension 100 +uid 3335,0 +) +*148 (MRCItem +litem &107 +pos 6 +dimension 50 +uid 3336,0 +) +*149 (MRCItem +litem &108 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *150 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*151 (RefLabelRowHdr +) +*152 (TitleRowHdr +) +*153 (FilterRowHdr +) +*154 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*155 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*156 (GroupColHdr +tm "GroupColHdrMgr" +) +*157 (NameColHdr +tm "GenericNameColHdrMgr" +) +*158 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*159 (InitColHdr +tm "GenericValueColHdrMgr" +) +*160 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*161 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*162 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *163 (MRCItem +litem &150 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*164 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 3354,0 +) +*165 (MRCItem +litem &152 +pos 1 +dimension 23 +uid 3355,0 +) +*166 (MRCItem +litem &153 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*167 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 3358,0 +) +*168 (MRCItem +litem &156 +pos 1 +dimension 50 +uid 3359,0 +) +*169 (MRCItem +litem &157 +pos 2 +dimension 100 +uid 3360,0 +) +*170 (MRCItem +litem &158 +pos 3 +dimension 100 +uid 3361,0 +) +*171 (MRCItem +litem &159 +pos 4 +dimension 50 +uid 3362,0 +) +*172 (MRCItem +litem &160 +pos 5 +dimension 50 +uid 3363,0 +) +*173 (MRCItem +litem &161 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/symbol.sb new file mode 100644 index 0000000..4f81cf2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tb/symbol.sb @@ -0,0 +1,1258 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "ahbGpio_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:51:39" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbGpio_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:51:39" +) +(vvPair +variable "unit" +value "ahbGpio_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,44850,23100" +st "AhbLiteComponents_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,37050,24300" +st "ahbGpio_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,40800,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,42500,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tester/interface b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tester/interface new file mode 100644 index 0000000..20ae94a --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@gpio_tester/interface @@ -0,0 +1,2036 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 66,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 130,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 56,0 +) +) +uid 713,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 2 +suid 57,0 +) +) +uid 715,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 58,0 +) +) +uid 717,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 4 +suid 59,0 +) +) +uid 719,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 5 +suid 60,0 +) +) +uid 721,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 61,0 +) +) +uid 723,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 62,0 +) +) +uid 725,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 63,0 +) +) +uid 727,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 64,0 +) +) +uid 729,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 65,0 +) +) +uid 731,0 +) +*24 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 66,0 +) +) +uid 733,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 145,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 146,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 147,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*30 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 714,0 +) +*31 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 716,0 +) +*32 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 718,0 +) +*33 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 720,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 722,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 724,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 726,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 728,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 730,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 732,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 734,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 150,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 151,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 152,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 153,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 154,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 155,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 156,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 129,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "ioNb" +type "positive" +value "" +) +uid 208,0 +) +*62 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 433,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 171,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *64 (MRCItem +litem &49 +pos 2 +dimension 20 +) +uid 173,0 +optionalChildren [ +*65 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 174,0 +) +*66 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 175,0 +) +*67 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 176,0 +) +*68 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 209,0 +) +*69 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 434,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*70 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 178,0 +) +*71 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 179,0 +) +*72 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 180,0 +) +*73 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 181,0 +) +*74 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 182,0 +) +*75 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 183,0 +) +*76 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 172,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbGpio_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:51:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbGpio_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@gpio_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbGpio_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:51:40" +) +(vvPair +variable "unit" +value "ahbGpio_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 128,0 +optionalChildren [ +*77 (SymbolBody +uid 8,0 +optionalChildren [ +*78 (CptPort +uid 658,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 659,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 660,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 661,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,11500" +st "hAddr" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 662,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,75500,5200" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 56,0 +) +) +) +*79 (CptPort +uid 663,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 664,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 665,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 666,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "40300,7000,41700,10500" +st "hClk" +ju 2 +blo "41500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 667,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "hClk : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 2 +suid 57,0 +) +) +) +*80 (CptPort +uid 668,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 669,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 670,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 671,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,12400" +st "hRData" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 672,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,77500,2800" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 58,0 +) +) +) +*81 (CptPort +uid 673,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 675,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 676,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,12500" +st "hReady" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 677,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61000,3600" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 4 +suid 59,0 +) +) +) +*82 (CptPort +uid 678,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 679,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 680,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 681,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "42300,7000,43700,13800" +st "hReset_n" +ju 2 +blo "43500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 682,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "hReset_n : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 5 +suid 60,0 +) +) +) +*83 (CptPort +uid 683,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 684,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 685,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 686,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11700" +st "hResp" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 687,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 61,0 +) +) +) +*84 (CptPort +uid 688,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 689,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 690,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 691,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10500" +st "hSel" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 692,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61000,7600" +st "hSel : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 62,0 +) +) +) +*85 (CptPort +uid 693,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 694,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 695,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 696,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,12100" +st "hTrans" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 697,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,78000,8400" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 63,0 +) +) +) +*86 (CptPort +uid 698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 699,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 700,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 701,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,12900" +st "hWData" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 702,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,77500,9200" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 64,0 +) +) +) +*87 (CptPort +uid 703,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 704,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 705,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 706,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,12000" +st "hWrite" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 707,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,61000,10000" +st "hWrite : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 65,0 +) +) +) +*88 (CptPort +uid 708,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 709,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96625,5250,97375,6000" +) +tg (CPTG +uid 710,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 711,0 +va (VaSet +font "Verdana,12,0" +) +xt "98000,6000,99900,7400" +st "io" +blo "98000,7200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 712,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,72000,10800" +st "io : INOUT std_logic_vector (ioNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 66,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,105000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "54600,9000,65400,10000" +st "AhbLiteComponents_test" +blo "54600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "54600,10000,61100,11000" +st "ahbGpio_tester" +blo "54600,10800" +) +) +gi *89 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "36000,6000,50500,9200" +st "Generic Declarations + +ioNb positive +clockFrequency real " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "" +) +(GiElement +name "clockFrequency" +type "real" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*90 (Grouping +uid 16,0 +optionalChildren [ +*91 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *101 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*103 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "239,85,1256,775" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,105000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "AhbLiteComponents_test" +entityName "ahbGpio_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *104 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *105 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,10800,44400,11800" +st "User:" +blo "42000,11600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11800,44000,11800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 734,0 +activeModelName "Symbol:GEN" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/struct.bd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/struct.bd new file mode 100644 index 0000000..3361ac7 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/struct.bd @@ -0,0 +1,3697 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "AhbLiteComponents_test" +duName "ahbUart_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 12657,0 +) +(Instance +name "I_DUT" +duLibraryName "AhbLiteComponents" +duName "ahbUart" +elements [ +(GiElement +name "txFifoDepth" +type "positive" +value "txFifoDepth" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "rxFifoDepth" +) +] +mwi 0 +uid 13707,0 +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbUart_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:07:00" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbUart_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:07:00" +) +(vvPair +variable "unit" +value "ahbUart_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "119000,85000,138000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "119200,85400,134600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,85000,113000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "94250,85250,105750,86750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,91000,113000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,91400,105900,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,85000,119000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,85400,117900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,87000,113000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,87400,107400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,87000,92000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,87400,90600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,89000,92000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,89400,90600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,87000,138000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,87200,127300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,89000,113000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,89400,107500,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,91000,92000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,91400,91500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "87000,85000,138000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Net +uid 12555,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 108,0 +) +declText (MLText +uid 12556,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hReset_n : std_uLogic +" +) +) +*13 (Net +uid 12563,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 109,0 +) +declText (MLText +uid 12564,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hClk : std_uLogic +" +) +) +*14 (Net +uid 12571,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 8 +suid 110,0 +) +declText (MLText +uid 12572,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hResp : std_uLogic +" +) +) +*15 (Net +uid 12579,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 6 +suid 111,0 +) +declText (MLText +uid 12580,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hReady : std_uLogic +" +) +) +*16 (Net +uid 12587,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 5 +suid 112,0 +) +declText (MLText +uid 12588,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32000,1200" +st "SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0) +" +) +) +*17 (Net +uid 12595,0 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 113,0 +) +declText (MLText +uid 12596,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hSel : std_uLogic +" +) +) +*18 (Net +uid 12603,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 114,0 +) +declText (MLText +uid 12604,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL hWrite : std_uLogic +" +) +) +*19 (Net +uid 12611,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 115,0 +) +declText (MLText +uid 12612,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32500,1200" +st "SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0) +" +) +) +*20 (Net +uid 12619,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 116,0 +) +declText (MLText +uid 12620,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,32000,1200" +st "SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0) +" +) +) +*21 (Net +uid 12627,0 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 117,0 +) +declText (MLText +uid 12628,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,30000,1200" +st "SIGNAL hAddr : unsigned( ahbAddressBitNb-1 DOWNTO 0 ) +" +) +) +*22 (Blk +uid 12657,0 +shape (Rectangle +uid 12658,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "57000,67000,117000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 12659,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 12660,0 +va (VaSet +font "Verdana,12,0" +) +xt "57400,74900,75800,76300" +st "AhbLiteComponents_test" +blo "57400,76100" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 12661,0 +va (VaSet +font "Verdana,12,0" +) +xt "57400,76300,68700,77700" +st "ahbUart_tester" +blo "57400,77500" +tm "BlkNameMgr" +) +*25 (Text +uid 12662,0 +va (VaSet +font "Verdana,12,0" +) +xt "57400,77700,63300,79100" +st "I_tester" +blo "57400,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12663,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12664,0 +text (MLText +uid 12665,0 +va (VaSet +font "Courier New,8,0" +) +xt "57400,80300,81900,81100" +st "clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +viewicon (ZoomableIcon +uid 12742,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "57250,73250,58750,74750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +) +*26 (Net +uid 13297,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 118,0 +) +declText (MLText +uid 13298,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL RxD : std_ulogic +" +) +) +*27 (Net +uid 13305,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 119,0 +) +declText (MLText +uid 13306,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL TxD : std_ulogic +" +) +) +*28 (SaComponent +uid 13707,0 +optionalChildren [ +*29 (CptPort +uid 13659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13660,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,36625,87000,37375" +) +tg (CPTG +uid 13661,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13662,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,36300,92500,37700" +st "hAddr" +blo "88000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*30 (CptPort +uid 13663,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13664,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,38625,87000,39375" +) +tg (CPTG +uid 13665,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13666,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,38300,93900,39700" +st "hWData" +blo "88000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*31 (CptPort +uid 13667,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13668,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,46625,87000,47375" +) +tg (CPTG +uid 13669,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13670,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,46300,93400,47700" +st "hRData" +blo "88000,47500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*32 (CptPort +uid 13671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13672,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,42625,87000,43375" +) +tg (CPTG +uid 13673,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13674,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,42300,93000,43700" +st "hWrite" +blo "88000,43500" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*33 (CptPort +uid 13675,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13676,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,40625,87000,41375" +) +tg (CPTG +uid 13677,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13678,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,40300,93100,41700" +st "hTrans" +blo "88000,41500" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*34 (CptPort +uid 13679,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13680,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,48625,87000,49375" +) +tg (CPTG +uid 13681,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13682,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,48300,93500,49700" +st "hReady" +blo "88000,49500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*35 (CptPort +uid 13683,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13684,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,50625,87000,51375" +) +tg (CPTG +uid 13685,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13686,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,50300,92700,51700" +st "hResp" +blo "88000,51500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*36 (CptPort +uid 13687,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13688,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,54625,87000,55375" +) +tg (CPTG +uid 13689,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13690,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,54300,91500,55700" +st "hClk" +blo "88000,55500" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*37 (CptPort +uid 13691,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13692,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,56625,87000,57375" +) +tg (CPTG +uid 13693,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13694,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,56300,94800,57700" +st "hReset_n" +blo "88000,57500" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*38 (CptPort +uid 13695,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13696,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "103000,36625,103750,37375" +) +tg (CPTG +uid 13697,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13698,0 +va (VaSet +font "Verdana,12,0" +) +xt "98900,36300,102000,37700" +st "TxD" +ju 2 +blo "102000,37500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 10 +suid 2065,0 +) +) +) +*39 (CptPort +uid 13699,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13700,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86250,44625,87000,45375" +) +tg (CPTG +uid 13701,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13702,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,44300,91500,45700" +st "hSel" +blo "88000,45500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*40 (CptPort +uid 13703,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13704,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "103000,38625,103750,39375" +) +tg (CPTG +uid 13705,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13706,0 +va (VaSet +font "Verdana,12,0" +) +xt "98800,38300,102000,39700" +st "RxD" +ju 2 +blo "102000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 12 +suid 2067,0 +) +) +) +] +shape (Rectangle +uid 13708,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "87000,33000,103000,59000" +) +oxt "47000,16000,63000,42000" +ttg (MlTextGroup +uid 13709,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 13710,0 +va (VaSet +) +xt "87100,58700,98700,59900" +st "AhbLiteComponents" +blo "87100,59700" +tm "BdLibraryNameMgr" +) +*42 (Text +uid 13711,0 +va (VaSet +) +xt "87100,59700,91800,60900" +st "ahbUart" +blo "87100,60700" +tm "CptNameMgr" +) +*43 (Text +uid 13712,0 +va (VaSet +) +xt "87100,60700,91200,61900" +st "I_DUT" +blo "87100,61700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13713,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13714,0 +text (MLText +uid 13715,0 +va (VaSet +font "Courier New,8,0" +) +xt "87000,63000,110500,64600" +st "txFifoDepth = txFifoDepth ( positive ) +rxFifoDepth = rxFifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "txFifoDepth" +type "positive" +value "txFifoDepth" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "rxFifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 13716,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "87250,57250,88750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*44 (Wire +uid 12557,0 +shape (OrthoPolyLine +uid 12558,0 +va (VaSet +vasetType 3 +) +xt "85000,57000,86250,67000" +pts [ +"86250,57000" +"85000,57000" +"85000,67000" +] +) +start &37 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12562,0 +va (VaSet +font "Verdana,12,0" +) +xt "78250,55600,85050,57000" +st "hReset_n" +blo "78250,56800" +tm "WireNameMgr" +) +) +on &12 +) +*45 (Wire +uid 12565,0 +shape (OrthoPolyLine +uid 12566,0 +va (VaSet +vasetType 3 +) +xt "83000,55000,86250,67000" +pts [ +"86250,55000" +"83000,55000" +"83000,67000" +] +) +start &36 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12570,0 +va (VaSet +font "Verdana,12,0" +) +xt "81250,53600,84750,55000" +st "hClk" +blo "81250,54800" +tm "WireNameMgr" +) +) +on &13 +) +*46 (Wire +uid 12573,0 +shape (OrthoPolyLine +uid 12574,0 +va (VaSet +vasetType 3 +) +xt "79000,51000,86250,67000" +pts [ +"86250,51000" +"79000,51000" +"79000,67000" +] +) +start &35 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12578,0 +va (VaSet +font "Verdana,12,0" +) +xt "80250,49600,84950,51000" +st "hResp" +blo "80250,50800" +tm "WireNameMgr" +) +) +on &14 +) +*47 (Wire +uid 12581,0 +shape (OrthoPolyLine +uid 12582,0 +va (VaSet +vasetType 3 +) +xt "77000,49000,86250,67000" +pts [ +"86250,49000" +"77000,49000" +"77000,67000" +] +) +start &34 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12585,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12586,0 +va (VaSet +font "Verdana,12,0" +) +xt "79250,47600,84750,49000" +st "hReady" +blo "79250,48800" +tm "WireNameMgr" +) +) +on &15 +) +*48 (Wire +uid 12589,0 +shape (OrthoPolyLine +uid 12590,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "75000,47000,86250,67000" +pts [ +"86250,47000" +"75000,47000" +"75000,67000" +] +) +start &31 +end &22 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12594,0 +va (VaSet +font "Verdana,12,0" +) +xt "80250,45600,85650,47000" +st "hRData" +blo "80250,46800" +tm "WireNameMgr" +) +) +on &16 +) +*49 (Wire +uid 12597,0 +shape (OrthoPolyLine +uid 12598,0 +va (VaSet +vasetType 3 +) +xt "73000,45000,86250,67000" +pts [ +"86250,45000" +"73000,45000" +"73000,67000" +] +) +start &39 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12602,0 +va (VaSet +font "Verdana,12,0" +) +xt "81250,43600,84750,45000" +st "hSel" +blo "81250,44800" +tm "WireNameMgr" +) +) +on &17 +) +*50 (Wire +uid 12605,0 +shape (OrthoPolyLine +uid 12606,0 +va (VaSet +vasetType 3 +) +xt "71000,43000,86250,67000" +pts [ +"86250,43000" +"71000,43000" +"71000,67000" +] +) +start &32 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12610,0 +va (VaSet +font "Verdana,12,0" +) +xt "80250,41600,85250,43000" +st "hWrite" +blo "80250,42800" +tm "WireNameMgr" +) +) +on &18 +) +*51 (Wire +uid 12613,0 +shape (OrthoPolyLine +uid 12614,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69000,41000,86250,67000" +pts [ +"86250,41000" +"69000,41000" +"69000,67000" +] +) +start &33 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12617,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12618,0 +va (VaSet +font "Verdana,12,0" +) +xt "80250,39600,85350,41000" +st "hTrans" +blo "80250,40800" +tm "WireNameMgr" +) +) +on &19 +) +*52 (Wire +uid 12621,0 +shape (OrthoPolyLine +uid 12622,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,39000,86250,67000" +pts [ +"86250,39000" +"67000,39000" +"67000,67000" +] +) +start &30 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12626,0 +va (VaSet +font "Verdana,12,0" +) +xt "79250,37600,85150,39000" +st "hWData" +blo "79250,38800" +tm "WireNameMgr" +) +) +on &20 +) +*53 (Wire +uid 12629,0 +shape (OrthoPolyLine +uid 12630,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65000,37000,86250,67000" +pts [ +"86250,37000" +"65000,37000" +"65000,67000" +] +) +start &29 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12633,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12634,0 +va (VaSet +font "Verdana,12,0" +) +xt "80250,35600,84750,37000" +st "hAddr" +blo "80250,36800" +tm "WireNameMgr" +) +) +on &21 +) +*54 (Wire +uid 13299,0 +shape (OrthoPolyLine +uid 13300,0 +va (VaSet +vasetType 3 +) +xt "103750,39000,107000,67000" +pts [ +"103750,39000" +"107000,39000" +"107000,67000" +] +) +start &40 +end &22 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 13303,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13304,0 +va (VaSet +font "Verdana,12,0" +) +xt "105750,37600,108950,39000" +st "RxD" +blo "105750,38800" +tm "WireNameMgr" +) +) +on &26 +) +*55 (Wire +uid 13307,0 +shape (OrthoPolyLine +uid 13308,0 +va (VaSet +vasetType 3 +) +xt "103750,37000,109000,67000" +pts [ +"103750,37000" +"109000,37000" +"109000,67000" +] +) +start &38 +end &22 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 13311,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13312,0 +va (VaSet +font "Verdana,12,0" +) +xt "105750,35600,108850,37000" +st "TxD" +blo "105750,36800" +tm "WireNameMgr" +) +) +on &27 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *56 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,19000,35900,20000" +st "Package List" +blo "29000,19800" +) +*58 (MLText +uid 1298,0 +va (VaSet +) +xt "29000,20000,46500,26000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*60 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*61 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*62 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*63 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*65 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "27368,17416,170158,94997" +cachedDiagramExtent "0,0,138000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "29000,19000" +lastUid 13904,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "300,1000,4000,2000" +st "Panel0" +blo "300,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,2550,8000,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,3950,7300,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,5350,4800,6750" +st "U_0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,3000,4300,4200" +st "Library" +blo "-100,4000" +) +*70 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,4200,9800,5400" +st "MWComponent" +blo "-100,5200" +) +*71 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,5400,2700,6600" +st "U_0" +blo "-100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,2550,4050,3750" +st "Library" +blo "-350,3550" +tm "BdLibraryNameMgr" +) +*73 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,3750,8950,4950" +st "SaComponent" +blo "-350,4750" +tm "CptNameMgr" +) +*74 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,4950,2450,6150" +st "U_0" +blo "-350,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,2550,3550,3750" +st "Library" +blo "-850,3550" +) +*76 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,3750,9450,4950" +st "VhdlComponent" +blo "-850,4750" +) +*77 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,4950,1950,6150" +st "U_0" +blo "-850,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,2550,2800,3750" +st "Library" +blo "-1600,3550" +) +*79 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,3750,10100,4950" +st "VerilogComponent" +blo "-1600,4750" +) +*80 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,4950,1200,6150" +st "U_0" +blo "-1600,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,3400,5250,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*82 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,4400,4150,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1400,1500,2600" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*84 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*86 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,26800,36000,27800" +st "Declarations" +blo "29000,27600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,32400,29000" +st "Ports:" +blo "29000,28800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,27800,33800,28800" +st "Pre User:" +blo "29000,28600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "31000,28800,59200,34800" +st "constant txFifoDepth: positive := 1; +constant rxFifoDepth: positive := 1; + +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,38000,29000" +st "Diagram Signals:" +blo "29000,28800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,35000,29000" +st "Post User:" +blo "29000,28800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "31000,42400,31000,42400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 119,0 +usingSuid 1 +emptyRow *87 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*95 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*96 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*97 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*98 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*99 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 108,0 +) +) +uid 12637,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 109,0 +) +) +uid 12639,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hResp" +t "std_uLogic" +o 8 +suid 110,0 +) +) +uid 12641,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReady" +t "std_uLogic" +o 6 +suid 111,0 +) +) +uid 12643,0 +) +*104 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 5 +suid 112,0 +) +) +uid 12645,0 +) +*105 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 113,0 +) +) +uid 12647,0 +) +*106 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 114,0 +) +) +uid 12649,0 +) +*107 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 115,0 +) +) +uid 12651,0 +) +*108 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 116,0 +) +) +uid 12653,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 117,0 +) +) +uid 12655,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 118,0 +) +) +uid 13313,0 +) +*111 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 119,0 +) +) +uid 13315,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*112 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *113 (MRCItem +litem &87 +pos 12 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*114 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 3326,0 +) +*115 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 3327,0 +) +*116 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*117 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 12638,0 +) +*118 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 12640,0 +) +*119 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 12642,0 +) +*120 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 12644,0 +) +*121 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 12646,0 +) +*122 (MRCItem +litem &105 +pos 5 +dimension 20 +uid 12648,0 +) +*123 (MRCItem +litem &106 +pos 6 +dimension 20 +uid 12650,0 +) +*124 (MRCItem +litem &107 +pos 7 +dimension 20 +uid 12652,0 +) +*125 (MRCItem +litem &108 +pos 8 +dimension 20 +uid 12654,0 +) +*126 (MRCItem +litem &109 +pos 9 +dimension 20 +uid 12656,0 +) +*127 (MRCItem +litem &110 +pos 10 +dimension 20 +uid 13314,0 +) +*128 (MRCItem +litem &111 +pos 11 +dimension 20 +uid 13316,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*129 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 3330,0 +) +*130 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 3331,0 +) +*131 (MRCItem +litem &94 +pos 2 +dimension 100 +uid 3332,0 +) +*132 (MRCItem +litem &95 +pos 3 +dimension 50 +uid 3333,0 +) +*133 (MRCItem +litem &96 +pos 4 +dimension 100 +uid 3334,0 +) +*134 (MRCItem +litem &97 +pos 5 +dimension 100 +uid 3335,0 +) +*135 (MRCItem +litem &98 +pos 6 +dimension 50 +uid 3336,0 +) +*136 (MRCItem +litem &99 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *137 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "GenericNameColHdrMgr" +) +*145 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*146 (InitColHdr +tm "GenericValueColHdrMgr" +) +*147 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*148 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *150 (MRCItem +litem &137 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*151 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 3354,0 +) +*152 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 3355,0 +) +*153 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*154 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 3358,0 +) +*155 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 3359,0 +) +*156 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 3360,0 +) +*157 (MRCItem +litem &145 +pos 3 +dimension 100 +uid 3361,0 +) +*158 (MRCItem +litem &146 +pos 4 +dimension 50 +uid 3362,0 +) +*159 (MRCItem +litem &147 +pos 5 +dimension 50 +uid 3363,0 +) +*160 (MRCItem +litem &148 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/symbol.sb new file mode 100644 index 0000000..d1b8e84 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tb/symbol.sb @@ -0,0 +1,1282 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tb" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbUart_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:08:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "ahbUart_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:08:42" +) +(vvPair +variable "unit" +value "ahbUart_tb" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,44850,23100" +st "AhbLiteComponents_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,36950,24300" +st "ahbUart_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,42500,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 182,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tester/interface b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tester/interface new file mode 100644 index 0000000..c1528b1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/ahb@uart_tester/interface @@ -0,0 +1,2085 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 58,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 123,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 47,0 +) +) +uid 1274,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 48,0 +) +) +uid 1276,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 5 +suid 49,0 +) +) +uid 1278,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 6 +suid 50,0 +) +) +uid 1280,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 51,0 +) +) +uid 1282,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 8 +suid 52,0 +) +) +uid 1284,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 53,0 +) +) +uid 1286,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 54,0 +) +) +uid 1288,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 55,0 +) +) +uid 1290,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 56,0 +) +) +uid 1292,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 57,0 +) +) +uid 1294,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 58,0 +) +) +uid 1296,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 138,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 139,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 140,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1275,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1277,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1279,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1281,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1283,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1285,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1287,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1289,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1291,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1293,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 1295,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 1297,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 143,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 144,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 145,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 146,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 147,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 148,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 149,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 150,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 122,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 446,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*64 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *65 (MRCItem +litem &51 +pos 1 +dimension 20 +) +uid 166,0 +optionalChildren [ +*66 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 167,0 +) +*67 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 168,0 +) +*68 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*69 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 447,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*70 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 171,0 +) +*71 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 172,0 +) +*72 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 173,0 +) +*73 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 174,0 +) +*74 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 175,0 +) +*75 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 176,0 +) +*76 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 177,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tester" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbUart_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:08:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/AhbLiteComponents_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "ahbUart_tester" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahb@uart_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\ahbUart_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "17:08:42" +) +(vvPair +variable "unit" +value "ahbUart_tester" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 121,0 +optionalChildren [ +*77 (SymbolBody +uid 8,0 +optionalChildren [ +*78 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1217,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,11500" +st "hAddr" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1218,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,75500,6800" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 47,0 +) +) +) +*79 (CptPort +uid 1219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 1221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1222,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "40300,7000,41700,10500" +st "hClk" +ju 2 +blo "41500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1223,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61000,7600" +st "hClk : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 48,0 +) +) +) +*80 (CptPort +uid 1224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1225,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 1226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1227,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,12400" +st "hRData" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1228,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,77500,3600" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 5 +suid 49,0 +) +) +) +*81 (CptPort +uid 1229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1230,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 1231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1232,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,12500" +st "hReady" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1233,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 6 +suid 50,0 +) +) +) +*82 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1237,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "42300,7000,43700,13800" +st "hReset_n" +ju 2 +blo "43500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1238,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,61000,8400" +st "hReset_n : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 51,0 +) +) +) +*83 (CptPort +uid 1239,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1240,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 1241,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1242,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11700" +st "hResp" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1243,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61000,5200" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 8 +suid 52,0 +) +) +) +*84 (CptPort +uid 1244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1245,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 1246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1247,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10500" +st "hSel" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1248,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,61000,9200" +st "hSel : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 53,0 +) +) +) +*85 (CptPort +uid 1249,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1250,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 1251,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1252,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,12100" +st "hTrans" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1253,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,78000,10000" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 54,0 +) +) +) +*86 (CptPort +uid 1254,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 1256,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1257,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,12900" +st "hWData" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1258,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,77500,10800" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 55,0 +) +) +) +*87 (CptPort +uid 1259,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1260,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 1261,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1262,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,12000" +st "hWrite" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1263,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,60000,11600" +st "hWrite : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 56,0 +) +) +) +*88 (CptPort +uid 1264,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1265,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 1266,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1267,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "64300,7000,65700,10200" +st "RxD" +ju 2 +blo "65500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1268,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 57,0 +) +) +) +*89 (CptPort +uid 1269,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1270,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66625,5250,67375,6000" +) +tg (CPTG +uid 1271,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1272,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "66300,7000,67700,10100" +st "TxD" +ju 2 +blo "67500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1273,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61000,2800" +st "TxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 58,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,75000,14000" +) +oxt "15000,6000,73000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "39600,9000,50400,10000" +st "AhbLiteComponents_test" +blo "39600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "39600,10000,45900,11000" +st "ahbUart_tester" +blo "39600,10800" +) +) +gi *90 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,6000,33500,8400" +st "Generic Declarations + +clockFrequency real " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*91 (Grouping +uid 16,0 +optionalChildren [ +*92 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *102 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*104 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "246,67,1263,757" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,78000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "AhbLiteComponents_test" +entityName "ahbUart_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *105 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *106 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,11600,44400,12600" +st "User:" +blo "42000,12400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12600,44000,12600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1297,0 +activeModelName "Symbol:GEN" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/struct.bd b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/struct.bd new file mode 100644 index 0000000..3c0d443 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/struct.bd @@ -0,0 +1,5392 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_file2trans" +duLibraryName "UVM" +duName "driverControl" +elements [ +(GiElement +name "driverFileSpec" +type "string" +value "driverFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "driverVerbosity" +) +] +mwi 0 +uid 136,0 +) +(Instance +name "U_trans2File" +duLibraryName "UVM" +duName "monitorSupervision" +elements [ +(GiElement +name "monitorFileSpec" +type "string" +value "monitorFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "monitorVerbosity" +) +] +mwi 0 +uid 150,0 +) +(Instance +name "I_driv" +duLibraryName "AhbLiteComponents_test" +duName "uvmAhbDriver" +elements [ +] +mwi 0 +uid 977,0 +) +(Instance +name "I_mon" +duLibraryName "AhbLiteComponents_test" +duName "uvmAhbMonitor" +elements [ +] +mwi 0 +uid 1031,0 +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvmAhbAgentHw" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmAhbAgentHw" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:07:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "uvmAhbAgentHw" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvmAhbAgentHw\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:07:09" +) +(vvPair +variable "unit" +value "uvmAhbAgentHw" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,73500,72200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,69500,89200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,71500,72200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,71500,68200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,70200,103300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "93200,69500,93200,69500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "73350,69400,83650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,72500,68200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,73500,68200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,72500,72200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (SaComponent +uid 136,0 +optionalChildren [ +*13 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,7625,52750,8375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "Verdana,12,0" +) +xt "38500,7300,51000,8700" +st "driverTransaction" +ju 2 +blo "51000,8500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "driverTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 137,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,4000,52000,12000" +) +oxt "29000,12000,45000,20000" +ttg (MlTextGroup +uid 138,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*14 (Text +uid 139,0 +va (VaSet +) +xt "36100,11700,39300,12900" +st "UVM" +blo "36100,12700" +tm "BdLibraryNameMgr" +) +*15 (Text +uid 140,0 +va (VaSet +) +xt "36100,12700,44100,13900" +st "driverControl" +blo "36100,13700" +tm "CptNameMgr" +) +*16 (Text +uid 141,0 +va (VaSet +) +xt "36100,13700,43400,14900" +st "I_file2trans" +blo "36100,14700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 142,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 143,0 +text (MLText +uid 144,0 +va (VaSet +font "Courier New,8,0" +) +xt "36000,16000,62500,17600" +st "driverFileSpec = driverFileSpec ( string ) +verbosity = driverVerbosity ( natural ) " +) +header "" +) +elements [ +(GiElement +name "driverFileSpec" +type "string" +value "driverFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "driverVerbosity" +) +] +) +viewicon (ZoomableIcon +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,10250,37750,11750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*17 (SaComponent +uid 150,0 +optionalChildren [ +*18 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,37625,52750,38375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +font "Verdana,12,0" +) +xt "37300,37300,51000,38700" +st "monitorTransaction" +ju 2 +blo "51000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "monitorTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 151,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,34000,52000,42000" +) +oxt "29000,12000,45000,20000" +ttg (MlTextGroup +uid 152,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 153,0 +va (VaSet +) +xt "36100,41700,39300,42900" +st "UVM" +blo "36100,42700" +tm "BdLibraryNameMgr" +) +*20 (Text +uid 154,0 +va (VaSet +) +xt "36100,42700,47200,43900" +st "monitorSupervision" +blo "36100,43700" +tm "CptNameMgr" +) +*21 (Text +uid 155,0 +va (VaSet +) +xt "36100,43700,44000,44900" +st "U_trans2File" +blo "36100,44700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 156,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 157,0 +text (MLText +uid 158,0 +va (VaSet +font "Courier New,8,0" +) +xt "36000,46000,63500,47600" +st "monitorFileSpec = monitorFileSpec ( string ) +verbosity = monitorVerbosity ( natural ) " +) +header "" +) +elements [ +(GiElement +name "monitorFileSpec" +type "string" +value "monitorFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "monitorVerbosity" +) +] +) +viewicon (ZoomableIcon +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,40250,37750,41750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*22 (PortIoOut +uid 307,0 +shape (CompositeShape +uid 308,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 309,0 +sl 0 +ro 270 +xt "100500,7625,102000,8375" +) +(Line +uid 310,0 +sl 0 +ro 270 +xt "100000,8000,100500,8000" +pts [ +"100000,8000" +"100500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 311,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 312,0 +va (VaSet +isHidden 1 +) +xt "103000,7500,106700,8700" +st "hAddr" +blo "103000,8500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 319,0 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 6 +suid 1,0 +) +declText (MLText +uid 320,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21800,33500,22600" +st "hAddr : unsigned( ahbAddressBitNb-1 DOWNTO 0 )" +) +) +*24 (PortIoIn +uid 321,0 +shape (CompositeShape +uid 322,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 323,0 +sl 0 +ro 90 +xt "100500,47625,102000,48375" +) +(Line +uid 324,0 +sl 0 +ro 90 +xt "100000,48000,100500,48000" +pts [ +"100500,48000" +"100000,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 325,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 326,0 +va (VaSet +isHidden 1 +) +xt "103000,47500,107400,48700" +st "hRData" +blo "103000,48500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 333,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 334,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,35500,19400" +st "hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*26 (PortIoIn +uid 335,0 +shape (CompositeShape +uid 336,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 337,0 +sl 0 +ro 90 +xt "100500,17625,102000,18375" +) +(Line +uid 338,0 +sl 0 +ro 90 +xt "100000,18000,100500,18000" +pts [ +"100500,18000" +"100000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 339,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 340,0 +va (VaSet +isHidden 1 +) +xt "103000,17500,107400,18700" +st "hReady" +blo "103000,18500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 347,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 3 +suid 3,0 +) +declText (MLText +uid 348,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,19000,20200" +st "hReady : std_uLogic" +) +) +*28 (PortIoIn +uid 349,0 +shape (CompositeShape +uid 350,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 351,0 +sl 0 +ro 90 +xt "100500,51625,102000,52375" +) +(Line +uid 352,0 +sl 0 +ro 90 +xt "100000,52000,100500,52000" +pts [ +"100500,52000" +"100000,52000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 353,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 354,0 +va (VaSet +isHidden 1 +) +xt "103000,51500,106800,52700" +st "hResp" +blo "103000,52500" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 361,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 4 +suid 4,0 +) +declText (MLText +uid 362,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20200,19000,21000" +st "hResp : std_uLogic" +) +) +*30 (PortIoOut +uid 363,0 +shape (CompositeShape +uid 364,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 365,0 +sl 0 +ro 270 +xt "100500,15625,102000,16375" +) +(Line +uid 366,0 +sl 0 +ro 270 +xt "100000,16000,100500,16000" +pts [ +"100000,16000" +"100500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 367,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 368,0 +va (VaSet +isHidden 1 +) +xt "103000,15500,105900,16700" +st "hSel" +blo "103000,16500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 375,0 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 5,0 +) +declText (MLText +uid 376,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,24200,19000,25000" +st "hSel : std_uLogic" +) +) +*32 (PortIoOut +uid 377,0 +shape (CompositeShape +uid 378,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 379,0 +sl 0 +ro 270 +xt "100500,11625,102000,12375" +) +(Line +uid 380,0 +sl 0 +ro 270 +xt "100000,12000,100500,12000" +pts [ +"100000,12000" +"100500,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 381,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 382,0 +va (VaSet +isHidden 1 +) +xt "103000,11500,107200,12700" +st "hTrans" +blo "103000,12500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 389,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 6,0 +) +declText (MLText +uid 390,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25000,36000,25800" +st "hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0)" +) +) +*34 (PortIoOut +uid 391,0 +shape (CompositeShape +uid 392,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 393,0 +sl 0 +ro 270 +xt "100500,9625,102000,10375" +) +(Line +uid 394,0 +sl 0 +ro 270 +xt "100000,10000,100500,10000" +pts [ +"100000,10000" +"100500,10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 395,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 396,0 +va (VaSet +isHidden 1 +) +xt "103000,9500,107600,10700" +st "hWData" +blo "103000,10500" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 403,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +declText (MLText +uid 404,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25800,35500,26600" +st "hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*36 (PortIoOut +uid 405,0 +shape (CompositeShape +uid 406,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 407,0 +sl 0 +ro 270 +xt "100500,13625,102000,14375" +) +(Line +uid 408,0 +sl 0 +ro 270 +xt "100000,14000,100500,14000" +pts [ +"100000,14000" +"100500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 409,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +va (VaSet +isHidden 1 +) +xt "103000,13500,106900,14700" +st "hWrite" +blo "103000,14500" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 417,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 8,0 +) +declText (MLText +uid 418,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26600,19000,27400" +st "hWrite : std_uLogic" +) +) +*38 (Net +uid 455,0 +decl (Decl +n "driverTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 13 +suid 9,0 +) +declText (MLText +uid 456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,30200,34500,31000" +st "SIGNAL driverTransaction : string(1 TO ahbTransactionLength)" +) +) +*39 (Net +uid 461,0 +decl (Decl +n "monitorTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 14 +suid 10,0 +) +declText (MLText +uid 462,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,31000,34500,31800" +st "SIGNAL monitorTransaction : string(1 TO ahbTransactionLength)" +) +) +*40 (PortIoIn +uid 502,0 +shape (CompositeShape +uid 503,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 504,0 +sl 0 +ro 270 +xt "58000,21625,59500,22375" +) +(Line +uid 505,0 +sl 0 +ro 270 +xt "59500,22000,60000,22000" +pts [ +"59500,22000" +"60000,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 506,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 507,0 +va (VaSet +isHidden 1 +) +xt "53600,21500,57000,22700" +st "clock" +ju 2 +blo "57000,22500" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 514,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +declText (MLText +uid 515,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17800,19000,18600" +st "clock : std_ulogic" +) +) +*42 (PortIoOut +uid 516,0 +shape (CompositeShape +uid 517,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 518,0 +sl 0 +ro 270 +xt "100500,21625,102000,22375" +) +(Line +uid 519,0 +sl 0 +ro 270 +xt "100000,22000,100500,22000" +pts [ +"100000,22000" +"100500,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 520,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 521,0 +va (VaSet +isHidden 1 +) +xt "103000,21500,106000,22700" +st "hClk" +blo "103000,22500" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 528,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 7 +suid 12,0 +) +declText (MLText +uid 529,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22600,19000,23400" +st "hClk : std_uLogic" +) +) +*44 (PortIoOut +uid 530,0 +shape (CompositeShape +uid 531,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 532,0 +sl 0 +ro 270 +xt "100500,23625,102000,24375" +) +(Line +uid 533,0 +sl 0 +ro 270 +xt "100000,24000,100500,24000" +pts [ +"100000,24000" +"100500,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 534,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 535,0 +va (VaSet +isHidden 1 +) +xt "103000,23500,108400,24700" +st "hReset_n" +blo "103000,24500" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 542,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 13,0 +) +declText (MLText +uid 543,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23400,19000,24200" +st "hReset_n : std_uLogic" +) +) +*46 (PortIoIn +uid 544,0 +shape (CompositeShape +uid 545,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 546,0 +sl 0 +ro 270 +xt "58000,23625,59500,24375" +) +(Line +uid 547,0 +sl 0 +ro 270 +xt "59500,24000,60000,24000" +pts [ +"59500,24000" +"60000,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 548,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 549,0 +va (VaSet +isHidden 1 +) +xt "53700,23500,57000,24700" +st "reset" +ju 2 +blo "57000,24500" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 556,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 14,0 +) +declText (MLText +uid 557,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21000,19000,21800" +st "reset : std_ulogic" +) +) +*48 (SaComponent +uid 977,0 +optionalChildren [ +*49 (CptPort +uid 933,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 934,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,7625,84750,8375" +) +tg (CPTG +uid 935,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 936,0 +va (VaSet +font "Verdana,12,0" +) +xt "78500,7300,83000,8700" +st "hAddr" +ju 2 +blo "83000,8500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 5 +suid 2051,0 +) +) +) +*50 (CptPort +uid 937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 938,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,9625,84750,10375" +) +tg (CPTG +uid 939,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 940,0 +va (VaSet +font "Verdana,12,0" +) +xt "77100,9300,83000,10700" +st "hWData" +ju 2 +blo "83000,10500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 2053,0 +) +) +) +*51 (CptPort +uid 941,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 942,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,13625,84750,14375" +) +tg (CPTG +uid 943,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 944,0 +va (VaSet +font "Verdana,12,0" +) +xt "78000,13300,83000,14700" +st "hWrite" +ju 2 +blo "83000,14500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 2055,0 +) +) +) +*52 (CptPort +uid 945,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,11625,84750,12375" +) +tg (CPTG +uid 947,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 948,0 +va (VaSet +font "Verdana,12,0" +) +xt "77900,11300,83000,12700" +st "hTrans" +ju 2 +blo "83000,12500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 2059,0 +) +) +) +*53 (CptPort +uid 949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 950,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,17625,84750,18375" +) +tg (CPTG +uid 951,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 952,0 +va (VaSet +font "Verdana,12,0" +) +xt "77500,17300,83000,18700" +st "hReady" +ju 2 +blo "83000,18500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +) +*54 (CptPort +uid 953,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 954,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,21625,84750,22375" +) +tg (CPTG +uid 955,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 956,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,21300,83000,22700" +st "hClk" +ju 2 +blo "83000,22500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 6 +suid 2063,0 +) +) +) +*55 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,23625,84750,24375" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 960,0 +va (VaSet +font "Verdana,12,0" +) +xt "76200,23300,83000,24700" +st "hReset_n" +ju 2 +blo "83000,24500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 2064,0 +) +) +) +*56 (CptPort +uid 961,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 962,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,15625,84750,16375" +) +tg (CPTG +uid 963,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 964,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,15300,83000,16700" +st "hSel" +ju 2 +blo "83000,16500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 2066,0 +) +) +) +*57 (CptPort +uid 965,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 966,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,21625,68000,22375" +) +tg (CPTG +uid 967,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 968,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,21300,72800,22700" +st "clock" +blo "69000,22500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2068,0 +) +) +) +*58 (CptPort +uid 969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 970,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,23625,68000,24375" +) +tg (CPTG +uid 971,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 972,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,23300,73100,24700" +st "reset" +blo "69000,24500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 2069,0 +) +) +) +*59 (CptPort +uid 973,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,7625,68000,8375" +) +tg (CPTG +uid 975,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 976,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,7300,81500,8700" +st "driverTransaction" +blo "69000,8500" +) +) +thePort (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 4 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 978,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,4000,84000,26000" +) +oxt "47000,20000,63000,42000" +ttg (MlTextGroup +uid 979,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 980,0 +va (VaSet +) +xt "68100,25700,83000,26900" +st "AhbLiteComponents_test" +blo "68100,26700" +tm "BdLibraryNameMgr" +) +*61 (Text +uid 981,0 +va (VaSet +) +xt "68100,26700,76700,27900" +st "uvmAhbDriver" +blo "68100,27700" +tm "CptNameMgr" +) +*62 (Text +uid 982,0 +va (VaSet +) +xt "68100,27700,71800,28900" +st "I_driv" +blo "68100,28700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 983,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 984,0 +text (MLText +uid 985,0 +va (VaSet +font "Courier New,8,0" +) +xt "68000,30000,68000,30000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 986,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,24250,69750,25750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*63 (SaComponent +uid 1031,0 +optionalChildren [ +*64 (CptPort +uid 987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 988,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,37625,84750,38375" +) +tg (CPTG +uid 989,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 990,0 +va (VaSet +font "Verdana,12,0" +) +xt "78500,37300,83000,38700" +st "hAddr" +ju 2 +blo "83000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 2051,0 +) +) +) +*65 (CptPort +uid 991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 992,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,39625,84750,40375" +) +tg (CPTG +uid 993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 994,0 +va (VaSet +font "Verdana,12,0" +) +xt "77100,39300,83000,40700" +st "hWData" +ju 2 +blo "83000,40500" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 2053,0 +) +) +) +*66 (CptPort +uid 995,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 996,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,47625,84750,48375" +) +tg (CPTG +uid 997,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 998,0 +va (VaSet +font "Verdana,12,0" +) +xt "77600,47300,83000,48700" +st "hRData" +ju 2 +blo "83000,48500" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 1 +suid 2054,0 +) +) +) +*67 (CptPort +uid 999,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1000,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,43625,84750,44375" +) +tg (CPTG +uid 1001,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1002,0 +va (VaSet +font "Verdana,12,0" +) +xt "78000,43300,83000,44700" +st "hWrite" +ju 2 +blo "83000,44500" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 2055,0 +) +) +) +*68 (CptPort +uid 1003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1004,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,41625,84750,42375" +) +tg (CPTG +uid 1005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1006,0 +va (VaSet +font "Verdana,12,0" +) +xt "77900,41300,83000,42700" +st "hTrans" +ju 2 +blo "83000,42500" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 2059,0 +) +) +) +*69 (CptPort +uid 1007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1008,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,49625,84750,50375" +) +tg (CPTG +uid 1009,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1010,0 +va (VaSet +font "Verdana,12,0" +) +xt "77500,49300,83000,50700" +st "hReady" +ju 2 +blo "83000,50500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +) +*70 (CptPort +uid 1011,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1012,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,51625,84750,52375" +) +tg (CPTG +uid 1013,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1014,0 +va (VaSet +font "Verdana,12,0" +) +xt "78300,51300,83000,52700" +st "hResp" +ju 2 +blo "83000,52500" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +) +*71 (CptPort +uid 1015,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1016,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,55625,84750,56375" +) +tg (CPTG +uid 1017,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1018,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,55300,83000,56700" +st "hClk" +ju 2 +blo "83000,56500" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*72 (CptPort +uid 1019,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1020,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,57625,84750,58375" +) +tg (CPTG +uid 1021,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1022,0 +va (VaSet +font "Verdana,12,0" +) +xt "76200,57300,83000,58700" +st "hReset_n" +ju 2 +blo "83000,58500" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 2064,0 +) +) +) +*73 (CptPort +uid 1023,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1024,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,45625,84750,46375" +) +tg (CPTG +uid 1025,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1026,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,45300,83000,46700" +st "hSel" +ju 2 +blo "83000,46500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 2066,0 +) +) +) +*74 (CptPort +uid 1027,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1028,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,37625,68000,38375" +) +tg (CPTG +uid 1029,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1030,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,37300,82700,38700" +st "monitorTransaction" +blo "69000,38500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 11 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 1032,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,34000,84000,60000" +) +oxt "47000,16000,63000,42000" +ttg (MlTextGroup +uid 1033,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 1034,0 +va (VaSet +) +xt "68100,59700,83000,60900" +st "AhbLiteComponents_test" +blo "68100,60700" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 1035,0 +va (VaSet +) +xt "68100,60700,77400,61900" +st "uvmAhbMonitor" +blo "68100,61700" +tm "CptNameMgr" +) +*77 (Text +uid 1036,0 +va (VaSet +) +xt "68100,61700,72000,62900" +st "I_mon" +blo "68100,62700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1037,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1038,0 +text (MLText +uid 1039,0 +va (VaSet +font "Courier New,8,0" +) +xt "68000,64000,68000,64000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1040,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,58250,69750,59750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*78 (Wire +uid 313,0 +optionalChildren [ +*79 (BdJunction +uid 423,0 +ps "OnConnectorStrategy" +shape (Circle +uid 424,0 +va (VaSet +vasetType 1 +) +xt "87600,7600,88400,8400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 314,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,8000,100000,8000" +pts [ +"84750,8000" +"100000,8000" +] +) +start &49 +end &22 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 317,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 318,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,6600,100500,8000" +st "hAddr" +blo "96000,7800" +tm "WireNameMgr" +) +) +on &23 +) +*80 (Wire +uid 327,0 +shape (OrthoPolyLine +uid 328,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,48000,100000,48000" +pts [ +"100000,48000" +"84750,48000" +] +) +start &24 +end &66 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 332,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,46600,101400,48000" +st "hRData" +blo "96000,47800" +tm "WireNameMgr" +) +) +on &25 +) +*81 (Wire +uid 341,0 +optionalChildren [ +*82 (BdJunction +uid 453,0 +ps "OnConnectorStrategy" +shape (Circle +uid 454,0 +va (VaSet +vasetType 1 +) +xt "97600,17600,98400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 342,0 +va (VaSet +vasetType 3 +) +xt "84750,18000,100000,18000" +pts [ +"100000,18000" +"84750,18000" +] +) +start &26 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 346,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,16600,101500,18000" +st "hReady" +blo "96000,17800" +tm "WireNameMgr" +) +) +on &27 +) +*83 (Wire +uid 355,0 +shape (OrthoPolyLine +uid 356,0 +va (VaSet +vasetType 3 +) +xt "84750,52000,100000,52000" +pts [ +"100000,52000" +"84750,52000" +] +) +start &28 +end &70 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 359,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 360,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,50600,100700,52000" +st "hResp" +blo "96000,51800" +tm "WireNameMgr" +) +) +on &29 +) +*84 (Wire +uid 369,0 +optionalChildren [ +*85 (BdJunction +uid 447,0 +ps "OnConnectorStrategy" +shape (Circle +uid 448,0 +va (VaSet +vasetType 1 +) +xt "95600,15600,96400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 370,0 +va (VaSet +vasetType 3 +) +xt "84750,16000,100000,16000" +pts [ +"84750,16000" +"100000,16000" +] +) +start &56 +end &30 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 373,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 374,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,14600,100500,16000" +st "hSel" +blo "97000,15800" +tm "WireNameMgr" +) +) +on &31 +) +*86 (Wire +uid 383,0 +optionalChildren [ +*87 (BdJunction +uid 435,0 +ps "OnConnectorStrategy" +shape (Circle +uid 436,0 +va (VaSet +vasetType 1 +) +xt "91600,11600,92400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 384,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,12000,100000,12000" +pts [ +"84750,12000" +"100000,12000" +] +) +start &52 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 388,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,10600,101100,12000" +st "hTrans" +blo "96000,11800" +tm "WireNameMgr" +) +) +on &33 +) +*88 (Wire +uid 397,0 +optionalChildren [ +*89 (BdJunction +uid 429,0 +ps "OnConnectorStrategy" +shape (Circle +uid 430,0 +va (VaSet +vasetType 1 +) +xt "89600,9600,90400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 398,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,10000,100000,10000" +pts [ +"84750,10000" +"100000,10000" +] +) +start &50 +end &34 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +font "Verdana,12,0" +) +xt "95000,8600,100900,10000" +st "hWData" +blo "95000,9800" +tm "WireNameMgr" +) +) +on &35 +) +*90 (Wire +uid 411,0 +optionalChildren [ +*91 (BdJunction +uid 441,0 +ps "OnConnectorStrategy" +shape (Circle +uid 442,0 +va (VaSet +vasetType 1 +) +xt "93600,13600,94400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 412,0 +va (VaSet +vasetType 3 +) +xt "84750,14000,100000,14000" +pts [ +"84750,14000" +"100000,14000" +] +) +start &51 +end &36 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 415,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 416,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,12600,101000,14000" +st "hWrite" +blo "96000,13800" +tm "WireNameMgr" +) +) +on &37 +) +*92 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,8000,88000,38000" +pts [ +"88000,8000" +"88000,38000" +"84750,38000" +] +) +start &79 +end &64 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +) +xt "86750,37000,90450,38200" +st "hAddr" +blo "86750,38000" +tm "WireNameMgr" +) +) +on &23 +) +*93 (Wire +uid 425,0 +shape (OrthoPolyLine +uid 426,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,10000,90000,40000" +pts [ +"90000,10000" +"90000,40000" +"84750,40000" +] +) +start &89 +end &65 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 428,0 +va (VaSet +) +xt "86750,39000,91350,40200" +st "hWData" +blo "86750,40000" +tm "WireNameMgr" +) +) +on &35 +) +*94 (Wire +uid 431,0 +shape (OrthoPolyLine +uid 432,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,12000,92000,42000" +pts [ +"92000,12000" +"92000,42000" +"84750,42000" +] +) +start &87 +end &68 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 433,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 434,0 +va (VaSet +) +xt "86750,41000,90950,42200" +st "hTrans" +blo "86750,42000" +tm "WireNameMgr" +) +) +on &33 +) +*95 (Wire +uid 437,0 +shape (OrthoPolyLine +uid 438,0 +va (VaSet +vasetType 3 +) +xt "84750,14000,94000,44000" +pts [ +"94000,14000" +"94000,44000" +"84750,44000" +] +) +start &91 +end &67 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +) +xt "86750,43000,90650,44200" +st "hWrite" +blo "86750,44000" +tm "WireNameMgr" +) +) +on &37 +) +*96 (Wire +uid 443,0 +shape (OrthoPolyLine +uid 444,0 +va (VaSet +vasetType 3 +) +xt "84750,16000,96000,46000" +pts [ +"96000,16000" +"96000,46000" +"84750,46000" +] +) +start &85 +end &73 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 445,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 446,0 +va (VaSet +) +xt "86750,45000,89650,46200" +st "hSel" +blo "86750,46000" +tm "WireNameMgr" +) +) +on &31 +) +*97 (Wire +uid 449,0 +shape (OrthoPolyLine +uid 450,0 +va (VaSet +vasetType 3 +) +xt "84750,18000,98000,50000" +pts [ +"98000,18000" +"98000,50000" +"84750,50000" +] +) +start &82 +end &69 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 451,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 452,0 +va (VaSet +) +xt "86750,49000,91150,50200" +st "hReady" +blo "86750,50000" +tm "WireNameMgr" +) +) +on &27 +) +*98 (Wire +uid 457,0 +shape (OrthoPolyLine +uid 458,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,8000,67250,8000" +pts [ +"52750,8000" +"67250,8000" +] +) +start &13 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 459,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 460,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,6600,66500,8000" +st "driverTransaction" +blo "54000,7800" +tm "WireNameMgr" +) +) +on &38 +) +*99 (Wire +uid 463,0 +shape (OrthoPolyLine +uid 464,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,38000,67250,38000" +pts [ +"52750,38000" +"67250,38000" +] +) +start &18 +end &74 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 465,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 466,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,36600,67700,38000" +st "monitorTransaction" +blo "54000,37800" +tm "WireNameMgr" +) +) +on &39 +) +*100 (Wire +uid 508,0 +shape (OrthoPolyLine +uid 509,0 +va (VaSet +vasetType 3 +) +xt "60000,22000,67250,22000" +pts [ +"60000,22000" +"67250,22000" +] +) +start &40 +end &57 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 512,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 513,0 +va (VaSet +font "Verdana,12,0" +) +xt "60000,20600,63800,22000" +st "clock" +blo "60000,21800" +tm "WireNameMgr" +) +) +on &41 +) +*101 (Wire +uid 522,0 +shape (OrthoPolyLine +uid 523,0 +va (VaSet +vasetType 3 +) +xt "84750,22000,100000,22000" +pts [ +"84750,22000" +"100000,22000" +] +) +start &54 +end &42 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 526,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 527,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,20600,100500,22000" +st "hClk" +blo "97000,21800" +tm "WireNameMgr" +) +) +on &43 +) +*102 (Wire +uid 536,0 +shape (OrthoPolyLine +uid 537,0 +va (VaSet +vasetType 3 +) +xt "84750,24000,100000,24000" +pts [ +"84750,24000" +"100000,24000" +] +) +start &55 +end &44 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +font "Verdana,12,0" +) +xt "95000,22600,101800,24000" +st "hReset_n" +blo "95000,23800" +tm "WireNameMgr" +) +) +on &45 +) +*103 (Wire +uid 550,0 +shape (OrthoPolyLine +uid 551,0 +va (VaSet +vasetType 3 +) +xt "60000,24000,67250,24000" +pts [ +"60000,24000" +"67250,24000" +] +) +start &46 +end &58 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 555,0 +va (VaSet +font "Verdana,12,0" +) +xt "60000,22600,64100,24000" +st "reset" +blo "60000,23800" +tm "WireNameMgr" +) +) +on &47 +) +*104 (Wire +uid 558,0 +shape (OrthoPolyLine +uid 559,0 +va (VaSet +vasetType 3 +) +xt "84750,56000,92000,56000" +pts [ +"84750,56000" +"92000,56000" +] +) +start &71 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 565,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,54600,92500,56000" +st "hClk" +blo "89000,55800" +tm "WireNameMgr" +) +) +on &43 +) +*105 (Wire +uid 566,0 +shape (OrthoPolyLine +uid 567,0 +va (VaSet +vasetType 3 +) +xt "84750,58000,92000,58000" +pts [ +"84750,58000" +"92000,58000" +] +) +start &72 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 573,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,56600,93800,58000" +st "hReset_n" +blo "87000,57800" +tm "WireNameMgr" +) +) +on &45 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 42,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*108 (MLText +uid 43,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*110 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*111 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*112 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*113 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*114 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*115 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1604,-1604,141072,75916" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1094,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Arial,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*117 (Text +va (VaSet +font "Arial,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*118 (Text +va (VaSet +font "Arial,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +font "Arial,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*120 (Text +va (VaSet +font "Arial,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*121 (Text +va (VaSet +font "Arial,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "Arial,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*123 (Text +va (VaSet +font "Arial,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*124 (Text +va (VaSet +font "Arial,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +font "Arial,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*126 (Text +va (VaSet +font "Arial,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*127 (Text +va (VaSet +font "Arial,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +font "Arial,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*129 (Text +va (VaSet +font "Arial,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*130 (Text +va (VaSet +font "Arial,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +font "Arial,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*132 (Text +va (VaSet +font "Arial,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Arial,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*134 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*136 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,15800,5400,16800" +st "Declarations" +blo "0,16600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,16800,2700,17800" +st "Ports:" +blo "0,17600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,27400,3800,28400" +st "Pre User:" +blo "0,28200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,28400,27000,29200" +st "constant ahbTransactionLength: positive := 20;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,29200,7100,30200" +st "Diagram Signals:" +blo "0,30000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,15800,4700,16800" +st "Post User:" +blo "0,16600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,15800,0,15800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 14,0 +usingSuid 1 +emptyRow *137 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*145 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*146 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*147 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*148 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*149 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*150 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 6 +suid 1,0 +) +) +uid 292,0 +) +*151 (LeafLogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 294,0 +) +*152 (LeafLogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 296,0 +) +*153 (LeafLogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 298,0 +) +*154 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 5,0 +) +) +uid 300,0 +) +*155 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 6,0 +) +) +uid 302,0 +) +*156 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +) +uid 304,0 +) +*157 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 8,0 +) +) +uid 306,0 +) +*158 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "driverTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 13 +suid 9,0 +) +) +uid 467,0 +) +*159 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "monitorTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 14 +suid 10,0 +) +) +uid 469,0 +) +*160 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 495,0 +) +*161 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 7 +suid 12,0 +) +) +uid 497,0 +) +*162 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 13,0 +) +) +uid 499,0 +) +*163 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 14,0 +) +) +uid 501,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*164 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *165 (MRCItem +litem &137 +pos 14 +dimension 20 +) +uid 69,0 +optionalChildren [ +*166 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 70,0 +) +*167 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 71,0 +) +*168 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*169 (MRCItem +litem &150 +pos 1 +dimension 20 +uid 291,0 +) +*170 (MRCItem +litem &151 +pos 3 +dimension 20 +uid 293,0 +) +*171 (MRCItem +litem &152 +pos 4 +dimension 20 +uid 295,0 +) +*172 (MRCItem +litem &153 +pos 6 +dimension 20 +uid 297,0 +) +*173 (MRCItem +litem &154 +pos 7 +dimension 20 +uid 299,0 +) +*174 (MRCItem +litem &155 +pos 8 +dimension 20 +uid 301,0 +) +*175 (MRCItem +litem &156 +pos 9 +dimension 20 +uid 303,0 +) +*176 (MRCItem +litem &157 +pos 10 +dimension 20 +uid 305,0 +) +*177 (MRCItem +litem &158 +pos 12 +dimension 20 +uid 468,0 +) +*178 (MRCItem +litem &159 +pos 13 +dimension 20 +uid 470,0 +) +*179 (MRCItem +litem &160 +pos 0 +dimension 20 +uid 494,0 +) +*180 (MRCItem +litem &161 +pos 2 +dimension 20 +uid 496,0 +) +*181 (MRCItem +litem &162 +pos 5 +dimension 20 +uid 498,0 +) +*182 (MRCItem +litem &163 +pos 11 +dimension 20 +uid 500,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*183 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 74,0 +) +*184 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 75,0 +) +*185 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 76,0 +) +*186 (MRCItem +litem &145 +pos 3 +dimension 50 +uid 77,0 +) +*187 (MRCItem +litem &146 +pos 4 +dimension 100 +uid 78,0 +) +*188 (MRCItem +litem &147 +pos 5 +dimension 100 +uid 79,0 +) +*189 (MRCItem +litem &148 +pos 6 +dimension 50 +uid 80,0 +) +*190 (MRCItem +litem &149 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *191 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*192 (RefLabelRowHdr +) +*193 (TitleRowHdr +) +*194 (FilterRowHdr +) +*195 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*196 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*197 (GroupColHdr +tm "GroupColHdrMgr" +) +*198 (NameColHdr +tm "GenericNameColHdrMgr" +) +*199 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*200 (InitColHdr +tm "GenericValueColHdrMgr" +) +*201 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*202 (EolColHdr +tm "GenericEolColHdrMgr" +) +*203 (LogGeneric +generic (GiElement +name "driverVerbosity" +type "integer" +value "" +) +uid 629,0 +) +*204 (LogGeneric +generic (GiElement +name "monitorVerbosity" +type "integer" +value "" +) +uid 631,0 +) +*205 (LogGeneric +generic (GiElement +name "driverFileSpec" +type "string" +value "" +) +uid 633,0 +) +*206 (LogGeneric +generic (GiElement +name "monitorFileSpec" +type "string" +value "" +) +uid 635,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*207 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *208 (MRCItem +litem &191 +pos 4 +dimension 20 +) +uid 97,0 +optionalChildren [ +*209 (MRCItem +litem &192 +pos 0 +dimension 20 +uid 98,0 +) +*210 (MRCItem +litem &193 +pos 1 +dimension 23 +uid 99,0 +) +*211 (MRCItem +litem &194 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*212 (MRCItem +litem &203 +pos 0 +dimension 20 +uid 628,0 +) +*213 (MRCItem +litem &204 +pos 2 +dimension 20 +uid 630,0 +) +*214 (MRCItem +litem &205 +pos 1 +dimension 20 +uid 632,0 +) +*215 (MRCItem +litem &206 +pos 3 +dimension 20 +uid 634,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*216 (MRCItem +litem &195 +pos 0 +dimension 20 +uid 102,0 +) +*217 (MRCItem +litem &197 +pos 1 +dimension 50 +uid 103,0 +) +*218 (MRCItem +litem &198 +pos 2 +dimension 100 +uid 104,0 +) +*219 (MRCItem +litem &199 +pos 3 +dimension 100 +uid 105,0 +) +*220 (MRCItem +litem &200 +pos 4 +dimension 50 +uid 106,0 +) +*221 (MRCItem +litem &201 +pos 5 +dimension 50 +uid 107,0 +) +*222 (MRCItem +litem &202 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/symbol.sb new file mode 100644 index 0000000..4b6cf5f --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@agent@hw/symbol.sb @@ -0,0 +1,2116 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 19,0 +) +) +uid 432,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 6 +suid 20,0 +) +) +uid 434,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 7 +suid 21,0 +) +) +uid 436,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +uid 438,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 3 +suid 23,0 +) +) +uid 440,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 24,0 +) +) +uid 442,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 4 +suid 25,0 +) +) +uid 444,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 26,0 +) +) +uid 446,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 27,0 +) +) +uid 448,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 28,0 +) +) +uid 450,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 29,0 +) +) +uid 452,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 30,0 +) +) +uid 454,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 68,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 433,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 435,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 437,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 439,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 441,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 443,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 445,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 447,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 449,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 451,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 453,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 455,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "driverVerbosity" +type "integer" +value "" +) +uid 525,0 +) +*64 (LogGeneric +generic (GiElement +name "monitorVerbosity" +type "integer" +value "" +) +uid 527,0 +) +*65 (LogGeneric +generic (GiElement +name "driverFileSpec" +type "string" +value "" +) +uid 529,0 +) +*66 (LogGeneric +generic (GiElement +name "monitorFileSpec" +type "string" +value "" +) +uid 531,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*67 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *68 (MRCItem +litem &51 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*69 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 97,0 +) +*70 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 98,0 +) +*71 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*72 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 526,0 +) +*73 (MRCItem +litem &64 +pos 2 +dimension 20 +uid 528,0 +) +*74 (MRCItem +litem &65 +pos 1 +dimension 20 +uid 530,0 +) +*75 (MRCItem +litem &66 +pos 3 +dimension 20 +uid 532,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*76 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 101,0 +) +*77 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 102,0 +) +*78 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 103,0 +) +*79 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 104,0 +) +*80 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 105,0 +) +*81 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 106,0 +) +*82 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvmAhbAgentHw" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmAhbAgentHw" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:08:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLiteComponents_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "uvmAhbAgentHw" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvm@ahb@agent@hw\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\AhbLiteComponents_test\\hds\\uvmAhbAgentHw\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:08:42" +) +(vvPair +variable "unit" +value "uvmAhbAgentHw" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 372,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 373,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 374,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 375,0 +va (VaSet +) +xt "39000,26400,42400,27600" +st "clock" +blo "39000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 376,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9400,17000,10200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 19,0 +) +) +) +*85 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,8625,54750,9375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +) +xt "49300,8400,53000,9600" +st "hAddr" +ju 2 +blo "53000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 381,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13400,31500,14200" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 6 +suid 20,0 +) +) +) +*86 (CptPort +uid 382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 383,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,26625,54750,27375" +) +tg (CPTG +uid 384,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 385,0 +va (VaSet +) +xt "50000,26400,53000,27600" +st "hClk" +ju 2 +blo "53000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 386,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14200,17000,15000" +st "hClk : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 7 +suid 21,0 +) +) +) +*87 (CptPort +uid 387,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 388,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,18625,54750,19375" +) +tg (CPTG +uid 389,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 390,0 +va (VaSet +) +xt "48600,18400,53000,19600" +st "hRData" +ju 2 +blo "53000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 391,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10200,33500,11000" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +) +*88 (CptPort +uid 392,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 393,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,20625,54750,21375" +) +tg (CPTG +uid 394,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 395,0 +va (VaSet +) +xt "48600,20400,53000,21600" +st "hReady" +ju 2 +blo "53000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 396,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11000,17000,11800" +st "hReady : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 3 +suid 23,0 +) +) +) +*89 (CptPort +uid 397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 398,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,28625,54750,29375" +) +tg (CPTG +uid 399,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 400,0 +va (VaSet +) +xt "47600,28400,53000,29600" +st "hReset_n" +ju 2 +blo "53000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 401,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15000,17000,15800" +st "hReset_n : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 24,0 +) +) +) +*90 (CptPort +uid 402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 403,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,22625,54750,23375" +) +tg (CPTG +uid 404,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 405,0 +va (VaSet +) +xt "49200,22400,53000,23600" +st "hResp" +ju 2 +blo "53000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 406,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11800,17000,12600" +st "hResp : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 4 +suid 25,0 +) +) +) +*91 (CptPort +uid 407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,16625,54750,17375" +) +tg (CPTG +uid 409,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 410,0 +va (VaSet +) +xt "50100,16400,53000,17600" +st "hSel" +ju 2 +blo "53000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 411,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15800,17000,16600" +st "hSel : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 9 +suid 26,0 +) +) +) +*92 (CptPort +uid 412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 414,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 415,0 +va (VaSet +) +xt "48800,12400,53000,13600" +st "hTrans" +ju 2 +blo "53000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 416,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16600,34000,17400" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 10 +suid 27,0 +) +) +) +*93 (CptPort +uid 417,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 418,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,10625,54750,11375" +) +tg (CPTG +uid 419,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 420,0 +va (VaSet +) +xt "48400,10400,53000,11600" +st "hWData" +ju 2 +blo "53000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 421,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17400,33500,18200" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 11 +suid 28,0 +) +) +) +*94 (CptPort +uid 422,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 423,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,14625,54750,15375" +) +tg (CPTG +uid 424,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 425,0 +va (VaSet +) +xt "49100,14400,53000,15600" +st "hWrite" +ju 2 +blo "53000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 426,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18200,16000,19000" +st "hWrite : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 12 +suid 29,0 +) +) +) +*95 (CptPort +uid 427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,28625,38000,29375" +) +tg (CPTG +uid 429,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 430,0 +va (VaSet +) +xt "39000,28400,42300,29600" +st "reset" +blo "39000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 431,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12600,17000,13400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,5000,54000,31000" +) +oxt "15000,6000,27000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,31000,52200,32200" +st "AhbLiteComponents_test" +blo "37600,32000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,32200,47600,33400" +st "uvmAhbAgentHw" +blo "37600,33200" +) +) +gi *96 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "38000,35200,53000,40000" +st "Generic Declarations + +driverVerbosity integer +driverFileSpec string +monitorVerbosity integer +monitorFileSpec string " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "driverVerbosity" +type "integer" +value "" +) +(GiElement +name "driverFileSpec" +type "string" +value "" +) +(GiElement +name "monitorVerbosity" +type "integer" +value "" +) +(GiElement +name "monitorFileSpec" +type "string" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*97 (Grouping +uid 16,0 +optionalChildren [ +*98 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48500,34200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44500,51200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46500,34200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46500,30200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,65300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44500,55200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "35350,44400,45650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47500,30200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48500,30200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*107 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47500,34200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *108 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*110 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,15500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "136,41,1414,882" +viewArea "-3051,-1040,72660,50935" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *111 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *112 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7400,3400,8400" +st "Declarations" +blo "-2000,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,8400,700,9400" +st "Ports:" +blo "-2000,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,19000,400,20000" +st "User:" +blo "-2000,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,7400,3800,8400" +st "Internal User:" +blo "-2000,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20000,0,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,7400,-2000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 624,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@driver/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@driver/symbol.sb new file mode 100644 index 0000000..97fe935 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@driver/symbol.sb @@ -0,0 +1,2001 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2074,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 5 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 2053,0 +) +) +uid 2526,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 2055,0 +) +) +uid 2530,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 2059,0 +) +) +uid 2538,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +uid 2542,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 6 +suid 2063,0 +) +) +uid 2546,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 2064,0 +) +) +uid 2548,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 2066,0 +) +) +uid 2697,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2068,0 +) +) +uid 2903,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 2069,0 +) +) +uid 2905,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 4 +suid 2071,0 +) +) +uid 2934,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 254,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*30 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*31 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2527,0 +) +*32 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2531,0 +) +*33 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2539,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2543,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2547,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2549,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2698,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2904,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2906,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2935,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &49 +pos 0 +dimension 20 +) +uid 293,0 +optionalChildren [ +*63 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 296,0 +) +*64 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 298,0 +) +*65 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*66 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 302,0 +) +*67 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 306,0 +) +*68 (MRCItem +litem &56 +pos 2 +dimension 199 +uid 308,0 +) +*69 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 310,0 +) +*70 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 312,0 +) +*71 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 314,0 +) +*72 (MRCItem +litem &60 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@driver\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@driver\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@driver" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahbDriver" +) +(vvPair +variable "date" +value "15.06.2015" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbDriver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/AhbLiteComponents_test/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "ahbDriver" +) +(vvPair +variable "month" +value "juin" +) +(vvPair +variable "month_long" +value "juin" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@driver\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahbDriver\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:11:55" +) +(vvPair +variable "unit" +value "ahbDriver" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2965,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "57500,23300,62000,24700" +st "hAddr" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,38000,15400" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 5 +suid 2051,0 +) +) +) +*75 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2966,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,25625,63750,26375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "56100,25300,62000,26700" +st "hWData" +ju 2 +blo "62000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,40000,19400" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 2053,0 +) +) +) +*76 (CptPort +uid 2474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,29625,63750,30375" +) +tg (CPTG +uid 2476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2477,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,29300,62000,30700" +st "hWrite" +ju 2 +blo "62000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2478,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,22500,20200" +st "hWrite : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 2055,0 +) +) +) +*77 (CptPort +uid 2494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2969,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,27625,63750,28375" +) +tg (CPTG +uid 2496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2497,0 +va (VaSet +font "Verdana,12,0" +) +xt "56900,27300,62000,28700" +st "hTrans" +ju 2 +blo "62000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2498,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17800,40500,18600" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 2059,0 +) +) +) +*78 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2970,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,33625,63750,34375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "56500,33300,62000,34700" +st "hReady" +ju 2 +blo "62000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,24000,13800" +st "hReady : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +) +*79 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,37625,63750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,37300,62000,38700" +st "hClk" +ju 2 +blo "62000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,24000,16200" +st "hClk : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 6 +suid 2063,0 +) +) +) +*80 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2973,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,39625,63750,40375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "Verdana,12,0" +) +xt "55200,39300,62000,40700" +st "hReset_n" +ju 2 +blo "62000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,24000,17000" +st "hReset_n : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 7 +suid 2064,0 +) +) +) +*81 (CptPort +uid 2692,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,31625,63750,32375" +) +tg (CPTG +uid 2694,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2695,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,31300,62000,32700" +st "hSel" +ju 2 +blo "62000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2696,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17000,24000,17800" +st "hSel : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 2066,0 +) +) +) +*82 (CptPort +uid 2893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,37625,47000,38375" +) +tg (CPTG +uid 2895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2896,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,37300,51800,38700" +st "clock" +blo "48000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2897,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,24000,12200" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2068,0 +) +) +) +*83 (CptPort +uid 2898,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2899,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,39625,47000,40375" +) +tg (CPTG +uid 2900,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2901,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,39300,52100,40700" +st "reset" +blo "48000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2902,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,24000,14600" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 2069,0 +) +) +) +*84 (CptPort +uid 2912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,23625,47000,24375" +) +tg (CPTG +uid 2914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2915,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,23300,60500,24700" +st "driverTransaction" +blo "48000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2916,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,21500,13000" +st "driverTransaction : IN string ;" +) +thePort (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 4 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,20000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,56600,42700" +st "AhbLiteComponents_test" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,50800,43700" +st "ahbDriver" +blo "47100,43500" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "47000,46000,58500,46800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 136,0 +optionalChildren [ +*87 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,71200,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,71200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,59300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*92 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,92300,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "64150,61500,70850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,59300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,59900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,76700,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*97 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 103,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,11300,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; +" +tm "PackageList" +) +] +) +windowSize "117,42,1429,895" +viewArea "-1400,-1400,101342,68066" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,20200,2400,21200" +st "User:" +blo "0,21000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21200,2000,21200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3091,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@monitor/symbol.sb b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@monitor/symbol.sb new file mode 100644 index 0000000..cde51a8 --- /dev/null +++ b/06-07-08-09-SystemOnChip/AhbLiteComponents_test/hds/uvm@ahb@monitor/symbol.sb @@ -0,0 +1,1993 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2074,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 2053,0 +) +) +uid 2526,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 1 +suid 2054,0 +) +) +uid 2528,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 2055,0 +) +) +uid 2530,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 2059,0 +) +) +uid 2538,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +uid 2542,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +uid 2544,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +uid 2546,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 2064,0 +) +) +uid 2548,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 2066,0 +) +) +uid 2697,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 11 +suid 2071,0 +) +) +uid 2934,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 254,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*30 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*31 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2527,0 +) +*32 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2529,0 +) +*33 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2531,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2539,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2543,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2545,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2547,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2549,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2698,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2935,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &49 +pos 0 +dimension 20 +) +uid 293,0 +optionalChildren [ +*63 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 296,0 +) +*64 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 298,0 +) +*65 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*66 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 302,0 +) +*67 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 306,0 +) +*68 (MRCItem +litem &56 +pos 2 +dimension 199 +uid 308,0 +) +*69 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 310,0 +) +*70 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 312,0 +) +*71 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 314,0 +) +*72 (MRCItem +litem &60 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@monitor\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@monitor\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@monitor" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahbMonitor" +) +(vvPair +variable "date" +value "15.06.2015" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbMonitor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLiteComponents_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/AhbLiteComponents_test/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "ahbMonitor" +) +(vvPair +variable "month" +value "juin" +) +(vvPair +variable "month_long" +value "juin" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahb@monitor\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\AhbLiteComponents_test\\hds\\ahbMonitor\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:12:41" +) +(vvPair +variable "unit" +value "ahbMonitor" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3023,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "57500,19300,62000,20700" +st "hAddr" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11400,38500,12200" +st "hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 2051,0 +) +) +) +*75 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3024,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "56100,21300,62000,22700" +st "hWData" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17800,40500,18600" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 2053,0 +) +) +) +*76 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2967,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,29625,63750,30375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "56600,29300,62000,30700" +st "hRData" +ju 2 +blo "62000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13000,40500,13800" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 1 +suid 2054,0 +) +) +) +*77 (CptPort +uid 2474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3025,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,25625,63750,26375" +) +tg (CPTG +uid 2476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2477,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,25300,62000,26700" +st "hWrite" +ju 2 +blo "62000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2478,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,24500,19400" +st "hWrite : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 10 +suid 2055,0 +) +) +) +*78 (CptPort +uid 2494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3026,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2497,0 +va (VaSet +font "Verdana,12,0" +) +xt "56900,23300,62000,24700" +st "hTrans" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2498,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17000,41000,17800" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 8 +suid 2059,0 +) +) +) +*79 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2970,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,31625,63750,32375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "56500,31300,62000,32700" +st "hReady" +ju 2 +blo "62000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13800,24500,14600" +st "hReady : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 2 +suid 2061,0 +) +) +) +*80 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2971,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,33625,63750,34375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "57300,33300,62000,34700" +st "hResp" +ju 2 +blo "62000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15400,24500,16200" +st "hResp : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +) +*81 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3027,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,37625,63750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,37300,62000,38700" +st "hClk" +ju 2 +blo "62000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12200,24500,13000" +st "hClk : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*82 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3028,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,39625,63750,40375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "Verdana,12,0" +) +xt "55200,39300,62000,40700" +st "hReset_n" +ju 2 +blo "62000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,24500,15400" +st "hReset_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 2064,0 +) +) +) +*83 (CptPort +uid 2692,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3029,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,27625,63750,28375" +) +tg (CPTG +uid 2694,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2695,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,27300,62000,28700" +st "hSel" +ju 2 +blo "62000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2696,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16200,24500,17000" +st "hSel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 7 +suid 2066,0 +) +) +) +*84 (CptPort +uid 2912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3030,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,19625,47000,20375" +) +tg (CPTG +uid 2914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2915,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,19300,61700,20700" +st "monitorTransaction" +blo "48000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2916,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,21000,20200" +st "monitorTransaction : OUT string " +) +thePort (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 11 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,16000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,56600,42700" +st "AhbLiteComponents_test" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,51700,43700" +st "ahbMonitor" +blo "47100,43500" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "47000,46000,58500,46800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 136,0 +optionalChildren [ +*87 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,71200,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,71200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,59300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*92 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,92300,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "64150,61500,70850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,59300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,59900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,77600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*97 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 103,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,11300,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; +" +tm "PackageList" +) +] +) +windowSize "117,42,1428,895" +viewArea "-1443,-1443,101127,67970" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,20200,2400,21200" +st "User:" +blo "0,21000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21200,2000,21200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3076,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/Board/concat/concatenated.vhd b/06-07-08-09-SystemOnChip/Board/concat/concatenated.vhd new file mode 100644 index 0000000..a6a79c1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/concat/concatenated.vhd @@ -0,0 +1,6031 @@ +-- VHDL Entity Board.SoC_ebs3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:21:25 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY SoC_ebs3 IS + PORT( + RxD : IN std_ulogic; + clock : IN std_ulogic; + reset_N : IN std_ulogic; + selSinCos_n : IN std_ulogic; + LED1 : OUT std_ulogic; + LED2 : OUT std_ulogic; + TxD : OUT std_ulogic; + spare : OUT std_ulogic_vector (1 TO 17); + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END SoC_ebs3 ; + + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- VHDL Entity SystemOnChip.beamerSoc.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY beamerSoc IS + GENERIC( + ioNb : positive := 8; + testOutBitNb : positive := 16; + patternAddressBitNb : positive := 9 + ); + PORT( + TxD : OUT std_ulogic; + RxD : IN std_ulogic; + outX : OUT std_ulogic; + outY : OUT std_ulogic; + selSinCos : IN std_ulogic; + reset : IN std_ulogic; + clock : IN std_ulogic; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + testOut : OUT std_ulogic_vector (1 TO testOutBitNb) + ); + +-- Declarations + +END beamerSoc ; + + + + + +LIBRARY ieee; + USE ieee.std_logic_1164.all; + +PACKAGE ahbLite IS + ------------------------------------------------------------------------------ + -- bus components sizes + constant ahbAddressBitNb : positive := 16; + constant ahbDataBitNb : positive := 16; + constant ahbSlaveNb : positive := 16; + + constant ahbTransBitNb : positive := 2; + constant ahbSizeBitNb : positive := 1; + constant ahbBurstBitNb : positive := 3; + constant ahbProtBitNb : positive := 4; + + ------------------------------------------------------------------------------ + -- bus data vector type + subtype ahbDataType is std_logic_vector(ahbDataBitNb-1 downto 0); + type ahbDataVector is array(1 to ahbSlaveNb) of ahbDataType; + + ------------------------------------------------------------------------------ + -- address decoder + type ahbMemoryLocationType is + record + baseAddress: natural; + addressMask: natural; + end record; + type ahbMemoryLocationVector is array(1 to ahbSlaveNb) of ahbMemoryLocationType; + + ------------------------------------------------------------------------------ + -- bus signals + subtype transferType is std_ulogic_vector(ahbTransBitNb-1 downto 0); + constant transIdle : transferType := "00"; + constant transBusy : transferType := "01"; + constant transNonSeq: transferType := "10"; + constant transSeq : transferType := "11"; + + subtype transferSizeType is std_ulogic_vector(ahbSizeBitNb-1 downto 0); + constant size8 : transferSizeType := "0"; + constant size16 : transferSizeType := "1"; + + subtype burstType is std_ulogic_vector(ahbBurstBitNb-1 downto 0); + constant burstSingle : burstType := "000"; + constant burstIncr : burstType := "001"; + constant burstWrap4 : burstType := "010"; + constant burstIncr4 : burstType := "011"; + constant burstWrap8 : burstType := "100"; + constant burstIncr8 : burstType := "101"; + constant burstWrap16 : burstType := "110"; + constant burstIncr16 : burstType := "111"; + + subtype protectionType is std_ulogic_vector(ahbProtBitNb-1 downto 0); + constant protDefault : protectionType := "0011"; + + ------------------------------------------------------------------------------ + -- log2 + function addressBitNb (addressNb : natural) return natural; + +END ahbLite; + + + + +PACKAGE BODY ahbLite IS + + function addressBitNb (addressNb : natural) return natural is + variable powerOfTwo, bitNb : natural; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= addressNb loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end addressBitNb; + +END ahbLite; + + + + +-- VHDL Entity SystemOnChip.ahbBeamer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:22 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbBeamer IS + GENERIC( + patternAddressBitNb : positive := 9; + testOutBitNb : positive := 16 + ); + PORT( + outX : OUT std_ulogic; + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + outY : OUT std_ulogic; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + selSinCos : IN std_ulogic; + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic; + hSel : IN std_ulogic; + hReady : OUT std_ulogic; + hResp : OUT std_ulogic; + hClk : IN std_ulogic; + hReset_n : IN std_ulogic + ); + +-- Declarations + +END ahbBeamer ; + + + + + +-- VHDL Entity SystemOnChip.ahbBeamerOperator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:21 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY ahbBeamerOperator IS + GENERIC( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT( + outX : OUT std_ulogic; + run : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + outY : OUT std_ulogic; + selSinCos : IN std_ulogic; + interpolateLin : IN std_ulogic; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + newPolynom : OUT std_ulogic + ); + +-- Declarations + +END ahbBeamerOperator ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + + + + + +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.sinCosTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sinCosTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0); + cosine : OUT signed (outputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sinCosTable ; + + + + + +ARCHITECTURE masterVersion OF sinCosTable IS + + signal changeSignSine : std_uLogic; + signal changeSignCosine : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + signal quarterCosine : signed(cosine'range); + +BEGIN + + changeSignSine <= phase(phase'high); + changeSignCosine <= phase(phase'high) xor phase(phase'high-1); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, phaseTableAddress1) + begin + if flipPhase = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTableSine: process(phaseTableAddress2, flipPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if flipPhase = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTableSine; + + + quarterTableCosine: process(phaseTableAddress2, flipPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if flipPhase = '1' then + quarterCosine <= to_signed(16#0000#, quarterSine'length); + else + quarterCosine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 7 => quarterCosine <= to_signed(16#18F9#, quarterSine'length); + when 6 => quarterCosine <= to_signed(16#30FB#, quarterSine'length); + when 5 => quarterCosine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterCosine <= to_signed(16#5A82#, quarterSine'length); + when 3 => quarterCosine <= to_signed(16#6A6D#, quarterSine'length); + when 2 => quarterCosine <= to_signed(16#7641#, quarterSine'length); + when 1 => quarterCosine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterCosine <= (others => '-'); + end case; + end process quarterTableCosine; + + + checkSignSine: process(changeSignSine, quarterSine) + begin + if changeSignSine = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSignSine; + + + checkSignCosine: process(changeSignCosine, quarterCosine) + begin + if changeSignCosine = '0' then + cosine <= quarterCosine; + else + cosine <= 0 - quarterCosine; + end if; + end process checkSignCosine; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.periphSpeedController.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphSpeedController IS + GENERIC( + updatePeriodBitNb : positive := 16 + ); + PORT( + enableOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + enableIn : IN std_ulogic + ); + +-- Declarations + +END periphSpeedController ; + + + + + +ARCHITECTURE masterVersion OF periphSpeedController IS + + signal enableCounter: unsigned(updatePeriod'range); + signal endOfCount: std_uLogic; + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + enableCounter <= (others => '0'); + elsif rising_edge(clock) then + if (endOfCount = '0') and (enableIn = '1') then + enableCounter <= enableCounter - 1; + else + enableCounter <= updatePeriod; + end if; + end if; + end process count; + + testEndOfCount: process(enableCounter) + begin + if enableCounter = 0 then + endOfCount <= '1'; + else + endOfCount <= '0'; + end if; + end process testEndOfCount; + + enableOut <= endOfCount and enableIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamerOperator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:01:08 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY DigitalToAnalogConverter; +LIBRARY SplineInterpolator; +LIBRARY SystemOnChip; +LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF ahbBeamerOperator IS + + -- Architecture declarations + constant coeffBitNb : positive := signalBitNb+3+1; + constant sampleCountBitNb : positive := 8; + constant patternAddressBitNb : positive := 8; + -- sinewave generator + constant tableAddressBitNb : positive := 3; + constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2; + + -- Internal signal declarations + SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0); + SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL interpolateLinear : std_ulogic; + SIGNAL interpolationEn : std_ulogic; + SIGNAL interpolationEnable : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0); + SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sine : signed(signalBitNb-1 DOWNTO 0); + SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL newPolynom_internal : std_ulogic; + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT periphSpeedController + GENERIC ( + updatePeriodBitNb : positive := 16 + ); + PORT ( + enableOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + enableIn : IN std_ulogic + ); + END COMPONENT; + COMPONENT sinCosTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0); + cosine : OUT signed (outputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; + FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; + FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; + FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; + FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; + FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; + FOR ALL : periphSpeedController USE ENTITY SystemOnChip.periphSpeedController; + FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; + FOR ALL : sinCosTable USE ENTITY SystemOnChip.sinCosTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + samplesY <= sine when selSinCos = '1' + else signed(memY); + + -- HDL Embedded Text Block 2 eb2 + samplesX <= cosine when selSinCos = '1' + else signed(memX); + + -- HDL Embedded Text Block 4 eb4 + step <= to_unsigned(1, step'length); + + -- HDL Embedded Text Block 5 eb5 + interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; + interpolateLinear <= '0' when selSinCos = '1' + else interpolateLin; + --interpolateLinear <= interpolateLin; + + + -- Instance port mappings. + I_dacx : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => outX, + parallelIn => unsignedX, + clock => clock, + reset => reset + ); + I_dacy : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => outY, + parallelIn => unsignedY, + clock => clock, + reset => reset + ); + I_polyx : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom_internal, + d => dX, + sampleOut => sampleX, + c => cX, + b => bX, + a => aX, + en => interpolationEnable + ); + I_polyy : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom_internal, + d => dY, + sampleOut => sampleY, + c => cY, + b => bY, + a => aY, + en => interpolationEnable + ); + I_coeffx : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sampleX1, + sample2 => sampleX2, + sample3 => sampleX3, + sample4 => sampleX4, + a => aX, + b => bX, + c => cX, + d => dX, + interpolateLinear => interpolateLinear + ); + I_coeffy : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sampleY1, + sample2 => sampleY2, + sample3 => sampleY3, + sample4 => sampleY4, + a => aY, + b => bY, + c => cY, + d => dY, + interpolateLinear => interpolateLinear + ); + I_srx : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom_internal, + sampleIn => samplesX, + sample1 => sampleX1, + sample2 => sampleX2, + sample3 => sampleX3, + sample4 => sampleX4 + ); + I_sry : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom_internal, + sampleIn => samplesY, + sample1 => sampleY1, + sample2 => sampleY2, + sample3 => sampleY3, + sample4 => sampleY4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom_internal, + clock => clock, + reset => reset, + en => interpolationEnable + ); + I_offsx : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => unsignedX, + signedIn => sampleX + ); + I_offsy : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => unsignedY, + signedIn => sampleY + ); + I_speed : periphSpeedController + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb + ) + PORT MAP ( + enableOut => interpolationEn, + clock => clock, + reset => reset, + updatePeriod => updatePeriod, + enableIn => run + ); + I_sin : sinCosTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sine, + phase => phase, + cosine => cosine + ); + I_phase : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => interpolationEnable + ); + + -- Implicit buffered output assignments + newPolynom <= newPolynom_internal; + +END struct; + + + + +-- VHDL Entity SystemOnChip.ahbBeamerRegisters.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:21 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbBeamerRegisters IS + GENERIC( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16; + patternAddressBitNb : positive := 8; + testOutBitNb : positive := 16 + ); + PORT( + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + run : OUT std_ulogic; + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + interpolateLin : OUT std_ulogic; + newPolynom : IN std_ulogic; + hClk : IN std_ulogic; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + hReset_n : IN std_ulogic; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic; + hSel : IN std_ulogic; + hReady : OUT std_ulogic; + hResp : OUT std_ulogic + ); + +-- Declarations + +END ahbBeamerRegisters ; + + + + + +-- VHDL Entity SystemOnChip.blockRAMAddressCounter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAMAddressCounter IS + GENERIC( + addressBitNb : positive := 8 + ); + PORT( + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + updateMem : IN std_ulogic; + addr : OUT unsigned (addressBitNb-1 DOWNTO 0); + patternSize : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END blockRAMAddressCounter ; + + + + + +ARCHITECTURE masterVersion OF blockRAMAddressCounter IS + + signal updateMemDelayed : std_ulogic; + signal updateMemChanging : std_ulogic; + signal addressInt : unsigned(addr'range); + +BEGIN + + delay: process(reset, clock) + begin + if reset = '1' then + updateMemDelayed <= '0'; + elsif rising_edge(clock) then + updateMemDelayed <= updateMem; + end if; + end process delay; + + updateMemChanging <= '1' when (updateMem /= updateMemDelayed) + else '0'; + + count: process(reset, clock) + begin + if reset = '1' then + addressInt <= (others => '0'); + elsif rising_edge(clock) then + if updateMemChanging = '1' then + addressInt <= (others => '0'); + elsif en = '1' then + if updateMem = '1' then + addressInt <= addressInt + 1; + else + if addressInt < patternSize - 1 then + addressInt <= addressInt + 1; + else + addressInt <= (others => '0'); + end if; + end if; + end if; + end if; + end process count; + + addr <= addressInt; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.periphControlReg.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphControlReg IS + GENERIC( + dataBitNb : positive := 16; + patternSizeBitNb : positive := 8 + ); + PORT( + run : OUT std_ulogic; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + updatePattern : OUT std_ulogic; + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + write : IN std_ulogic; + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + interpolateLinear : OUT std_ulogic; + patternSize : OUT unsigned (patternSizeBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END periphControlReg ; + + + + + +ARCHITECTURE masterVersion OF periphControlReg IS + + constant runId : natural := 0; + constant updatePatternId : natural := 1; + constant interpolateLinearId : natural := 2; + constant patternSizeHighId : natural := 15; + + signal runInt : std_ulogic; + signal updatePatternInt : std_ulogic; + signal interpolateLinearInt : std_ulogic; + signal patternSizeInt : std_ulogic_vector(patternSize'range); + + signal dataOutReg : std_ulogic_vector(dataOut'range); + +BEGIN + + store: process(reset, clock) + begin + if reset = '1' then + runInt <= '0'; + updatePatternInt <= '0'; + interpolateLinearInt <= '0'; + patternSizeInt <= (others => '0'); + elsif rising_edge(clock) then + if (en = '1') and (write = '1') then + runInt <= dataIn(runId); + updatePatternInt <= dataIn(updatePatternId); + interpolateLinearInt <= dataIn(interpolateLinearId); + patternSizeInt <= + dataIn(patternSizeHighId downto patternSizeHighId-patternSize'length+1); + end if; + end if; + end process store; + + run <= runInt; + updatePattern <= updatePatternInt; + interpolateLinear <= interpolateLinearInt; + patternSize <= unsigned(patternSizeInt); + + process(runInt, updatePatternInt, interpolateLinearInt, patternSizeInt) + begin + dataOutReg <= (others => '-'); + dataOutReg(runId) <= runInt; + dataOutReg(updatePatternId) <= updatePatternInt; + dataOutReg(interpolateLinearId) <= interpolateLinearInt; + dataOutReg(patternSizeHighId downto patternSizeHighId-patternSize'length+1) + <= patternSizeInt; + end process; + + dataOut <= std_logic_vector(dataOutReg) when en = '1' + else (others => 'Z'); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.blockRAMControl.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAMControl IS + PORT( + memWr : OUT std_ulogic; + sel : IN std_ulogic; + memEn : OUT std_ulogic; + update : IN std_ulogic; + wr : IN std_ulogic; + cntIncr : OUT std_ulogic; + newSample : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END blockRAMControl ; + + + + + +ARCHITECTURE masterVersion OF blockRAMControl IS + + signal writeDelayed: std_ulogic; + signal writePulse: std_ulogic; + signal memWr1: std_ulogic; + +BEGIN + + delayWrPulse: process(reset, clock) + begin + if reset = '1' then + writeDelayed <= '0'; + elsif rising_edge(clock) then + writeDelayed <= wr; + end if; + end process delayWrPulse; + + writePulse <= '1' when (wr='1') and (writeDelayed='0') + else '0'; + + cntIncr <= '1' when ( (update = '0') and (newSample = '1') ) + or ( (update = '1') and (writePulse = '1') and (sel = '1') ) + else '0'; + + memWr1 <= '1' when (update = '1') and (writePulse = '1') and (sel = '1') + else '0'; + +-- delayMemWrPulse: process(reset, clock) +-- begin +-- if reset = '1' then +-- memWr <= '0'; +-- elsif rising_edge(clock) then +-- memWr <= memWr1; +-- end if; +-- end process delayMemWrPulse; + memWr <= memWr1; + + memEn <= '1' when (sel = '1') or (update = '0') + else '0'; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.periphAddressDecoder.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphAddressDecoder IS + GENERIC( + addressBitNb : positive := 24 + ); + PORT( + selControl : OUT std_ulogic; + addr : IN unsigned (addressBitNb-1 DOWNTO 0); + selSpeed : OUT std_ulogic; + selX : OUT std_ulogic; + selY : OUT std_ulogic; + selZ : OUT std_ulogic + ); + +-- Declarations + +END periphAddressDecoder ; + + + + + +ARCHITECTURE masterVersion OF periphAddressDecoder IS +BEGIN + + decode: process(addr) + begin + selControl <= '0'; + selSpeed <= '0'; + selX <= '0'; + selY <= '0'; + selZ <= '0'; + case to_integer(addr(3 downto 0)) is + when 16#00# => selControl <= '1'; + when 16#01# => selSpeed <= '1'; + when 16#02# => selX <= '1'; + when 16#03# => selY <= '1'; + when 16#04# => selZ <= '1'; + when others => null; + end case; + end process decode; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.blockRAM.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAM IS + GENERIC( + addressBitNb : positive := 8; + dataBitNb : positive := 16; + initFileSpec : string := "ramInit.txt" + ); + PORT( + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + write : IN std_ulogic; + addr : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END blockRAM ; + + + + + +USE std.textio.all; + +ARCHITECTURE Spartan3E OF blockRAM IS + + subtype registerType is std_ulogic_vector(dataBitNb-1 downto 0); + type memoryType is array (0 to 2**addressBitNb-1) of registerType; + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFileSpec : in string) return memoryType is + FILE ramContentFile : text open read_mode is ramContentFileSpec; + variable ramContentFileLine : line; + variable ramContent : memoryType; + variable ramCurrentWord : bit_vector(registerType'range); + variable index : natural := 0; --241; + begin + for index in ramContent'range loop +-- while not endfile(ramContentFile) loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramCurrentWord); + ramContent(index) := std_ulogic_vector(to_stdlogicvector(ramCurrentWord)); +-- index := index + 1; + end loop; + return ramContent; + end function; + + shared variable memoryArray: memoryType := ReadRamContentFromFile(initFileSpec); + +BEGIN + + portA: process(clock) + begin + if rising_edge(clock) then + if (en = '1') then + if (write = '1') then + memoryArray(to_integer(addr)) := dataIn; + dataOut <= dataIn; + else + dataOut <= memoryArray(to_integer(addr)); + end if; + end if; + end if; + end process portA; + +END ARCHITECTURE Spartan3E; + + + + +-- VHDL Entity SystemOnChip.periphSpeedReg.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphSpeedReg IS + GENERIC( + dataBitNb : positive := 16; + updatePeriodBitNb : positive := 16 + ); + PORT( + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + write : IN std_ulogic + ); + +-- Declarations + +END periphSpeedReg ; + + + + + +ARCHITECTURE masterVersion OF periphSpeedReg IS + + signal updatePeriodInt: unsigned(updatePeriod'range); + +BEGIN + + store: process(reset, clock) + begin + if reset = '1' then + updatePeriodInt <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if write = '1' then + updatePeriodInt <= unsigned(dataIn(updatePeriodInt'range)); + end if; + end if; + end if; + end process store; + + updatePeriod <= updatePeriodInt; + + writeBack: process(en, updatePeriodInt) + begin + if en = '1' then + dataOut <= (others => '-'); + dataOut(updatePeriodInt'range) <= std_logic_vector(updatePeriodInt); + else + dataOut <= (others => 'Z'); + end if; + end process writeBack; + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamerRegisters.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:01:22 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +LIBRARY SystemOnChip; + +ARCHITECTURE struct OF ahbBeamerRegisters IS + + -- Architecture declarations + constant addressBitNb: positive := hAddr'length; + constant dataBitNb : positive := hWData'length; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL cntIncrX : std_ulogic; + SIGNAL cntIncrY : std_ulogic; + SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0); + SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0); + SIGNAL memEnX : std_ulogic; + SIGNAL memEnY : std_ulogic; + SIGNAL memWrX : std_ulogic; + SIGNAL memWrY : std_ulogic; + SIGNAL patternSize : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL selControl : std_ulogic; + SIGNAL selSpeed : std_ulogic; + SIGNAL selX : std_ulogic; + SIGNAL selY : std_ulogic; + SIGNAL updatePattern : std_ulogic; + SIGNAL write : std_ulogic; + SIGNAL memDataIn : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL run_int : std_ulogic; + SIGNAL interpolateLin_int : std_ulogic; + + + -- Component Declarations + COMPONENT blockRAM + GENERIC ( + addressBitNb : positive := 8; + dataBitNb : positive := 16; + initFileSpec : string := "ramInit.txt" + ); + PORT ( + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + write : IN std_ulogic ; + addr : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT blockRAMAddressCounter + GENERIC ( + addressBitNb : positive := 8 + ); + PORT ( + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + updateMem : IN std_ulogic ; + addr : OUT unsigned (addressBitNb-1 DOWNTO 0); + patternSize : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT blockRAMControl + PORT ( + memWr : OUT std_ulogic ; + sel : IN std_ulogic ; + memEn : OUT std_ulogic ; + update : IN std_ulogic ; + wr : IN std_ulogic ; + cntIncr : OUT std_ulogic ; + newSample : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT periphAddressDecoder + GENERIC ( + addressBitNb : positive := 24 + ); + PORT ( + selControl : OUT std_ulogic ; + addr : IN unsigned (addressBitNb-1 DOWNTO 0); + selSpeed : OUT std_ulogic ; + selX : OUT std_ulogic ; + selY : OUT std_ulogic ; + selZ : OUT std_ulogic + ); + END COMPONENT; + COMPONENT periphControlReg + GENERIC ( + dataBitNb : positive := 16; + patternSizeBitNb : positive := 8 + ); + PORT ( + run : OUT std_ulogic ; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + updatePattern : OUT std_ulogic ; + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + write : IN std_ulogic ; + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + interpolateLinear : OUT std_ulogic ; + patternSize : OUT unsigned (patternSizeBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT periphSpeedReg + GENERIC ( + dataBitNb : positive := 16; + updatePeriodBitNb : positive := 16 + ); + PORT ( + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + write : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : blockRAM USE ENTITY SystemOnChip.blockRAM; + FOR ALL : blockRAMAddressCounter USE ENTITY SystemOnChip.blockRAMAddressCounter; + FOR ALL : blockRAMControl USE ENTITY SystemOnChip.blockRAMControl; + FOR ALL : periphAddressDecoder USE ENTITY SystemOnChip.periphAddressDecoder; + FOR ALL : periphControlReg USE ENTITY SystemOnChip.periphControlReg; + FOR ALL : periphSpeedReg USE ENTITY SystemOnChip.periphSpeedReg; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 3 eb3 + testout( 1) <= run_int; run <= run_int; + testout( 2) <= updatePattern; + testout( 3) <= interpolateLin_int; interpolateLin <= interpolateLin_int; + testout( 4) <= newPolynom; + testout( 5) <= newPolynom; + testout( 6) <= '0'; + testout( 7) <= '0'; + testout( 8) <= selControl; + testout( 9) <= selSpeed; + testout(10) <= selX; + testout(11) <= selY; + testout(12) <= '0'; + testout(13) <= addr(0); + testout(14) <= addr(1); + testout(15) <= dataIn(0); + testout(16) <= dataIn(1); + + -- HDL Embedded Text Block 8 eb8 + storeControls: process(reset, clock) + begin + if reset = '1' then + addr <= (others => '0'); + write <= '0'; + elsif rising_edge(clock) then + write <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addr <= hAddr(addr'range); + write <= hWrite; + end if; + end if; + end process storeControls; + + dataIn <= hWData; + + -- HDL Embedded Text Block 9 eb9 + memDataIn <= dataIn(memDataIn'range); + + -- HDL Embedded Text Block 10 eb10 + hRData <= std_ulogic_vector(dataOut); + + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + -- HDL Embedded Text Block 11 eb11 + clock<= hClk; + + reset <= not hReset_n; + + + -- Instance port mappings. + I_ramx : blockRAM + GENERIC MAP ( + addressBitNb => patternAddressBitNb, + dataBitNb => signalBitNb, + initFileSpec => "$SIMULATION_DIR/ramYInit.txt" + ) + PORT MAP ( + dataIn => memDataIn, + dataOut => memX, + en => memEnX, + clock => clock, + reset => reset, + write => memWrX, + addr => addrX + ); + I_ramy : blockRAM + GENERIC MAP ( + addressBitNb => patternAddressBitNb, + dataBitNb => signalBitNb, + initFileSpec => "$SIMULATION_DIR/ramXInit.txt" + ) + PORT MAP ( + dataIn => memDataIn, + dataOut => memY, + en => memEnY, + clock => clock, + reset => reset, + write => memWrY, + addr => addrY + ); + I_addrx : blockRAMAddressCounter + GENERIC MAP ( + addressBitNb => patternAddressBitNb + ) + PORT MAP ( + en => cntIncrX, + clock => clock, + reset => reset, + updateMem => updatePattern, + addr => addrX, + patternSize => patternSize + ); + I_addry : blockRAMAddressCounter + GENERIC MAP ( + addressBitNb => patternAddressBitNb + ) + PORT MAP ( + en => cntIncrY, + clock => clock, + reset => reset, + updateMem => updatePattern, + addr => addrY, + patternSize => patternSize + ); + I_ctlx : blockRAMControl + PORT MAP ( + memWr => memWrX, + sel => selX, + memEn => memEnX, + update => updatePattern, + wr => write, + cntIncr => cntIncrX, + newSample => newPolynom, + clock => clock, + reset => reset + ); + I_ctly : blockRAMControl + PORT MAP ( + memWr => memWrY, + sel => selY, + memEn => memEnY, + update => updatePattern, + wr => write, + cntIncr => cntIncrY, + newSample => newPolynom, + clock => clock, + reset => reset + ); + I_decoder : periphAddressDecoder + GENERIC MAP ( + addressBitNb => addressBitNb + ) + PORT MAP ( + selControl => selControl, + addr => addr, + selSpeed => selSpeed, + selX => selX, + selY => selY, + selZ => OPEN + ); + I_ctl : periphControlReg + GENERIC MAP ( + dataBitNb => dataBitNb, + patternSizeBitNb => patternAddressBitNb + ) + PORT MAP ( + run => run_int, + dataIn => dataIn, + updatePattern => updatePattern, + dataOut => dataOut, + write => write, + en => selControl, + clock => clock, + reset => reset, + interpolateLinear => interpolateLin_int, + patternSize => patternSize + ); + I_speed : periphSpeedReg + GENERIC MAP ( + dataBitNb => dataBitNb, + updatePeriodBitNb => updatePeriodBitNb + ) + PORT MAP ( + updatePeriod => updatePeriod, + dataIn => dataIn, + dataOut => dataOut, + en => selSpeed, + clock => clock, + reset => reset, + write => write + ); + +END struct; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamer.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:00:20 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +LIBRARY SystemOnChip; + +ARCHITECTURE struct OF ahbBeamer IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant updatePeriodBitNb : positive := 16; + + -- Internal signal declarations + SIGNAL reset : std_ulogic; + SIGNAL run : std_ulogic; + SIGNAL interpolateLin : std_ulogic; + SIGNAL updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0); + SIGNAL memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL newPolynom : std_ulogic; + + + -- Component Declarations + COMPONENT ahbBeamerOperator + GENERIC ( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT ( + outX : OUT std_ulogic ; + run : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + outY : OUT std_ulogic ; + selSinCos : IN std_ulogic ; + interpolateLin : IN std_ulogic ; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + newPolynom : OUT std_ulogic + ); + END COMPONENT; + COMPONENT ahbBeamerRegisters + GENERIC ( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16; + patternAddressBitNb : positive := 8; + testOutBitNb : positive := 16 + ); + PORT ( + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + run : OUT std_ulogic ; + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + interpolateLin : OUT std_ulogic ; + newPolynom : IN std_ulogic ; + hClk : IN std_ulogic ; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + hReset_n : IN std_ulogic ; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic ; + hSel : IN std_ulogic ; + hReady : OUT std_ulogic ; + hResp : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : ahbBeamerOperator USE ENTITY SystemOnChip.ahbBeamerOperator; + FOR ALL : ahbBeamerRegisters USE ENTITY SystemOnChip.ahbBeamerRegisters; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + --process + --begin + -- newPolynom <= '0'; + -- for index in 1 to 2**4-1 loop + -- wait until rising_edge(clock); + -- end loop; + -- newPolynom <= '1'; + -- wait until rising_edge(clock); + --end process; + + -- HDL Embedded Text Block 3 eb3 + reset <= not hReset_n; + + + -- Instance port mappings. + I_op : ahbBeamerOperator + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb, + signalBitNb => signalBitNb + ) + PORT MAP ( + outX => outX, + run => run, + clock => hClk, + reset => reset, + outY => outY, + selSinCos => selSinCos, + interpolateLin => interpolateLin, + updatePeriod => updatePeriod, + memX => memX, + memY => memY, + newPolynom => newPolynom + ); + I_regs : ahbBeamerRegisters + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb, + signalBitNb => signalBitNb, + patternAddressBitNb => patternAddressBitNb, + testOutBitNb => testOutBitNb + ) + PORT MAP ( + testOut => testOut, + memX => memX, + memY => memY, + run => run, + updatePeriod => updatePeriod, + interpolateLin => interpolateLin, + newPolynom => newPolynom, + hClk => hClk, + hRData => hRData, + hAddr => hAddr, + hReset_n => hReset_n, + hWData => hWData, + hTrans => hTrans, + hWrite => hWrite, + hSel => hSel, + hReady => hReady, + hResp => hResp + ); + +END struct; + + + + +-- VHDL Entity AhbLite.ahbMuxConnector.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbMuxConnector IS + GENERIC( + index : positive := 1 + ); + PORT( + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic; + hResp : IN std_uLogic; + hSelV : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRDataV : OUT ahbDataVector; + hReadyV : OUT std_logic_vector (1 TO ahbSlaveNb); + hRespV : OUT std_logic_vector (1 TO ahbSlaveNb); + hSel : OUT std_uLogic + ); + +-- Declarations + +END ahbMuxConnector ; + + + + + +ARCHITECTURE RTL OF ahbMuxConnector IS +BEGIN + + hSel <= hSelV(index); + + hRDataV(index) <= std_logic_vector(hRData); + hReadyV(index) <= hReady; + hRespV(index) <= hResp; + + hRDataV <= (others => (others => 'Z')); + hReadyV <= (others => 'Z'); + hRespV <= (others => 'Z'); + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLite.ahbDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbDecoder IS + GENERIC( + ahbMemoryLocation : ahbMemoryLocationVector + ); + PORT( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hSel : OUT std_ulogic_vector (1 TO ahbSlaveNb) + ); + +-- Declarations + +END ahbDecoder ; + + + + + +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ARCHITECTURE RTL OF ahbDecoder IS +BEGIN + + decodeAddress: process(hAddr) + variable mask: unsigned(hAddr'range); + begin + hSel <= (others => '0'); + for index in hSel'range loop + mask := to_unsigned(ahbMemoryLocation(index).addressMask, mask'length); + if (hAddr and mask) = ahbMemoryLocation(index).baseAddress then + hSel(index) <= '1'; + end if; + end loop; + end process decodeAddress; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLiteComponents.ahbGpio.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:43:49 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbGpio IS + GENERIC( + ioNb : positive := 8 + ); + PORT( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic; + hReset_n : IN std_uLogic; + hSel : IN std_uLogic; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic; + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) + ); + +-- Declarations + +END ahbGpio ; + + + + + +--============================================================================== +-- +-- AHB general purpose input/outputs +-- +-- Provides "ioNb" input/output signals . +-- +-------------------------------------------------------------------------------- +-- +-- Write registers +-- +-- 00, data register receives the values to drive the output lines. +-- 01, output enable register defines the signal direction: +-- when '1', the direction is "out". +-- +-------------------------------------------------------------------------------- +-- +-- Read registers +-- 00, data register provides the values detected on the lines. +-- + +ARCHITECTURE masterVersion OF ahbGpio IS + + signal reset, clock: std_ulogic; + -- register definitions + constant dataRegisterId: natural := 0; + constant outputEnableRegisterId: natural := 1; + + signal addressReg: unsigned(addressBitNb(outputEnableRegisterId)-1 downto 0); + signal writeReg: std_ulogic; + -- written registers + subtype registerType is unsigned(ioNb-1 downto 0); + signal dataOutRegister, outputEnableRegister: registerType; + -- read registers + signal dataInRegister : registerType; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= not hReset_n; + clock <= hClk; + + --============================================================================ + -- address and controls + storeControls: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + elsif rising_edge(clock) then + writeReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr(addressReg'range); + writeReg <= hWrite; + end if; + end if; + end process storeControls; + + --============================================================================ + -- registers + storeWriteRegisters: process(reset, clock) + begin + if reset = '1' then + dataOutRegister <= (others => '0'); + outputEnableRegister <= (others => '0'); + elsif rising_edge(clock) then + if writeReg = '1' then + case to_integer(addressReg) is + when dataRegisterId => dataOutRegister <= unsigned(hWData(dataOutRegister'range)); + when outputEnableRegisterId => outputEnableRegister <= unsigned(hWData(outputEnableRegister'range)); + when others => null; + end case; + end if; + end if; + end process storeWriteRegisters; + + ioOut <= std_ulogic_vector(dataOutRegister); + ioEn <= std_ulogic_vector(outputEnableRegister); + + --============================================================================ + -- data readback + dataInRegister <= unsigned(ioIn); + + hRData <= std_ulogic_vector(resize(dataInRegister, hRData'length)); + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity AhbLite.ahbMasterInterface.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbMasterInterface IS + PORT( + clock : IN std_ulogic; + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic; + hResp : IN std_uLogic; + pAddress : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + pDataOut : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + pReadStrobe : IN std_uLogic; + pWriteStrobe : IN std_uLogic; + reset : IN std_ulogic; + hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hBurst : OUT std_ulogic_vector (ahbBurstBitNb-1 DOWNTO 0); + hClk : OUT std_uLogic; + hMastLock : OUT std_uLogic; + hProt : OUT std_ulogic_vector (ahbProtBitNb-1 DOWNTO 0); + hReset_n : OUT std_uLogic; + hSize : OUT std_ulogic_vector (ahbSizeBitNb-1 DOWNTO 0); + hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : OUT std_uLogic; + pDataIn : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END ahbMasterInterface ; + + + + + +ARCHITECTURE RTL OF ahbMasterInterface IS + + signal addressReg: unsigned(pAddress'range); + signal newAddress: std_ulogic; + signal writeReg: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + hReset_n <= not reset; + hClk <= clock; + + ------------------------------------------------------------------------------ + -- address and controls + newAddress <= pReadStrobe or pWriteStrobe; + + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if newAddress = '1' then + addressReg <= pAddress; + end if; + end if; + end process storeAddress; + + hAddr <= pAddress when newAddress = '1' + else addressReg; + + storeWrite: process(reset, clock) + begin + if reset = '1' then + writeReg <= '0'; + elsif rising_edge(clock) then + if newAddress = '1' then + writeReg <= pWriteStrobe; + end if; + end if; + end process storeWrite; + + hWrite <= pWriteStrobe when newAddress = '1' + else writeReg; + + hTrans <= transNonSeq when newAddress = '1' + else transIdle; + + hSize <= size16; + hBurst <= burstSingle; + hProt <= protDefault; + hMastLock <= '0'; + + ------------------------------------------------------------------------------ + -- data out + delayData: process(reset, clock) + begin + if reset = '1' then + hWData <= (others => '0'); + elsif rising_edge(clock) then + if pWriteStrobe = '1' then + hWData <= pDataOut; + end if; + end if; + end process delayData; + + ------------------------------------------------------------------------------ + -- data in + pDataIn <= hRData; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLite.ahbMultiplexor.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbMultiplexor IS + PORT( + hRDataV : IN ahbDataVector; + hReadyV : IN std_logic_vector (1 TO ahbSlaveNb); + hRespV : IN std_logic_vector (1 TO ahbSlaveNb); + hSel : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic + ); + +-- Declarations + +END ahbMultiplexor ; + + + + + +ARCHITECTURE RTL OF ahbMultiplexor IS +BEGIN + + multiplexData: process(hSel, hRDataV, hReadyV, hRespV) + begin + hRData <= (others => '0'); + hReady <= '1'; + hResp <= '0'; + for index in hSel'range loop + if hSel(index) = '1' then + hRData <= std_ulogic_vector(hRDataV(index)); + hReady <= hReadyV(index); + hResp <= hRespV(index); + end if; + end loop; + end process multiplexData; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity SystemOnChip.programRom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY programRom IS + GENERIC( + addressBitNb : positive := 8; + dataBitNb : positive := 8 + ); + PORT( + address : IN unsigned (addressBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + en : IN std_ulogic; + reset : IN std_ulogic; + dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END programRom ; + + + + + +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- Beamer control + --=============================================================== + -- + ----------------------------------------------------------------- + -- register definitions + -- s0, s1: used for INPUT and OUTPUT operations + -- S2: returns UART data byte + -- S3: uart protocol checksum + -- S4: uart protocol packet id + -- S5: uart protocol command id + -- S6: uart protocol address + -- S7: uart protocol data + -- S8: copy of UART data byte for debug + ----------------------------------------------------------------- + -- + ----------------------------------------------------------------- + -- GPIO definitions + ----------------------------------------------------------------- + ----------------------------------------------------------------- + -- UART definitions + ----------------------------------------------------------------- + -- CONSTANT uartBaudCount, 023D ; 66E6 / 115 200 = 573 + -- CONSTANT uartpollDelay, 0100 + ----------------------------------------------------------------- + -- beamer peripheral definitions + ----------------------------------------------------------------- + -- CONSTANT beamerCtlInit, 1001 + -- + --=============================================================== + -- initializations + --=============================================================== + -- + ----------------------------------------------------------------- + -- initialize GPIO + ----------------------------------------------------------------- + 16#000# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#001# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#002# => opLoadC & "0001" & "0000000010101010", -- LOAD s1, AA + 16#003# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#004# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#005# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#006# => opLoadC & "0001" & "0000000000001111", -- LOAD s1, 0F + 16#007# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize UART + ----------------------------------------------------------------- + 16#008# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 + 16#009# => opAddC & "0000" & "0000000000000010", -- ADD s0, 0002 + 16#00A# => opLoadC & "0001" & "0000000001000010", -- LOAD s1, 0042 + 16#00B# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize beamer peripheral + ----------------------------------------------------------------- + 16#00C# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#00D# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#00E# => opLoadC & "0001" & "0000010000000001", -- LOAD s1, 0401 + 16#00F# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#010# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#011# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#012# => opLoadC & "0001" & "0000000000000100", -- LOAD s1, 0004 + 16#013# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + -- + --=============================================================== + -- Main loop + --=============================================================== + -- + ----------------------------------------------------------------- + -- Process commands from serial port + ----------------------------------------------------------------- + -- _main_: + 16#014# => brCall & brDo & "--------0000100001",-- CALL 021 ; get command from UART + 16#015# => opCompC & "0011" & "0000000000000000", -- COMPARE s3, 0000 ; check function return + 16#016# => brJump & brNZ & "--------0000011111",-- JUMP NZ, 01F + 16#017# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#018# => brJump & brNZ & "--------0000011100",-- JUMP NZ, 01C + 16#019# => opOutputR & "0111" & "0110------------", -- OUTPUT s7, (S6) ; write word to memory location + 16#01A# => brCall & brDo & "--------0001100000",-- CALL 060 ; send write acknowledge + 16#01B# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandRead_: + 16#01C# => opInputR & "0111" & "0110------------", -- INPUT s7, (S6) ; write word in memory location + 16#01D# => brCall & brDo & "--------0001101111",-- CALL 06F ; send back read data + 16#01E# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandAbort_: + 16#01F# => brCall & brDo & "--------0001010001",-- CALL 051 + 16#020# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- + --=============================================================== + -- Subroutines + --=============================================================== + -- + ----------------------------------------------------------------- + -- Get command from serial port + ----------------------------------------------------------------- + -- _uartGetCmd_: + 16#021# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command header + 16#022# => opCompC & "0010" & "0000000010101010", -- COMPARE s2, 00AA + 16#023# => brJump & brNZ & "--------0000100001",-- JUMP NZ, 021 ; loop until byte is AAh + 16#024# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#025# => brCall & brDo & "--------0010000110",-- CALL 086 ; get packet id + 16#026# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#027# => opLoadR & "0100" & "0010------------", -- LOAD s4, s2 ; store id for reply + 16#028# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command + 16#029# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#02A# => opCompC & "0010" & "0000000000000011", -- COMPARE s2, 0003 ; check for WRITE_MEM command + 16#02B# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02C# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; check for READ_MEM command + 16#02D# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02E# => brJump & brDo & "--------0001001111",-- JUMP 04F ; no match + -- _commandOk_: + 16#02F# => opLoadR & "0101" & "0010------------", -- LOAD s5, s2 ; store command for action + 16#030# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data length + 16#031# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#032# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#033# => brJump & brZ & "--------0000110111",-- JUMP Z, 037 ; go to test write command length + 16#034# => opCompC & "0010" & "0000000000000010", -- COMPARE s2, 0002 ; verify READ_MEM length + 16#035# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#036# => brJump & brDo & "--------0000111001",-- JUMP 039 + -- _testWrLength_: + 16#037# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; verify WRITE_MEM length + 16#038# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + -- _getAddress_: + 16#039# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address low + 16#03A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03B# => opLoadR & "0110" & "0010------------", -- LOAD s6, s2 ; store address low + 16#03C# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address high + 16#03D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03E# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#03F# => opAddR & "0110" & "0010------------", -- ADD s6, s2 ; build address from low and high + 16#040# => opCompC & "0101" & "0000000000000100", -- COMPARE s5, 0004 ; check for READ_MEM command + 16#041# => brJump & brZ & "--------0001001001",-- JUMP Z, 049 ; skip reading data word + 16#042# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data low + 16#043# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#044# => opLoadR & "0111" & "0010------------", -- LOAD s7, s2 ; store data low + 16#045# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data high + 16#046# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#047# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#048# => opAddR & "0111" & "0010------------", -- ADD s7, s2 ; build data from low and high + -- _getChecksum_: + 16#049# => brCall & brDo & "--------0010000110",-- CALL 086 ; get checksum + 16#04A# => opAndC & "0011" & "0000000011111111", -- AND s3, 00FF ; limit calculated checksum to 8 bit + 16#04B# => opCompR & "0011" & "0010------------", -- COMPARE s3, s2 ; test checksum + 16#04C# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#04D# => opLoadC & "0011" & "0000000000000000", -- LOAD s3, 0000 ; return OK + 16#04E# => brRet & brDo & "------------------",-- RETURN + -- _commandKo_: + 16#04F# => opLoadC & "0011" & "0000000000000001", -- LOAD s3, 0001 ; return KO + 16#050# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send NACK reply + ----------------------------------------------------------------- + -- _sendNAck_: + 16#051# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#052# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#053# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#054# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#055# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#056# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#057# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; negative Acknowledge + 16#058# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#059# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05A# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#05B# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#05C# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05D# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#05E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send WRITE_MEM reply + ----------------------------------------------------------------- + -- _sendWriteOk_: + 16#060# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#061# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#062# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#063# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#064# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#065# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#066# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#067# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#068# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#069# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#06A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#06B# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06C# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#06D# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06E# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send READ_MEM reply + ----------------------------------------------------------------- + -- _sendReadData_: + 16#06F# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#070# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#071# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#072# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#073# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#074# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#075# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#076# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#077# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#078# => opLoadC & "0010" & "0000000000000010", -- LOAD s2, 0002 ; packet length: 2 bytes + 16#079# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07A# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07B# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data low + 16#07C# => opAndC & "0010" & "0000000011111111", -- AND s2, 00FF ; keep low byte only + 16#07D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07F# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data high + 16#080# => brCall & brDo & "--------0010100101",-- CALL 0A5 ; shift MSBs down to LSBs + 16#081# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#082# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#083# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#084# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#085# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Get byte from serial port + ----------------------------------------------------------------- + -- _uartGetByte_: + 16#086# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#087# => opAddC & "0000" & "0000000000000001", -- ADD s0, 01 + --load s8, 0100 + -- _checkStat_: + 16#088# => opLoadC & "0010" & "0000000001000000", -- LOAD s2, 0040 ; add delay between bus reads + -- _delay0_: + 16#089# => opSubC & "0010" & "0000000000000001", -- SUB s2, 0001 + 16#08A# => brJump & brNZ & "--------0010001001",-- JUMP NZ, 089 + --sub s8, 0001 + --jump nz, continue + --load s2, 0035 + --call uartSendByte + --load s8, 0100 + -- _continue_: + 16#08B# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08C# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08D# => opTestC & "0001" & "0000000000000001", -- TEST s1, 0001 ; check "data ready" bit + 16#08E# => brJump & brZ & "--------0010001000",-- JUMP Z, 088 ; loop until bit is '1' + 16#08F# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART data register + 16#090# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + 16#091# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + --LOAD s8, s2 + 16#092# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Send byte to serial port + ----------------------------------------------------------------- + -- _uartSendByte_: + 16#093# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#094# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + -- _readStatus_: + 16#095# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#096# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#097# => opTestC & "0001" & "0000000000000010", -- TEST s1, 0002 ; check "sending data" bit + 16#098# => brJump & brZ & "--------0010011101",-- JUMP Z, 09D ; loop until bit is '1' + 16#099# => opLoadC & "0001" & "0000000001000000", -- LOAD s1, 0040 ; add delay between bus reads + -- _delay1_: + 16#09A# => opSubC & "0001" & "0000000000000001", -- SUB s1, 0001 + 16#09B# => brJump & brNZ & "--------0010011010",-- JUMP NZ, 09A + 16#09C# => brJump & brDo & "--------0010010101",-- JUMP 095 + -- _sendByte_: + 16#09D# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; write UART data register + 16#09E# => opOutputR & "0010" & "0000------------", -- OUTPUT s2, (S0) + 16#09F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the left + ----------------------------------------------------------------- + -- _shiftS2L8_: + 16#0A0# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftLeftLoop_: + 16#0A1# => opShRot & "0010" & shRotL & shRotLd0, -- SL0 s2 + 16#0A2# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A3# => brJump & brNZ & "--------0010100001",-- JUMP NZ, 0A1 + 16#0A4# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the right + ----------------------------------------------------------------- + -- _shiftS2R8_: + 16#0A5# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftRightLoop_: + 16#0A6# => opShRot & "0010" & shRotR & shRotLd0, -- SR0 s2 + 16#0A7# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A8# => brJump & brNZ & "--------0010100110",-- JUMP NZ, 0A6 + 16#0A9# => brRet & brDo & "------------------",-- RETURN + -- + --=============================================================== + -- End of instruction memory + --=============================================================== + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "--------1111111111",-- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; + + + + +-- VHDL Entity AhbLiteComponents.ahbUart.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:43:49 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +ENTITY ahbUart IS + GENERIC( + txFifoDepth : positive := 8; + rxFifoDepth : positive := 1 + ); + PORT( + RxD : IN std_ulogic; + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic; + hReset_n : IN std_uLogic; + hSel : IN std_uLogic; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic; + TxD : OUT std_ulogic; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic + ); + +-- Declarations + +END ahbUart ; + + + + + +--============================================================================== +-- +-- AHB UART +-- +-- Implements a serial port. +-- +-------------------------------------------------------------------------------- +-- +-- Write registers +-- +-- 00, data register receives the word to be sent to the serial port. +-- 01, control register is used to control the peripheral. +-- 02, scaler register is used to set the baud rate. +-- +-------------------------------------------------------------------------------- +-- +-- Read registers +-- 00, data register provides the last word received by the serial port. +-- 01, status register is used to get the peripheral's state. +-- bit 0: data ready for read +-- bit 1: sending in progress +-- bit 2: receiving in progress +-- + +ARCHITECTURE masterVersion OF ahbUart IS + + signal reset, clock: std_ulogic; + -- register definitions + constant dataOutRegisterId: natural := 0; + constant dataBitNb: positive := 8; + constant controlRegisterId: natural := 1; + constant controlBpoId: natural := 0; + constant controlFormatId: natural := 0; + constant scalerRegisterId: natural := 2; + + constant statusRegisterId: natural := 1; + constant statusReadyId: natural := 0; + constant statusSendingId: natural := 1; + constant statusReceivingId: natural := 2; + -- written registers + signal addressReg: unsigned(addressBitNb(scalerRegisterId)+1-1 downto 0); + signal writeReg: std_ulogic; + signal readReg: std_ulogic; + subtype registerType is unsigned(hWdata'length-1 downto 0); + signal dataOutRegister : unsigned(dataBitNb-1 downto 0); + signal controlRegister, scalerRegister: registerType; + -- serializer + signal txPeriodCounter: unsigned(registerType'range); + signal txEn: std_uLogic; + signal txStart: std_uLogic; + signal txSending: std_uLogic; + signal txShiftCounter : unsigned(addressBitNb(dataOutRegister'length+2)-1 downto 0); + signal txShiftRegister : unsigned(dataOutRegister'high+1 downto 0); + -- read registers + signal dataInRegister : unsigned(dataOutRegister'range); + signal statusRegister: registerType; + -- deserializer + signal rxPeriodCounter: unsigned(registerType'range); + signal rxEn: std_uLogic; + signal rxDelayed, rxChanged: std_uLogic; + signal rxShiftCounter : unsigned(txShiftCounter'range); + signal rxReceiving: std_uLogic; + signal rxShiftRegister : unsigned(dataInRegister'range); + signal rxDataReady: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= not hReset_n; + clock <= hClk; + + --============================================================================ + -- address and controls + storeControls: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + readReg <= '0'; + elsif rising_edge(clock) then + writeReg <= '0'; + readReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr(addressReg'range); + writeReg <= hWrite; + readReg <= not hWrite; + end if; + end if; + end process storeControls; + + --============================================================================ + -- registers + storeWriteRegisters: process(reset, clock) + begin + if reset = '1' then + dataOutRegister <= (others => '0'); + controlRegister <= (others => '0'); + scalerRegister <= (others => '0'); + elsif rising_edge(clock) then + if writeReg = '1' then + case to_integer(addressReg) is + when dataOutRegisterId => dataOutRegister <= unsigned(hWData(dataOutRegister'range)); + when controlRegisterId => controlRegister <= unsigned(hWData); + when scalerRegisterId => scalerRegister <= unsigned(hWData); + when others => null; + end case; + end if; + end if; + end process storeWriteRegisters; + + txStart <= '1' when (writeReg = '1') and (addressReg = dataOutRegisterId) + else '0'; + + --============================================================================ + -- serializer + -- tx baud rate + countTxBaudRate: process(reset, clock) + begin + if reset = '1' then + txPeriodCounter <= (others => '1'); + elsif rising_edge(clock) then + if txPeriodCounter + 1 < scalerRegister then + txPeriodCounter <= txPeriodCounter + 1; + else + txPeriodCounter <= (others => '0'); + end if; + end if; + end process countTxBaudRate; + + txEn <= '1' when txPeriodCounter = 1 + else '0'; + -- count tx shift + countTxShift: process(reset, clock) + begin + if reset = '1' then + txShiftCounter <= (others => '0'); + elsif rising_edge(clock) then + if txShiftCounter = 0 then + if txStart = '1' then + txShiftCounter <= txShiftCounter + 1; + end if; + elsif txEn = '1' then + if txShiftCounter < dataOutRegister'length + 3 then + txShiftCounter <= txShiftCounter + 1; + else + txShiftCounter <= (others => '0'); + end if; + end if; + end if; + end process countTxShift; + + txSending <= '1' when txShiftCounter /= 0 + else '0'; + -- tx serializer + shiftTxData: process(reset, clock) + begin + if reset = '1' then + txShiftRegister <= (others => '1'); + elsif rising_edge(clock) then + if txEn = '1' then + if txShiftCounter = 1 then + txShiftRegister <= dataOutRegister & '0'; + else + txShiftRegister <= shift_right(txShiftRegister, 1); + txShiftRegister(txShiftRegister'high) <= '1'; + end if; + end if; + end if; + end process shiftTxData; + + TxD <= txShiftRegister(0); + + --============================================================================ + -- deserializer + delayRxd: process(reset, clock) + begin + if reset = '1' then + rxDelayed <= '0'; + elsif rising_edge(clock) then + rxDelayed <= RxD; + end if; + end process delayRxd; + + rxChanged <= '1' when rxDelayed /= RxD + else '0'; + -- rx baud rate + countRxBaudRate: process(reset, clock) + begin + if reset = '1' then + rxPeriodCounter <= (others => '1'); + elsif rising_edge(clock) then + if rxChanged = '1' then + rxPeriodCounter <= (others => '0'); + elsif rxPeriodCounter + 1 < scalerRegister then + rxPeriodCounter <= rxPeriodCounter + 1; + else + rxPeriodCounter <= (others => '0'); + end if; + end if; + end process countRxBaudRate; + + rxEn <= '1' when rxPeriodCounter = shift_right(scalerRegister-2, 1) + else '0'; + -- count rx shift + countRxShift: process(reset, clock) + begin + if reset = '1' then + rxShiftCounter <= (others => '0'); + elsif rising_edge(clock) then + if rxShiftCounter = 0 then + if (RxD = '0') and (rxDelayed = '1') then + rxShiftCounter <= rxShiftCounter + 1; + end if; + elsif rxEn = '1' then + if rxShiftCounter < dataInRegister'length + 2 then + rxShiftCounter <= rxShiftCounter + 1; + else + rxShiftCounter <= (others => '0'); + end if; + end if; + end if; + end process countRxShift; + + rxReceiving <= '1' when rxShiftCounter /= 0 + else '0'; + -- rx deserializer + shiftRxData: process(reset, clock) + begin + if reset = '1' then + rxShiftRegister <= (others => '1'); + dataInRegister <= (others => '0'); + elsif rising_edge(clock) then + if rxEn = '1' then + if rxShiftCounter <= dataInRegister'length+1 then + rxShiftRegister <= shift_right(rxShiftRegister, 1); + rxShiftRegister(rxShiftRegister'high) <= RxD; + end if; + if rxShiftCounter = dataInRegister'length+2 then + dataInRegister <= rxShiftRegister; + end if; + end if; + end if; + end process shiftRxData; + -- monitor data ready + checkDataReady: process(reset, clock) + begin + if reset = '1' then + rxDataReady <= '0'; + elsif rising_edge(clock) then + if (rxEn = '1') and (rxShiftCounter = dataInRegister'length+2) then + rxDataReady <= '1'; + elsif (readReg = '1') and (addressReg = dataOutRegisterId) then + rxDataReady <= '0'; + end if; + end if; + end process checkDataReady; + + --============================================================================ + -- data readback + statusRegister <= ( + statusReadyId => rxDataReady, + statusSendingId => txSending, + statusReceivingId => rxReceiving, + others => '0' + ); + + selectData: process(addressReg, dataInRegister, statusRegister) + begin + hRData <= (others => '-'); + case to_integer(addressReg) is + when dataOutRegisterId => hRData <= std_ulogic_vector(resize(dataInRegister, hRData'length)); + when statusRegisterId => hRData <= std_ulogic_vector(statusRegister); + when others => null; + end case; + end process selectData; + + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity NanoBlaze.nanoProcessor.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY nanoProcessor IS + GENERIC( + addressBitNb : positive := 8; + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5; + instructionBitNb : positive := 18; + scratchpadAddressBitNb : natural := 4 + ); + PORT( + clock : IN std_ulogic; + dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0); + en : IN std_ulogic; + instruction : IN std_ulogic_vector (instructionBitNb-1 DOWNTO 0); + int : IN std_uLogic; + reset : IN std_ulogic; + dataAddress : OUT unsigned (addressBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0); + intAck : OUT std_ulogic; + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + readStrobe : OUT std_uLogic; + writeStrobe : OUT std_uLogic + ); + +-- Declarations + +END nanoProcessor ; + + + + + +-- VHDL Entity NanoBlaze.aluAndRegs.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY aluAndRegs IS + GENERIC( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + portAddressBitNb : positive := 8; + scratchpadAddressBitNb : natural := 4 + ); + PORT( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic; + clock : IN std_ulogic; + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic; + regWrite : IN std_ulogic; + registerFileSel : IN std_ulogic; + reset : IN std_ulogic; + scratchpadSel : IN std_ulogic; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic; + portAddr : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + scratchpadAddr : OUT unsigned (scratchpadAddressBitNb-1 DOWNTO 0); + spadOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + zero : OUT std_ulogic + ); + +-- Declarations + +END aluAndRegs ; + + + + + +-- VHDL Entity NanoBlaze.alu.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY alu IS + GENERIC( + aluCodeBitNb : positive := 5; + dataBitNb : positive := 8 + ); + PORT( + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic; + opA : IN signed ( dataBitNb-1 DOWNTO 0 ); + opB : IN signed ( dataBitNb-1 DOWNTO 0 ); + aluOut : OUT signed ( dataBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic; + zero : OUT std_ulogic + ); + +-- Declarations + +END alu ; + + + + + +ARCHITECTURE RTL OF alu IS + + signal aluCodeInt: unsigned(aluCode'range); + signal aArith: signed(opA'high+1 downto 0); + signal bArith: signed(opA'high+1 downto 0); + signal cInArith: signed(1 downto 0); + signal cInShift: std_ulogic; + signal yArith: signed(aluOut'high+1 downto 0); + signal aluOutInt: signed(aluOut'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clear aluCode don't care LSB for shifts + aluCodeInt(aluCode'high downto 1) <= unsigned(aluCode(aluCode'high downto 1)); + + cleanupLsb: process(aluCode) + begin + if aluCode(aluCode'high) = '1' then + aluCodeInt(0) <= '0'; + else + aluCodeInt(0) <= aluCode(0); + end if; + end process cleanupLsb; + + ------------------------------------------------------------------------------ + -- values for arithmetic operations + aArith <= signed(resize(unsigned(opA), aArith'length)); + bArith <= signed(resize(unsigned(opB), bArith'length)); + cInArith <= (0 => cIn, others => '0'); + + process(aluCode, cIn, opA) + begin + case aluCode(2 downto 1) is + when "00" => cInShift <= cIn; + when "01" => cInShift <= opA(opA'high); + when "10" => cInShift <= opA(opA'low); + when "11" => cInShift <= aluCode(0); + when others => cInShift <= '-'; + end case; + end process; + + ------------------------------------------------------------------------------ + -- alu operations + aluOperation: process( + aluCodeInt, + opA, opB, + aArith, bArith, cInArith, + cInShift, + yArith, aluOutInt + ) + variable xorAcc: std_ulogic; + begin + yArith <= (others => '-'); + cOut <= '-'; + aluOutInt <= (others => '-'); + case to_integer(aluCodeInt) is + when 0 => -- LOAD sX, kk + aluOutInt <= opB; + when 2 => -- INPUT sX, pp + aluOutInt <= opB; + when 3 => -- FETCH sX, ss + aluOutInt <= opB; + when 5 => -- AND sX, kk + aluOutInt <= opA and opB; + cOut <= '0'; + when 6 => -- OR sX, kk + aluOutInt <= opA or opB; + cOut <= '0'; + when 7 => -- XOR sX, kk + aluOutInt <= opA xor opB; + cOut <= '0'; + when 9 => -- TEST sX, kk + aluOutInt <= opA and opB; + xorAcc := '0'; + for index in aluOutInt'range loop + xorAcc := xorAcc xor aluOutInt(index); + end loop; + cOut <= xorAcc; + when 10 => -- COMPARE sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 12 => -- ADD sX, kk + yArith <= aArith + bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 13 => -- ADDCY sX, kk + yArith <= (aArith + bArith) + cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 14 => -- SUB sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 15 => -- SUBCY sX, kk + yArith <= (aArith - bArith) - cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 16 to 23 => -- SL sX + aluOutInt <= opA(opA'high-1 downto 0) & cInShift; + cOut <= opA(opA'high); + when 24 to 31 => -- SR sX + aluOutInt <= cInShift & opA(opA'high downto 1); + cOut <= opA(0); + when others => + aluOutInt <= (others => '-'); + end case; + end process aluOperation; + + aluOut <= aluOutInt; + zero <= '1' when aluOutInt = 0 else '0'; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.aluBOpSelector.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY aluBOpSelector IS + GENERIC( + registerBitNb : positive := 8 + ); + PORT( + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic; + registerFileIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + registerFileSel : IN std_ulogic; + scratchpadSel : IN std_ulogic; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + opB : OUT signed (registerBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END aluBOpSelector ; + + + + + +ARCHITECTURE RTL OF aluBOpSelector IS +BEGIN + + selectDataSource: process( + registerFileSel, registerFileIn, + scratchpadSel, spadIn, + portInSel, portIn, + instrDataSel, instrData + ) + begin + if registerFileSel = '1' then + opB <= registerFileIn; + elsif scratchpadSel = '1' then + opB <= spadIn; + elsif portInSel = '1' then + opB <= portIn; + elsif instrDataSel = '1' then + opB <= instrData; + else + opB <= (others => '-'); + end if; + end process selectDataSource; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.registerFile.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY registerFile IS + GENERIC( + registerAddressBitNb : positive := 4; + dataBitNb : positive := 8 + ); + PORT( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic; + regWrite : IN std_ulogic; + registersIn : IN signed ( dataBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + opA : OUT signed ( dataBitNb-1 DOWNTO 0 ); + opB : OUT signed ( dataBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END registerFile ; + + + + + +ARCHITECTURE RTL OF registerFile IS + + subtype registerType is signed(registersIn'range); + type registerArrayType is array (0 to 2**registerAddressBitNb-1) of registerType; + signal registerArray : registerArrayType; + +BEGIN + ------------------------------------------------------------------------------ + -- write to registers + updateRegister: process(reset, clock) + begin + if reset = '1' then + registerArray <= (others => (others => '0')); + elsif rising_edge(clock) then + if regWrite = '1' then + registerArray(to_integer(addrA)) <= registersIn; + end if; + end if; + end process updateRegister; + + ------------------------------------------------------------------------------ + -- read from registers + opA <= registerArray(to_integer(addrA)); + opB <= registerArray(to_integer(addrB)); + +END ARCHITECTURE RTL; + + + + +-- +-- VHDL Architecture NanoBlaze.aluAndRegs.struct +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:44 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY NanoBlaze; + +ARCHITECTURE struct OF aluAndRegs IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL aluOut : signed(registerBitNb-1 DOWNTO 0); + SIGNAL opA : signed(registerBitNb-1 DOWNTO 0); + SIGNAL opB : signed(registerBitNb-1 DOWNTO 0); + SIGNAL registerFileIn : signed(registerBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT alu + GENERIC ( + aluCodeBitNb : positive := 5; + dataBitNb : positive := 8 + ); + PORT ( + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic ; + opA : IN signed ( dataBitNb-1 DOWNTO 0 ); + opB : IN signed ( dataBitNb-1 DOWNTO 0 ); + aluOut : OUT signed ( dataBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic ; + zero : OUT std_ulogic + ); + END COMPONENT; + COMPONENT aluBOpSelector + GENERIC ( + registerBitNb : positive := 8 + ); + PORT ( + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic ; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic ; + registerFileIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + registerFileSel : IN std_ulogic ; + scratchpadSel : IN std_ulogic ; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + opB : OUT signed (registerBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT registerFile + GENERIC ( + registerAddressBitNb : positive := 4; + dataBitNb : positive := 8 + ); + PORT ( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic ; + regWrite : IN std_ulogic ; + registersIn : IN signed ( dataBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + opA : OUT signed ( dataBitNb-1 DOWNTO 0 ); + opB : OUT signed ( dataBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : alu USE ENTITY NanoBlaze.alu; + FOR ALL : aluBOpSelector USE ENTITY NanoBlaze.aluBOpSelector; + FOR ALL : registerFile USE ENTITY NanoBlaze.registerFile; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + portOut <= opA; + spadOut <= opA; + + -- HDL Embedded Text Block 2 eb2 + portAddr <= resize(unsigned(registerFileIn), portAddressBitNb); + scratchpadAddr <= resize(unsigned(registerFileIn), scratchpadAddressBitNb); + + + -- Instance port mappings. + I_ALU : alu + GENERIC MAP ( + aluCodeBitNb => aluCodeBitNb, + dataBitNb => registerBitNb + ) + PORT MAP ( + aluCode => aluCode, + cIn => cIn, + opA => opA, + opB => opB, + aluOut => aluOut, + cOut => cOut, + zero => zero + ); + I_bSel : aluBOpSelector + GENERIC MAP ( + registerBitNb => registerBitNb + ) + PORT MAP ( + instrData => instrData, + instrDataSel => instrDataSel, + portIn => portIn, + portInSel => portInSel, + registerFileIn => registerFileIn, + registerFileSel => registerFileSel, + scratchpadSel => scratchpadSel, + spadIn => spadIn, + opB => opB + ); + I_regs : registerFile + GENERIC MAP ( + registerAddressBitNb => registerAddressBitNb, + dataBitNb => registerBitNb + ) + PORT MAP ( + addrA => addrA, + addrB => addrB, + clock => clock, + regWrite => regWrite, + registersIn => aluOut, + reset => reset, + opA => opA, + opB => registerFileIn + ); + +END struct; + + + + +-- VHDL Entity NanoBlaze.branchStack.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY branchStack IS + GENERIC( + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5 + ); + PORT( + clock : IN std_ulogic; + prevPC : IN std_ulogic; + progCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + storePC : IN std_ulogic; + storedProgCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END branchStack ; + + + + + +ARCHITECTURE RTL OF branchStack IS + + subtype progCounterType is unsigned(progCounter'range); + type progCounterArrayType is array (0 to 2**stackPointerBitNb) of progCounterType; + signal progCounterArray : progCounterArrayType; + + signal writePointer : unsigned(stackPointerBitNb-1 downto 0); + signal readPointer : unsigned(stackPointerBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- stack pointers + updateStackPointer: process(reset, clock) + begin + if reset = '1' then + writePointer <= (others => '0'); + elsif rising_edge(clock) then + if storePC = '1' then + writePointer <= writePointer + 1; + elsif prevPC = '1' then + writePointer <= writePointer - 1; + end if; + end if; + end process updateStackPointer; + + readPointer <= writePointer - 1; + + ------------------------------------------------------------------------------ + -- program counters stack + updateStack: process(reset, clock) + begin + if rising_edge(clock) then + if storePc = '1' then + progCounterArray(to_integer(writePointer)) <= progCounter; + end if; + storedProgCounter <= progCounterArray(to_integer(readPointer)); + end if; + end process updateStack; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.controller.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY controller IS + GENERIC( + intCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + opCodeBitNb : positive := 5 + ); + PORT( + branchCond : IN std_ulogic_vector ( branchCondBitNb-1 DOWNTO 0 ); + cOut : IN std_ulogic; + clock : IN std_ulogic; + en : IN std_ulogic; + int : IN std_uLogic; + intCode : IN std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : IN std_ulogic_vector (opCodeBitNb-1 DOWNTO 0); + reset : IN std_ulogic; + twoRegInstr : IN std_ulogic; + zero : IN std_ulogic; + cIn : OUT std_ulogic; + incPC : OUT std_ulogic; + instrDataSel : OUT std_ulogic; + intAck : OUT std_ulogic; + loadInstrAddress : OUT std_ulogic; + loadStoredPC : OUT std_ulogic; + portInSel : OUT std_ulogic; + prevPC : OUT std_ulogic; + readStrobe : OUT std_uLogic; + regWrite : OUT std_ulogic; + registerFileSel : OUT std_ulogic; + scratchpadSel : OUT std_ulogic; + scratchpadWrite : OUT std_ulogic; + storePC : OUT std_ulogic; + writeStrobe : OUT std_uLogic + ); + +-- Declarations + +END controller ; + + + + + +ARCHITECTURE RTL OF controller IS + + signal en1, enInt: std_ulogic; + + constant opCodeLength : integer := 5; + subtype opCodeType is std_ulogic_vector(opCodeLength-1 downto 0); + constant opLoad : opCodeType := "00000"; + constant opInput : opCodeType := "00010"; + constant opFetch : opCodeType := "00011"; + constant opAnd : opCodeType := "00101"; + constant opOr : opCodeType := "00110"; + constant opXor : opCodeType := "00111"; + constant opTest : opCodeType := "01001"; + constant opComp : opCodeType := "01010"; + constant opAdd : opCodeType := "01100"; + constant opAddCy : opCodeType := "01101"; + constant opSub : opCodeType := "01110"; + constant opSubCy : opCodeType := "01111"; + constant opShRot : opCodeType := "10000"; + constant opRet : opCodeType := "10101"; + constant opOutput: opCodeType := "10110"; + constant opStore : opCodeType := "10111"; + constant opCall : opCodeType := "11000"; + constant opJump : opCodeType := "11010"; + constant opIntF : opCodeType := "11110"; + + constant branchConditionLength : integer := 3; + subtype branchConditionType is std_ulogic_vector(branchConditionLength-1 downto 0); + constant brAw : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + signal aluOpSel: std_ulogic; + signal regWriteEn: std_ulogic; + + signal flagsEn, flagsEnable: std_ulogic; + signal carrySaved: std_ulogic; + signal zeroSaved: std_ulogic; + + signal branchEnable1, branchEnable: std_ulogic; + signal discardOpCode: std_ulogic; + + signal updateIntFlag: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Enable signal + buildEnable: process(reset, clock) + begin + if reset = '1' then + en1 <= '0'; + elsif rising_edge(clock) then + en1 <= '1'; + end if; + end process buildEnable; + + enInt <= en1 and en; -- don't enable very first instruction twice + + ------------------------------------------------------------------------------ + -- ALU controls + selectdataSource: process(opCode) + begin + aluOpSel <= '0'; + portInSel <= '0'; + scratchpadSel <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opLoad => aluOpSel <= '1'; + when opInput => portInSel <= '1'; + when opFetch => scratchpadSel <= '1'; + when opAnd => aluOpSel <= '1'; + when opOr => aluOpSel <= '1'; + when opXor => aluOpSel <= '1'; + when opTest => aluOpSel <= '1'; + when opComp => aluOpSel <= '1'; + when opAdd => aluOpSel <= '1'; + when opAddCy => aluOpSel <= '1'; + when opSub => aluOpSel <= '1'; + when opSubCy => aluOpSel <= '1'; + when opShRot => aluOpSel <= '1'; + when others => aluOpSel <= '-'; + portInSel <= '-'; + scratchpadSel <= '-'; + end case; + end process selectdataSource; + + registerFileSel <= aluOpSel and twoRegInstr; + instrDataSel <= aluOpSel and (not twoRegInstr); + + regWriteEn <= enInt and (not discardOpCode); + + regWriteTable: process(opCode, regWriteEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opLoad => regWrite <= regWriteEn; + when opInput => regWrite <= regWriteEn; + when opFetch => regWrite <= regWriteEn; + when opAnd => regWrite <= regWriteEn; + when opOr => regWrite <= regWriteEn; + when opXor => regWrite <= regWriteEn; + when opAdd => regWrite <= regWriteEn; + when opAddCy => regWrite <= regWriteEn; + when opSub => regWrite <= regWriteEn; + when opSubCy => regWrite <= regWriteEn; + when opShRot => regWrite <= regWriteEn; + when others => regWrite <= '0'; + end case; + end process regWriteTable; + + ------------------------------------------------------------------------------ + -- I/O controls + readStrobe <= enInt when (opCode = opInput) and (discardOpCode = '0') + else '0'; + writeStrobe <= enInt when (opCode = opOutput) and (discardOpCode = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- scratchpad controls + scratchpadWrite <= '1' when opCode = opStore else '0'; + + ------------------------------------------------------------------------------ + -- Carry logic + flagsEn <= enInt and (not branchEnable); + + flagsEnableTable: process(opCode, flagsEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opAnd => flagsEnable <= flagsEn; + when opOr => flagsEnable <= flagsEn; + when opXor => flagsEnable <= flagsEn; + when opTest => flagsEnable <= flagsEn; + when opComp => flagsEnable <= flagsEn; + when opAdd => flagsEnable <= flagsEn; + when opAddCy => flagsEnable <= flagsEn; + when opSub => flagsEnable <= flagsEn; + when opSubCy => flagsEnable <= flagsEn; + when opShRot => flagsEnable <= flagsEn; + when others => flagsEnable <= '0'; + end case; + end process flagsEnableTable; + + saveCarries: process(reset, clock) + begin + if reset = '1' then + carrySaved <= '0'; + zeroSaved <= '0'; + elsif rising_edge(clock) then + if flagsEnable = '1' then + carrySaved <= cOut; + zeroSaved <= zero; + end if; + end if; + end process saveCarries; + + cIn <= carrySaved; + + ------------------------------------------------------------------------------ + -- Program counter controls + checkBranchCondition: process(branchCond, zeroSaved, carrySaved) + begin + case branchCond(branchConditionLength-1 downto 0) is + when brAw => branchEnable1 <= '1'; + when brZ => branchEnable1 <= zeroSaved; + when brNZ => branchEnable1 <= not zeroSaved; + when brC => branchEnable1 <= carrySaved; + when brNC => branchEnable1 <= not carrySaved; + when others => branchEnable1 <= '-'; + end case; + end process checkBranchCondition; + + branchEnableTable: process(opCode, branchEnable1, discardOpCode) + begin + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => branchEnable <= branchEnable1; + when opCall => branchEnable <= branchEnable1; + when opJump => branchEnable <= branchEnable1; + when others => branchEnable <= '0'; + end case; + else + branchEnable <= '0'; + end if; + end process branchEnableTable; + + progCounterControlTable: process(opCode, enInt, branchEnable) + begin + incPC <= enInt; + loadInstrAddress <= '0'; + loadStoredPC <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opRet => incPC <= not branchEnable; + loadStoredPC <= enInt and branchEnable; + when opCall => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when opJump => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when others => null; + end case; + end process progCounterControlTable; + + -- If a branch condition is met, the next operation has to be discarded. + -- This is due to the synchronous operation of the program ROM: the + -- instructions are provided one clock period after the program counter. + -- so while the branch operation is processed, the next instruction is + -- already being fetched. + delayBranchEnable: process(reset, clock) + begin + if reset = '1' then + discardOpCode <= '0'; + elsif rising_edge(clock) then + discardOpCode <= branchEnable; + end if; + end process delayBranchEnable; + + ------------------------------------------------------------------------------ + -- Stack pointer controls + pcStackControlTable: process(discardOpCode, opCode, enInt) + begin + storePC <= '0'; + prevPC <= '0'; + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => prevPC <= enInt; + when opCall => storePC <= enInt; + when others => null; + end case; + end if; + end process pcStackControlTable; + + + ------------------------------------------------------------------------------ + -- interrupt control + updateIntFlag <= '1' when opCode = opIntF else '0'; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.instructionDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY instructionDecoder IS + GENERIC( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + instructionBitNb : positive := 18; + programCounterBitNb : positive := 10; + opCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + intCodeBitNb : positive := 5; + spadAddressBitNb : natural := 4; + portAddressBitNb : positive := 8 + ); + PORT( + instruction : IN std_ulogic_vector ( instructionBitNb-1 DOWNTO 0 ); + addrA : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : OUT std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + branchCond : OUT std_ulogic_vector (branchCondBitNb-1 DOWNTO 0); + instrAddress : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + instrData : OUT signed ( registerBitNb-1 DOWNTO 0 ); + intCode : OUT std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : OUT std_ulogic_vector ( opCodeBitNb-1 DOWNTO 0 ); + portAddress : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portIndexedSel : OUT std_ulogic; + spadAddress : OUT unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + spadIndexedSel : OUT std_ulogic; + twoRegInstr : OUT std_ulogic + ); + +-- Declarations + +END instructionDecoder ; + + + + + +ARCHITECTURE RTL OF instructionDecoder IS + + constant opCodeIndexH : integer := instruction'high; + constant opCodeIndexL : integer := opCodeIndexH - opCodeBitNb + 1; + + constant twoRegInstrIndex : integer := opCodeIndexL - 1; + constant ioAddrIndexed : integer := twoRegInstrIndex; + + constant addrAIndexH : integer := twoRegInstrIndex - 1; + constant addrAIndexL : integer := addrAIndexH - registerAddressBitNb + 1; + + constant immediateDataIndexH : integer := registerBitNb-1; + constant immediateDataIndexL : integer := 0; + constant addrBIndexH : integer := addrAIndexL - 1; + constant addrBIndexL : integer := addrBIndexH - registerAddressBitNb + 1; + + constant aluCodeIndexH : integer := opCodeIndexH; + constant aluCodeIndexL : integer := aluCodeIndexH - aluCodeBitNb + 1; + + constant portAddressH : integer := registerBitNb-1; + constant portAddressL : integer := portAddressH-portAddressBitNb+1; + constant spadAddressH : integer := registerBitNb-1; + constant spadAddressL : integer := spadAddressH-spadAddressBitNb+1; + + constant branchCondH : integer := opCodeIndexL-1; + constant branchCondL : integer := branchCondH-branchCondBitNb+1; + +BEGIN + ------------------------------------------------------------------------------ + -- ALU control + aluCode <= + instruction(aluCodeIndexH downto aluCodeIndexL) + when instruction(aluCodeIndexH) = '0' else + '1' & instruction(aluCodeBitNb-2 downto 0); + opCode <= instruction(opCodeIndexH downto opCodeIndexL); + twoRegInstr <= instruction(twoRegInstrIndex); + addrA <= unsigned(instruction(addrAIndexH downto addrAIndexL)); + addrB <= unsigned(instruction(addrBIndexH downto addrBIndexL)); + instrData <= signed(instruction(immediateDataIndexH downto immediateDataIndexL)); + + ------------------------------------------------------------------------------ + -- I/O control + portIndexedSel <= instruction(ioAddrIndexed); + portAddress <= unsigned(instruction(portAddressH downto portAddressL)); + + ------------------------------------------------------------------------------ + -- scratchpad control + spadIndexedSel <= instruction(ioAddrIndexed); + spadAddress <= unsigned(instruction(spadAddressH downto spadAddressL)); + + ------------------------------------------------------------------------------ + -- branch control + branchCond <= instruction(branchCondH downto branchCondL); + instrAddress <= unsigned(instruction(instrAddress'range)); + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.programCounter.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY programCounter IS + GENERIC( + programCounterBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + incPC : IN std_ulogic; + instrAddress : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + loadInstrAddress : IN std_ulogic; + loadStoredPC : IN std_ulogic; + reset : IN std_ulogic; + storedProgCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END programCounter ; + + + + + +ARCHITECTURE RTL OF programCounter IS + + signal pCounter: unsigned(progCounter'range); + +BEGIN + + updateProgramCounter: process(reset, clock) + begin + if reset = '1' then + pCounter <= (others => '0'); + elsif rising_edge(clock) then + if incPC = '1' then + pCounter <= pCounter + 1; + elsif loadInstrAddress = '1' then + pCounter <= instrAddress; + elsif loadStoredPC = '1' then + pCounter <= storedProgCounter; + end if; + end if; + end process updateProgramCounter; + + progCounter <= pCounter; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.scratchpad.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY scratchpad IS + GENERIC( + registerBitNb : positive := 8; + spadAddressBitNb : natural := 4 + ); + PORT( + addr : IN unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic; + dataIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + write : IN std_ulogic; + dataOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END scratchpad ; + + + + + +ARCHITECTURE RTL OF scratchpad IS + + subtype memoryWordType is signed(dataOut'range); + type memoryArrayType is array (0 to 2**addr'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType; + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(addr)) <= dataIn; + end if; + end if; + end process; + + dataOut <= memoryArray(to_integer(addr)); + +END ARCHITECTURE RTL; + + + + +-- +-- VHDL Architecture NanoBlaze.nanoProcessor.struct +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY NanoBlaze; + +ARCHITECTURE struct OF nanoProcessor IS + + -- Architecture declarations + constant aluCodeBitNb: positive := 5; + constant opCodeBitNb: positive := 5; + constant branchCondBitNb: positive := 3; + constant intCodeBitNb: positive := 5; + + -- Internal signal declarations + SIGNAL addrA : unsigned( registerAddressBitNb-1 DOWNTO 0 ); + SIGNAL addrB : unsigned( registerAddressBitNb-1 DOWNTO 0 ); + SIGNAL aluCode : std_ulogic_vector( aluCodeBitNb-1 DOWNTO 0 ); + SIGNAL branchCond : std_ulogic_vector(branchCondBitNb-1 DOWNTO 0); + SIGNAL cIn : std_ulogic; + SIGNAL cOut : std_ulogic; + SIGNAL incPC : std_ulogic; + SIGNAL instrAddress : unsigned( programCounterBitNb-1 DOWNTO 0 ); + SIGNAL instrData : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL instrDataSel : std_ulogic; + SIGNAL instrString : string(1 TO 16); + SIGNAL intCode : std_ulogic_vector( intCodeBitNb-1 DOWNTO 0 ); + SIGNAL loadInstrAddress : std_ulogic; + SIGNAL loadStoredPC : std_ulogic; + SIGNAL opCode : std_ulogic_vector( opCodeBitNb-1 DOWNTO 0 ); + SIGNAL portIn : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL portInSel : std_ulogic; + SIGNAL portIndexedSel : std_ulogic; + SIGNAL portInstrAddress : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL portOut : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL portRegAddress : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL prevPC : std_ulogic; + SIGNAL regWrite : std_ulogic; + SIGNAL registerFileSel : std_ulogic; + SIGNAL scratchpadSel : std_ulogic; + SIGNAL scratchpadWrite : std_ulogic; + SIGNAL spadAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL spadIn : signed(registerBitNb-1 DOWNTO 0); + SIGNAL spadIndexedSel : std_ulogic; + SIGNAL spadInstrAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL spadOut : signed(registerBitNb-1 DOWNTO 0); + SIGNAL spadRegAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL storePC : std_ulogic; + SIGNAL storedProgCounter : unsigned( programCounterBitNb-1 DOWNTO 0 ); + SIGNAL twoRegInstr : std_ulogic; + SIGNAL zero : std_ulogic; + + -- Implicit buffer signal declarations + SIGNAL progCounter_internal : unsigned ( programCounterBitNb-1 DOWNTO 0 ); + + + -- Component Declarations + COMPONENT aluAndRegs + GENERIC ( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + portAddressBitNb : positive := 8; + scratchpadAddressBitNb : natural := 4 + ); + PORT ( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic ; + clock : IN std_ulogic ; + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic ; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic ; + regWrite : IN std_ulogic ; + registerFileSel : IN std_ulogic ; + reset : IN std_ulogic ; + scratchpadSel : IN std_ulogic ; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic ; + portAddr : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + scratchpadAddr : OUT unsigned (scratchpadAddressBitNb-1 DOWNTO 0); + spadOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + zero : OUT std_ulogic + ); + END COMPONENT; + COMPONENT branchStack + GENERIC ( + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5 + ); + PORT ( + clock : IN std_ulogic ; + prevPC : IN std_ulogic ; + progCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + storePC : IN std_ulogic ; + storedProgCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + COMPONENT controller + GENERIC ( + intCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + opCodeBitNb : positive := 5 + ); + PORT ( + branchCond : IN std_ulogic_vector ( branchCondBitNb-1 DOWNTO 0 ); + cOut : IN std_ulogic ; + clock : IN std_ulogic ; + en : IN std_ulogic ; + int : IN std_uLogic ; + intCode : IN std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : IN std_ulogic_vector (opCodeBitNb-1 DOWNTO 0); + reset : IN std_ulogic ; + twoRegInstr : IN std_ulogic ; + zero : IN std_ulogic ; + cIn : OUT std_ulogic ; + incPC : OUT std_ulogic ; + instrDataSel : OUT std_ulogic ; + intAck : OUT std_ulogic ; + loadInstrAddress : OUT std_ulogic ; + loadStoredPC : OUT std_ulogic ; + portInSel : OUT std_ulogic ; + prevPC : OUT std_ulogic ; + readStrobe : OUT std_uLogic ; + regWrite : OUT std_ulogic ; + registerFileSel : OUT std_ulogic ; + scratchpadSel : OUT std_ulogic ; + scratchpadWrite : OUT std_ulogic ; + storePC : OUT std_ulogic ; + writeStrobe : OUT std_uLogic + ); + END COMPONENT; + COMPONENT instructionDecoder + GENERIC ( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + instructionBitNb : positive := 18; + programCounterBitNb : positive := 10; + opCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + intCodeBitNb : positive := 5; + spadAddressBitNb : natural := 4; + portAddressBitNb : positive := 8 + ); + PORT ( + instruction : IN std_ulogic_vector ( instructionBitNb-1 DOWNTO 0 ); + addrA : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : OUT std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + branchCond : OUT std_ulogic_vector (branchCondBitNb-1 DOWNTO 0); + instrAddress : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + instrData : OUT signed ( registerBitNb-1 DOWNTO 0 ); + intCode : OUT std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : OUT std_ulogic_vector ( opCodeBitNb-1 DOWNTO 0 ); + portAddress : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portIndexedSel : OUT std_ulogic ; + spadAddress : OUT unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + spadIndexedSel : OUT std_ulogic ; + twoRegInstr : OUT std_ulogic + ); + END COMPONENT; + COMPONENT programCounter + GENERIC ( + programCounterBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + incPC : IN std_ulogic ; + instrAddress : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + loadInstrAddress : IN std_ulogic ; + loadStoredPC : IN std_ulogic ; + reset : IN std_ulogic ; + storedProgCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + COMPONENT scratchpad + GENERIC ( + registerBitNb : positive := 8; + spadAddressBitNb : natural := 4 + ); + PORT ( + addr : IN unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic ; + dataIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + write : IN std_ulogic ; + dataOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : aluAndRegs USE ENTITY NanoBlaze.aluAndRegs; + FOR ALL : branchStack USE ENTITY NanoBlaze.branchStack; + FOR ALL : controller USE ENTITY NanoBlaze.controller; + FOR ALL : instructionDecoder USE ENTITY NanoBlaze.instructionDecoder; + FOR ALL : programCounter USE ENTITY NanoBlaze.programCounter; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + dataAddress <= portInstrAddress when portIndexedSel = '0' else portRegAddress; + + -- HDL Embedded Text Block 2 eb2 + dataOut <= std_ulogic_vector(portOut); + portIn <= signed(dataIn); + + -- HDL Embedded Text Block 3 eb3 + spadAddress <= spadInstrAddress when spadIndexedSel = '0' else spadRegAddress; + + -- HDL Embedded Text Block 4 eb4 + -- pragma translate_off + + process(instruction) + + constant bitsPerHexDigit : positive := 4; + + function pad(inString : string; outLength : positive) return string is + variable outString : string(1 to outLength); + begin + outString := (others => ' '); + outString(inString'range) := inString; + return outString; + end function pad; + + function hexDigitNb(bitNb : positive) return positive is + begin + return (bitNb-1)/bitsPerHexDigit+1; + end function hexDigitNb; + + function to01(nineValued : unsigned) return unsigned is + variable twoValued : unsigned(nineValued'range); + begin + twoValued := (others => '0'); + for index in nineValued'range loop + if (nineValued(index) = '1') or (nineValued(index) = 'H') then + twoValued(index) := '1'; + end if; + end loop; + return twoValued; + end function to01; + + variable opCode : unsigned(1+opCodeBitNb-1 downto 0); + variable destRegister : unsigned(registerAddressBitNb-1 downto 0); + variable destRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceRegister : unsigned(registerAddressBitNb-1 downto 0); + variable sourceRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceConstant : unsigned(registerBitNb-1 downto 0); + variable sourceConstantString : string(1 to hexDigitNb(registerBitNb)); + variable branchAddress : unsigned(programCounterBitNb-1 downto 0); + variable branchAddressString : string(1 to hexDigitNb(programCounterBitNb)); + variable branchKind : unsigned(1 downto 0); + variable shRotCin : unsigned(2 downto 0); + variable shRotDir: std_ulogic; + + function toHexDigit(binary : unsigned(bitsPerHexDigit-1 downto 0)) return character is + begin + if binary <= 9 then + return character'val(character'pos('0') + to_integer(to01(binary))); + else + return character'val(character'pos('A') + to_integer(to01(binary)) - 10); + end if; + end function toHexDigit; + + function toHexString(binary : unsigned) return string is + variable hexString : string(1 to hexDigitNb(binary'length)); + begin + for index in hexString'high-1 downto 0 loop + hexString(hexString'high-index) := toHexDigit( + resize(shift_right(binary, bitsPerHexDigit*index), bitsPerHexDigit) + ); + end loop; + return hexString; + end function toHexString; + + begin + + opCode := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length), + opCode'length + ); + destRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length), + destRegister'length + ); + destRegisterString := 's' & toHexDigit(destRegister); + sourceRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length-sourceRegister'length), + sourceRegister'length + ); + sourceRegisterString := 's' & toHexDigit(sourceRegister); + sourceConstant := resize(unsigned(instruction), sourceConstant'length); + sourceConstantString := toHexString(sourceConstant); + branchKind := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-branchKind'length), + branchKind'length + ); + branchAddress := resize(unsigned(instruction), branchAddress'length); + branchAddressString := toHexString(branchAddress); + shRotCin := resize(shift_right(unsigned(instruction), 1), shRotCin'length); + shRotDir := instruction(0); + + case opCode is + when "000000" => instrString <= pad("LOAD " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000001" => instrString <= pad("LOAD " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "000100" => instrString <= pad("INPUT " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000101" => instrString <= pad("INPUT " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "000110" => instrString <= pad("FETCH " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000111" => instrString <= pad("FETCH " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001010" => instrString <= pad("AND " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001011" => instrString <= pad("AND " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001100" => instrString <= pad("OR " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001101" => instrString <= pad("OR " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001110" => instrString <= pad("XOR " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001111" => instrString <= pad("XOR " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "010010" => instrString <= pad("TEST " & destRegisterString & " " & sourceConstantString, instrString'length); + when "010011" => instrString <= pad("TEST " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "010100" => instrString <= pad("COMP " & destRegisterString & " " & sourceConstantString, instrString'length); + when "010101" => instrString <= pad("COMP " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011000" => instrString <= pad("ADD " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011001" => instrString <= pad("ADD " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011010" => instrString <= pad("ADDCY " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011011" => instrString <= pad("ADDCY " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011100" => instrString <= pad("SUB " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011101" => instrString <= pad("SUB " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011110" => instrString <= pad("SUBCY " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011111" => instrString <= pad("SUBCY " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "100000" => + case shRotCin is + when "000" => instrString <= pad("SLA " & destRegisterString, instrString'length); + when "001" => instrString <= pad("RL " & destRegisterString, instrString'length); + when "010" => instrString <= pad("SLX " & destRegisterString, instrString'length); + when "011" => + case shRotDir is + when '0' => instrString <= pad("SL0 " & destRegisterString, instrString'length); + when '1' => instrString <= pad("SL1 " & destRegisterString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "100" => instrString <= pad("SRA " & destRegisterString, instrString'length); + when "101" => instrString <= pad("SRX " & destRegisterString, instrString'length); + when "110" => instrString <= pad("RR " & destRegisterString, instrString'length); + when "111" => + case shRotDir is + when '0' => instrString <= pad("SR0 " & destRegisterString, instrString'length); + when '1' => instrString <= pad("SR1 " & destRegisterString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when others => instrString <= pad("--------", instrString'length); + end case; + when "101100" => instrString <= pad("OUTPUT " & destRegisterString & " " & sourceConstantString, instrString'length); + when "101101" => instrString <= pad("OUTPUT " & destRegisterString & " (" & sourceRegisterString & ")", instrString'length); + when "101110" => instrString <= pad("STORE " & destRegisterString & " " & sourceConstantString, instrString'length); + when "101111" => instrString <= pad("STORE " & destRegisterString & " (" & sourceRegisterString & ")", instrString'length); + when "101010" => instrString <= pad("RET", instrString'length); + when "101011" => + case branchKind is + when "00" => instrString <= pad("RET Z", instrString'length); + when "01" => instrString <= pad("RET NZ", instrString'length); + when "10" => instrString <= pad("RET C", instrString'length); + when "11" => instrString <= pad("RET NC", instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "110000" => instrString <= pad("CALL " & branchAddressString, instrString'length); + when "110001" => + case branchKind is + when "00" => instrString <= pad("CALL Z " & branchAddressString, instrString'length); + when "01" => instrString <= pad("CALL NZ " & branchAddressString, instrString'length); + when "10" => instrString <= pad("CALL C " & branchAddressString, instrString'length); + when "11" => instrString <= pad("CALL NC " & branchAddressString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "110100" => instrString <= pad("JUMP " & branchAddressString, instrString'length); + when "110101" => + case branchKind is + when "00" => instrString <= pad("JUMP Z " & branchAddressString, instrString'length); + when "01" => instrString <= pad("JUMP NZ " & branchAddressString, instrString'length); + when "10" => instrString <= pad("JUMP C " & branchAddressString, instrString'length); + when "11" => instrString <= pad("JUMP NC " & branchAddressString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when others => instrString <= pad("--------", instrString'length); + end case; + + end process; + + -- pragma translate_on + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- Instance port mappings. + I_alu : aluAndRegs + GENERIC MAP ( + registerBitNb => registerBitNb, + registerAddressBitNb => registerAddressBitNb, + aluCodeBitNb => aluCodeBitNb, + portAddressBitNb => addressBitNb, + scratchpadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + addrA => addrA, + addrB => addrB, + aluCode => aluCode, + cIn => cIn, + clock => clock, + instrData => instrData, + instrDataSel => instrDataSel, + portIn => portIn, + portInSel => portInSel, + regWrite => regWrite, + registerFileSel => registerFileSel, + reset => reset, + scratchpadSel => scratchpadSel, + spadIn => spadIn, + cOut => cOut, + portAddr => portRegAddress, + portOut => portOut, + scratchpadAddr => spadRegAddress, + spadOut => spadOut, + zero => zero + ); + I_BR : branchStack + GENERIC MAP ( + programCounterBitNb => programCounterBitNb, + stackPointerBitNb => stackPointerBitNb + ) + PORT MAP ( + clock => clock, + prevPC => prevPC, + progCounter => progCounter_internal, + reset => reset, + storePC => storePC, + storedProgCounter => storedProgCounter + ); + I_ctrl : controller + GENERIC MAP ( + intCodeBitNb => 5, + branchCondBitNb => branchCondBitNb, + opCodeBitNb => opCodeBitNb + ) + PORT MAP ( + branchCond => branchCond, + cOut => cOut, + clock => clock, + en => en, + int => int, + intCode => intCode, + opCode => opCode, + reset => reset, + twoRegInstr => twoRegInstr, + zero => zero, + cIn => cIn, + incPC => incPC, + instrDataSel => instrDataSel, + intAck => intAck, + loadInstrAddress => loadInstrAddress, + loadStoredPC => loadStoredPC, + portInSel => portInSel, + prevPC => prevPC, + readStrobe => readStrobe, + regWrite => regWrite, + registerFileSel => registerFileSel, + scratchpadSel => scratchpadSel, + scratchpadWrite => scratchpadWrite, + storePC => storePC, + writeStrobe => writeStrobe + ); + I_instr : instructionDecoder + GENERIC MAP ( + registerBitNb => registerBitNb, + registerAddressBitNb => registerAddressBitNb, + aluCodeBitNb => aluCodeBitNb, + instructionBitNb => instructionBitNb, + programCounterBitNb => programCounterBitNb, + opCodeBitNb => opCodeBitNb, + branchCondBitNb => branchCondBitNb, + intCodeBitNb => 5, + spadAddressBitNb => scratchpadAddressBitNb, + portAddressBitNb => addressBitNb + ) + PORT MAP ( + instruction => instruction, + addrA => addrA, + addrB => addrB, + aluCode => aluCode, + branchCond => branchCond, + instrAddress => instrAddress, + instrData => instrData, + intCode => intCode, + opCode => opCode, + portAddress => portInstrAddress, + portIndexedSel => portIndexedSel, + spadAddress => spadInstrAddress, + spadIndexedSel => spadIndexedSel, + twoRegInstr => twoRegInstr + ); + I_PC : programCounter + GENERIC MAP ( + programCounterBitNb => programCounterBitNb + ) + PORT MAP ( + clock => clock, + incPC => incPC, + instrAddress => instrAddress, + loadInstrAddress => loadInstrAddress, + loadStoredPC => loadStoredPC, + reset => reset, + storedProgCounter => storedProgCounter, + progCounter => progCounter_internal + ); + + g_scratchpad: IF scratchpadAddressBitNb > 0 GENERATE + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : scratchpad USE ENTITY NanoBlaze.scratchpad; + -- pragma synthesis_on + + BEGIN + I_sPad : scratchpad + GENERIC MAP ( + registerBitNb => registerBitNb, + spadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + addr => spadAddress, + clock => clock, + dataIn => spadOut, + reset => reset, + write => scratchpadWrite, + dataOut => spadIn + ); + END GENERATE g_scratchpad; + + -- Implicit buffered output assignments + progCounter <= progCounter_internal; + +END struct; + + + + +-- +-- VHDL Architecture SystemOnChip.beamerSoc.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:02:29 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; + +LIBRARY AhbLiteComponents; +LIBRARY NanoBlaze; +LIBRARY SystemOnChip; + +ARCHITECTURE struct OF beamerSoc IS + + -- Architecture declarations + constant programCounterBitNb: positive := 10; + constant instructionBitNb: positive := 26; + constant stackPointerBitNb: positive := 4; + constant registerAddressBitNb: positive := 4; + constant scratchpadAddressBitNb: natural := 0; + + constant signalBitNb: positive := 16; + constant updatePeriodBitNb : positive := 16; + + constant gpioIndex: positive := 1; + constant uartIndex: positive := gpioIndex+1; + constant beamerIndex: positive := uartIndex+1; + + constant ahbMemoryLocation : ahbMemoryLocationVector := ( + gpioIndex => ( + baseAddress => 16#0000#, + addressMask => 16#10000# - 16#0002# + ), + uartIndex => ( + baseAddress => 16#0010#, + addressMask => 16#10000# - 16#0004# + ), + beamerIndex => ( + baseAddress => 16#0020#, + addressMask => 16#10000# - 16#0004# + ), + others => ( + baseAddress => 16#FFFF#, + addressMask => 16#0000# + ) + ); + + -- Internal signal declarations + SIGNAL upEn : std_ulogic; + SIGNAL int : std_uLogic; + SIGNAL upAddress : unsigned(ahbAddressBitNb-1 DOWNTO 0); + SIGNAL upDataOut : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL upDataIn : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL upReadStrobe : std_uLogic; + SIGNAL upWriteStrobe : std_uLogic; + SIGNAL hAddr : unsigned(ahbAddressBitNb-1 DOWNTO 0); + SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0); + SIGNAL hSize : std_ulogic_vector(ahbSizeBitNb-1 DOWNTO 0); + SIGNAL hBurst : std_ulogic_vector(ahbBurstBitNb-1 DOWNTO 0); + SIGNAL hProt : std_ulogic_vector(ahbProtBitNb-1 DOWNTO 0); + SIGNAL hWrite : std_uLogic; + SIGNAL hReady : std_uLogic; + SIGNAL hMastLock : std_uLogic; + SIGNAL hResp : std_uLogic; + SIGNAL hClk : std_uLogic; + SIGNAL hReset_n : std_uLogic; + SIGNAL hSelV : std_ulogic_vector(1 TO ahbSlaveNb); + SIGNAL hRDataV : ahbDataVector; + SIGNAL hReadyV : std_logic_vector(1 TO ahbSlaveNb); + SIGNAL hRespV : std_logic_vector(1 TO ahbSlaveNb); + SIGNAL hSelGpio : std_uLogic; + SIGNAL hRespGpio : std_uLogic; + SIGNAL hReadyGpio : std_uLogic; + SIGNAL hRDataGpio : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRDataBeamer : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRDataUart : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hSelBeamer : std_uLogic; + SIGNAL hSelUart : std_uLogic; + SIGNAL hRespUart : std_uLogic; + SIGNAL hRespBeamer : std_uLogic; + SIGNAL hReadyBeamer : std_uLogic; + SIGNAL hReadyUart : std_uLogic; + SIGNAL intAck : std_ulogic; + SIGNAL instruction : std_ulogic_vector(instructionBitNb-1 DOWNTO 0); + SIGNAL programCounter : unsigned(programCounterBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT ahbDecoder + GENERIC ( + ahbMemoryLocation : ahbMemoryLocationVector + ); + PORT ( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hSel : OUT std_ulogic_vector (1 TO ahbSlaveNb) + ); + END COMPONENT; + COMPONENT ahbMasterInterface + PORT ( + clock : IN std_ulogic ; + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic ; + hResp : IN std_uLogic ; + pAddress : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + pDataOut : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + pReadStrobe : IN std_uLogic ; + pWriteStrobe : IN std_uLogic ; + reset : IN std_ulogic ; + hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hBurst : OUT std_ulogic_vector (ahbBurstBitNb-1 DOWNTO 0); + hClk : OUT std_uLogic ; + hMastLock : OUT std_uLogic ; + hProt : OUT std_ulogic_vector (ahbProtBitNb-1 DOWNTO 0); + hReset_n : OUT std_uLogic ; + hSize : OUT std_ulogic_vector (ahbSizeBitNb-1 DOWNTO 0); + hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : OUT std_uLogic ; + pDataIn : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT ahbMultiplexor + PORT ( + hRDataV : IN ahbDataVector ; + hReadyV : IN std_logic_vector (1 TO ahbSlaveNb); + hRespV : IN std_logic_vector (1 TO ahbSlaveNb); + hSel : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbMuxConnector + GENERIC ( + index : positive := 1 + ); + PORT ( + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic ; + hResp : IN std_uLogic ; + hSelV : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRDataV : OUT ahbDataVector ; + hReadyV : OUT std_logic_vector (1 TO ahbSlaveNb); + hRespV : OUT std_logic_vector (1 TO ahbSlaveNb); + hSel : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbGpio + GENERIC ( + ioNb : positive := 8 + ); + PORT ( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic ; + hReset_n : IN std_uLogic ; + hSel : IN std_uLogic ; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic ; + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic ; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT ahbUart + GENERIC ( + txFifoDepth : positive := 8; + rxFifoDepth : positive := 1 + ); + PORT ( + RxD : IN std_ulogic ; + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic ; + hReset_n : IN std_uLogic ; + hSel : IN std_uLogic ; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic ; + TxD : OUT std_ulogic ; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic + ); + END COMPONENT; + COMPONENT nanoProcessor + GENERIC ( + addressBitNb : positive := 8; + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5; + instructionBitNb : positive := 18; + scratchpadAddressBitNb : natural := 4 + ); + PORT ( + clock : IN std_ulogic ; + dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + instruction : IN std_ulogic_vector (instructionBitNb-1 DOWNTO 0); + int : IN std_uLogic ; + reset : IN std_ulogic ; + dataAddress : OUT unsigned (addressBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0); + intAck : OUT std_ulogic ; + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + readStrobe : OUT std_uLogic ; + writeStrobe : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbBeamer + GENERIC ( + patternAddressBitNb : positive := 9; + testOutBitNb : positive := 16 + ); + PORT ( + outX : OUT std_ulogic ; + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + outY : OUT std_ulogic ; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + selSinCos : IN std_ulogic ; + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic ; + hSel : IN std_ulogic ; + hReady : OUT std_ulogic ; + hResp : OUT std_ulogic ; + hClk : IN std_ulogic ; + hReset_n : IN std_ulogic + ); + END COMPONENT; + COMPONENT programRom + GENERIC ( + addressBitNb : positive := 8; + dataBitNb : positive := 8 + ); + PORT ( + address : IN unsigned (addressBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + en : IN std_ulogic ; + reset : IN std_ulogic ; + dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : ahbBeamer USE ENTITY SystemOnChip.ahbBeamer; + FOR ALL : ahbDecoder USE ENTITY AhbLite.ahbDecoder; + FOR ALL : ahbGpio USE ENTITY AhbLiteComponents.ahbGpio; + FOR ALL : ahbMasterInterface USE ENTITY AhbLite.ahbMasterInterface; + FOR ALL : ahbMultiplexor USE ENTITY AhbLite.ahbMultiplexor; + FOR ALL : ahbMuxConnector USE ENTITY AhbLite.ahbMuxConnector; + FOR ALL : ahbUart USE ENTITY AhbLiteComponents.ahbUart; + FOR ALL : nanoProcessor USE ENTITY NanoBlaze.nanoProcessor; + FOR ALL : programRom USE ENTITY SystemOnChip.programRom; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + upEn <= '1'; + + + -- Instance port mappings. + I_dec : ahbDecoder + GENERIC MAP ( + ahbMemoryLocation => ahbMemoryLocation + ) + PORT MAP ( + hAddr => hAddr, + hSel => hSelV + ); + I_mst : ahbMasterInterface + PORT MAP ( + clock => clock, + hRData => hRData, + hReady => hReady, + hResp => hResp, + pAddress => upAddress, + pDataOut => upDataOut, + pReadStrobe => upReadStrobe, + pWriteStrobe => upWriteStrobe, + reset => reset, + hAddr => hAddr, + hBurst => hBurst, + hClk => hClk, + hMastLock => hMastLock, + hProt => hProt, + hReset_n => hReset_n, + hSize => hSize, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + pDataIn => upDataIn + ); + I_mux : ahbMultiplexor + PORT MAP ( + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelV, + hRData => hRData, + hReady => hReady, + hResp => hResp + ); + I_connBeam : ahbMuxConnector + GENERIC MAP ( + index => beamerIndex + ) + PORT MAP ( + hRData => hRDataBeamer, + hReady => hReadyBeamer, + hResp => hRespBeamer, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelBeamer + ); + I_connT : ahbMuxConnector + GENERIC MAP ( + index => gpioIndex + ) + PORT MAP ( + hRData => hRDataGpio, + hReady => hReadyGpio, + hResp => hRespGpio, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelGpio + ); + I_connUart : ahbMuxConnector + GENERIC MAP ( + index => uartIndex + ) + PORT MAP ( + hRData => hRDataUart, + hReady => hReadyUart, + hResp => hRespUart, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelUart + ); + I_GPIO : ahbGpio + GENERIC MAP ( + ioNb => ioNb + ) + PORT MAP ( + hAddr => hAddr, + hClk => hClk, + hReset_n => hReset_n, + hSel => hSelGpio, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + ioIn => ioIn, + hRData => hRDataGpio, + hReady => hReadyGpio, + hResp => hRespGpio, + ioEn => ioEn, + ioOut => ioOut + ); + I_UART : ahbUart + GENERIC MAP ( + txFifoDepth => 8, + rxFifoDepth => 1 + ) + PORT MAP ( + RxD => RxD, + hAddr => hAddr, + hClk => hClk, + hReset_n => hReset_n, + hSel => hSelUart, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + TxD => TxD, + hRData => hRDataUart, + hReady => hReadyUart, + hResp => hRespUart + ); + I_up : nanoProcessor + GENERIC MAP ( + addressBitNb => ahbAddressBitNb, + registerBitNb => ahbDataBitNb, + registerAddressBitNb => registerAddressBitNb, + programCounterBitNb => programCounterBitNb, + stackPointerBitNb => stackPointerBitNb, + instructionBitNb => instructionBitNb, + scratchpadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + clock => clock, + dataIn => upDataIn, + en => upEn, + instruction => instruction, + int => int, + reset => reset, + dataAddress => upAddress, + dataOut => upDataOut, + intAck => intAck, + progCounter => programCounter, + readStrobe => upReadStrobe, + writeStrobe => upWriteStrobe + ); + I_beamer : ahbBeamer + GENERIC MAP ( + patternAddressBitNb => patternAddressBitNb, + testOutBitNb => testOutBitNb + ) + PORT MAP ( + outX => outX, + hAddr => hAddr, + outY => outY, + hWData => hWData, + selSinCos => selSinCos, + testOut => testOut, + hRData => hRDataBeamer, + hTrans => hTrans, + hWrite => hWrite, + hSel => hSelBeamer, + hReady => hReadyBeamer, + hResp => hRespBeamer, + hClk => hClk, + hReset_n => hReset_n + ); + I_rom : programRom + GENERIC MAP ( + addressBitNb => programCounterBitNb, + dataBitNb => instructionBitNb + ) + PORT MAP ( + address => programCounter, + clock => clock, + en => upEn, + reset => reset, + dataOut => instruction + ); + +END struct; + + + + +-- +-- VHDL Architecture Board.SoC_ebs3.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:21:25 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY Board; +LIBRARY Lattice; +LIBRARY SystemOnChip; + +ARCHITECTURE struct OF SoC_ebs3 IS + + -- Architecture declarations + constant ioNb: positive := 8; + constant testOutBitNb: positive := 16; + constant patternAddressBitNb: positive := 9; + + -- Internal signal declarations + SIGNAL clk_sys : std_ulogic; + SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + SIGNAL rxdSynch : std_ulogic; + SIGNAL selSinCos : std_ulogic; + SIGNAL selSinCosSynch : std_ulogic; + SIGNAL testOut : std_ulogic_vector(1 TO testOutBitNb); + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT beamerSoc + GENERIC ( + ioNb : positive := 8; + testOutBitNb : positive := 16; + patternAddressBitNb : positive := 9 + ); + PORT ( + TxD : OUT std_ulogic ; + RxD : IN std_ulogic ; + outX : OUT std_ulogic ; + outY : OUT std_ulogic ; + selSinCos : IN std_ulogic ; + reset : IN std_ulogic ; + clock : IN std_ulogic ; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + testOut : OUT std_ulogic_vector (1 TO testOutBitNb) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : DFF USE ENTITY Board.DFF; + FOR ALL : beamerSoc USE ENTITY SystemOnChip.beamerSoc; + FOR ALL : inverterIn USE ENTITY Board.inverterIn; + FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 3 eb3 + LED1 <= testOut(1); + LED2 <= testOut(2); + spare(testOut'range) <= testOut; + spare(testOut'high+1 to spare'high) <= (others => '0'); + + -- HDL Embedded Text Block 4 eb4 + logic1 <= '1'; + + -- HDL Embedded Text Block 5 eb5 + logic0 <= '0'; + + + -- Instance port mappings. + I8 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => RxD, + Q => rxdSynch + ); + I9 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => selSinCos, + Q => selSinCosSynch + ); + I12 : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I2 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I3 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + I7 : inverterIn + PORT MAP ( + in1 => selSinCos_n, + out1 => selSinCos + ); + I_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clk_sys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_top : beamerSoc + GENERIC MAP ( + ioNb => ioNb, + testOutBitNb => testOutBitNb, + patternAddressBitNb => patternAddressBitNb + ) + PORT MAP ( + TxD => TxD, + RxD => rxdSynch, + outX => xOut, + outY => yOut, + selSinCos => selSinCosSynch, + reset => resetSynch, + clock => clk_sys, + ioEn => OPEN, + ioOut => OPEN, + ioIn => ioIn, + testOut => testOut + ); + +END struct; + + + + diff --git a/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.lpf b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.lpf new file mode 100644 index 0000000..ae65545 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.lpf @@ -0,0 +1,334 @@ +### For reference, see TN1262 / FPGA-TN-02032 +# .lpf file format is not really documented by Lattice, normally generated through Diamond + + +################ +#### sysCONFIG +################ + +# The BLOCK commands disable tracing of paths within clock domains (impacting overall timing score) +# It can also be used on paths if the TRACE should not consider the clock domain crossing +# like : BLOCK PATH FROM CLKNET "CLK_A" TO CLKNET "CLK_B" ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +BLOCK JTAGPATHS ; +BLOCK RD_DURING_WR_PATHS ; + + +# Not comprehensive +# dflt : CONFIG_IOVOLTAGE 1.2, 1.5, 1.8, 2.5(dflt), 3.3 voltage is 3.3V +# dflt : COMPRESS_CONFIG OFF (dflt), ON no bitstream compression +# mod : MCCLK_FREQ 2.4, 4.8, 9.7, 19.4, 38.8, 62 NOR program read @ 62MHz +# mod : MASTER_SPI_PORT DISABLE (dflt), ENABLE master SPI port stays SPI and not GPIOs, other mods disabled by dflt +# dflt : BACKGROUND_RECONFIG - no soft ERC when hot-loading bitstream (due to cosmic rays) +# dflt : DONE_PULL ON (dflt), OFF IPU on DONE pin +# dflt : DONE_EX OFF (dflt), ON not delaying end of the configuration (used for daisy chaining FPGAs) +# mod : DONE_OD OFF (dflt), ON DONE pin as open-drain instead of push-pull +# dflt : CONFIG_SECURE OFF (dflt), ON allows external access to current program +# mod : CONFIG_MODE JTAG (dflt), SSPI, SPI_SERIAL, SPI_DUAL, SPI_QUAD, SLAVE_PARALLEL, SLAVE_SERIAL +# which bus and mode is used to load configuration (for the Lattic IDE) +# dflt : TRANSFR OFF (dflt), ON if using TransFR tool from Lattice +# dflt : WAKE_UP 4 (set DONE=1 before starting user code, dflt for DONE_EX=ON) +# 21 (set DONE=1 once FPGA is already running user code, dflt for DONE_EX=OFF) +# mod : INBUF ON, OFF disable unused input buffers (not sure it impacts the ECP5 family) +SYSCONFIG MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE DONE_OD=ON CONFIG_MODE=SPI_QUAD INBUF=OFF CONFIG_IOVOLTAGE=3.3 ; +IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; + +################ +#### Labs DB +################ + +### Clock and reset ### +#INPUT_SETUP ALLPORTS 50.000000 ns HOLD 10.000000 ns CLKPORT "CLK" ; +#INPUT_SETUP PORT "nRST" 50.000000 ns CLKPORT "CLK" ; + +FREQUENCY PORT "clock" 100.000000 MHz ; +LOCATE COMP "clock" SITE "K16" ; +IOBUF PORT "clock" PULLMODE=NONE ; + +LOCATE COMP "reset_n" SITE "E13" ; +GSR_NET NET "resetSynch_n"; + + +### LEDs ### +LOCATE COMP "LED1" SITE "T14" ;# red +LOCATE COMP "LED2" SITE "R14" ;# green +LOCATE COMP "LED3" SITE "T15" ;# blue + + +################ +#### SODIMM-200 +################ + +### PP2 ### +LOCATE COMP "xOut" SITE "G3" ; +LOCATE COMP "yOut" SITE "E1" ; +LOCATE COMP "ampOut" SITE "F3" ; +#LOCATE COMP "" SITE "D1" ; +#LOCATE COMP "" SITE "F4" ; +#LOCATE COMP "" SITE "C1" ; +LOCATE COMP "morseIn" SITE "D7" ; +#LOCATE COMP "" SITE "B6" ; +LOCATE COMP "morseEnvelope" SITE "C7" ; +#LOCATE COMP "" SITE "A6" ; # PP2 11 +#LOCATE COMP "" SITE "D8" ; # PP2 13 +#LOCATE COMP "" SITE "B7" ; # PP2 15 +#LOCATE COMP "" SITE "C8" ; # PP2 17 +#LOCATE COMP "" SITE "A7" ; # PP2 19 +#LOCATE COMP "" SITE "E9" ; # PP2 21 +LOCATE COMP "RxD_synch" SITE "A8" ; # PP2 23 +LOCATE COMP "morseOut" SITE "D9" ; # PP2 25 + + +### PP1 ### +#LOCATE COMP "" SITE "A9" ; +#LOCATE COMP "" SITE "D10" ; +#LOCATE COMP "" SITE "A10" ; +#LOCATE COMP "" SITE "C10" ; +#LOCATE COMP "" SITE "B10" ; +#LOCATE COMP "" SITE "C12" ; +#LOCATE COMP "" SITE "B12" ; +#LOCATE COMP "" SITE "D13" ; +#LOCATE COMP "" SITE "A13" ; +#LOCATE COMP "" SITE "M5" ; # PP1 11 +#LOCATE COMP "" SITE "L5" ; # PP1 13 +#LOCATE COMP "" SITE "K5" ; # PP1 15 +#LOCATE COMP "" SITE "H5" ; # PP1 17 +#LOCATE COMP "" SITE "E8" ; # PP1 19 +#LOCATE COMP "" SITE "E5" ; # PP1 21 +LOCATE COMP "selSinCos_n" SITE "E6" ; # PP1 23 +#LOCATE COMP "" SITE "E7" ; # PP1 25 + + +### USB (FTDI2232HL located on the daughterboard) ### +LOCATE COMP "TxD" SITE "A14" ; +IOBUF PORT "TxD" SLEWRATE=FAST ; +LOCATE COMP "RxD" SITE "B14" ; +IOBUF PORT "RxD" PULLMODE=UP ; +#LOCATE COMP "USB_DB_RTS" SITE "B13" ; +#IOBUF PORT "USB_DB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_DB_CTS" SITE "C13" ; +#IOBUF PORT "USB_DB_CTS" PULLMODE=UP ; + + + +################ +#### Extras +################ + + +### SD Flash (External SD card) ### +#LOCATE COMP "SD_DETECT" SITE "G12" ; +#IOBUF PORT "SD_DETECT" PULLMODE=UP ; + +#LOCATE COMP "SD_CMD" SITE "C15" ; +#IOBUF PORT "SD_CMD" SLEWRATE=FAST ; +#LOCATE COMP "SD_CLK" SITE "B15" ; +#IOBUF PORT "SD_CLK" SLEWRATE=FAST ; + +#LOCATE COMP "SD_DTA[0]" SITE "B16" ; +##IOBUF PORT "SD_DTA[0]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[1]" SITE "C16" ; +##IOBUF PORT "SD_DTA[1]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[2]" SITE "F12" ; +##IOBUF PORT "SD_DTA[2]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[3]" SITE "C14" ; +##IOBUF PORT "SD_DTA[3]" SLEWRATE=FAST ; + + +### DRAM ### +#LOCATE COMP "DRAM_ADDR[0]" SITE "J15" ; +#IOBUF PORT "DRAM_ADDR[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[1]" SITE "L16" ; +#IOBUF PORT "DRAM_ADDR[1]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[2]" SITE "L15" ; +#IOBUF PORT "DRAM_ADDR[2]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[3]" SITE "K15" ; +#IOBUF PORT "DRAM_ADDR[3]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[4]" SITE "G15" ; +#IOBUF PORT "DRAM_ADDR[4]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[5]" SITE "F15" ; +#IOBUF PORT "DRAM_ADDR[5]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[6]" SITE "F16" ; +#IOBUF PORT "DRAM_ADDR[6]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[7]" SITE "E16" ; +#IOBUF PORT "DRAM_ADDR[7]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[8]" SITE "E15" ; +#IOBUF PORT "DRAM_ADDR[8]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[9]" SITE "G13" ; +#IOBUF PORT "DRAM_ADDR[9]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[10]" SITE "M16" ; +#IOBUF PORT "DRAM_ADDR[10]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[11]" SITE "F13" ; +#IOBUF PORT "DRAM_ADDR[11]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[12]" SITE "D16" ; +#IOBUF PORT "DRAM_ADDR[12]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_BA[0]" SITE "L14" ; +#IOBUF PORT "DRAM_BA[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_BA[1]" SITE "L13" ; +#IOBUF PORT "DRAM_BA[1]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_CLK" SITE "G14" ; +#IOBUF PORT "DRAM_CLK" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_CKE" SITE "G16" ; +#IOBUF PORT "DRAM_CKE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nRAS" SITE "M14" ; +#IOBUF PORT "DRAM_nRAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCAS" SITE "K13" ; +#IOBUF PORT "DRAM_nCAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nWE" SITE "N16" ; +#IOBUF PORT "DRAM_nWE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCS" SITE "M15" ; + +#LOCATE COMP "DRAM_DQ[0]" SITE "P14" ; +#LOCATE COMP "DRAM_DQ[1]" SITE "R15" ; +#LOCATE COMP "DRAM_DQ[2]" SITE "N14" ; +#LOCATE COMP "DRAM_DQ[3]" SITE "R16" ; +#LOCATE COMP "DRAM_DQ[4]" SITE "J14" ; +#LOCATE COMP "DRAM_DQ[5]" SITE "P15" ; +#LOCATE COMP "DRAM_DQ[6]" SITE "K14" ; +#LOCATE COMP "DRAM_DQ[7]" SITE "P16" ; +#LOCATE COMP "DRAM_DQ[8]" SITE "D14" ; +#LOCATE COMP "DRAM_DQ[9]" SITE "H14" ; +#LOCATE COMP "DRAM_DQ[10]" SITE "H12" ; +#LOCATE COMP "DRAM_DQ[11]" SITE "H13" ; +#LOCATE COMP "DRAM_DQ[12]" SITE "E14" ; +#LOCATE COMP "DRAM_DQ[13]" SITE "H15" ; +#LOCATE COMP "DRAM_DQ[14]" SITE "J13" ; +#LOCATE COMP "DRAM_DQ[15]" SITE "J16" ; + +#LOCATE COMP "DRAM_DQM[0]" SITE "M13" ; +#IOBUF PORT "DRAM_DQM[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_DQM[1]" SITE "F14" ; +#IOBUF PORT "DRAM_DQM[1]" SLEWRATE=FAST ; + + + + +### USB (chip located on the motherboard) ### +#LOCATE COMP "USB_MB_TX" SITE "M11" ; +#IOBUF PORT "USB_MB_TX" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_RX" SITE "N12" ; +#IOBUF PORT "USB_MB_RX" PULLMODE=UP ; +#LOCATE COMP "USB_MB_RTS" SITE "N11" ; +#IOBUF PORT "USB_MB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_CTS" SITE "M12" ; +#IOBUF PORT "USB_MB_CTS" PULLMODE=UP ; + + +### PMOD1 ### +#LOCATE COMP "dbg_leds[16]" SITE "P1" ; +#LOCATE COMP "dbg_leds[17]" SITE "N4" ; +#LOCATE COMP "dbg_leds[18]" SITE "P2" ; +#LOCATE COMP "dbg_leds[19]" SITE "P5" ; +#LOCATE COMP "dbg_leds[20]" SITE "R1" ; +#LOCATE COMP "dbg_leds[21]" SITE "N5" ; +#LOCATE COMP "dbg_leds[22]" SITE "R2" ; +#LOCATE COMP "dbg_leds[23]" SITE "N6" ; + + +### PMOD2 ### +#LOCATE COMP "dbg_leds[24]" SITE "R3" ; +#LOCATE COMP "dbg_leds[25]" SITE "P11" ; +#LOCATE COMP "dbg_leds[26]" SITE "P12" ; +#LOCATE COMP "dbg_leds[27]" SITE "T3" ; +#LOCATE COMP "dbg_leds[28]" SITE "R4" ; +#LOCATE COMP "dbg_leds[29]" SITE "R12" ; +#LOCATE COMP "dbg_leds[30]" SITE "T13" ; +#LOCATE COMP "dbg_leds[31]" SITE "R5" ; + + +### PMOD3 ### +#LOCATE COMP "dbg_leds[8]" SITE "B2" ; +#LOCATE COMP "dbg_leds[9]" SITE "B3" ; +#LOCATE COMP "dbg_leds[10]" SITE "A4" ; +#LOCATE COMP "dbg_leds[11]" SITE "D4" ; +#LOCATE COMP "dbg_leds[12]" SITE "A2" ; +#LOCATE COMP "dbg_leds[13]" SITE "B4" ; +#LOCATE COMP "dbg_leds[14]" SITE "C3" ; +#LOCATE COMP "dbg_leds[15]" SITE "C4" ; + + +### PMOD4 ### +#LOCATE COMP "dbg_leds[0]" SITE "J4" ; +#LOCATE COMP "dbg_leds[1]" SITE "J5" ; +#LOCATE COMP "dbg_leds[2]" SITE "H4" ; +#LOCATE COMP "dbg_leds[3]" SITE "E4" ; +#LOCATE COMP "dbg_leds[4]" SITE "J3" ; +#LOCATE COMP "dbg_leds[5]" SITE "H3" ; +#LOCATE COMP "dbg_leds[6]" SITE "E3" ; +#LOCATE COMP "dbg_leds[7]" SITE "D3" ; + + +### Ethernet ### +#LOCATE COMP "ETH_CLK_EN" SITE "B1" ; +#LOCATE COMP "ETH_nRESET" SITE "C2" ; + +#LOCATE COMP "ETH_nLED_Y" SITE "F1" ; +#LOCATE COMP "ETH_nLED_G" SITE "G2" ; + +#LOCATE COMP "ETH_MDC" SITE "J1" ; +#LOCATE COMP "ETH_MDIO" SITE "H2" ; +#IOBUF PORT "ETH_MDIO" OPENDRAIN=ON SLEWRATE=FAST ; +#LOCATE COMP "ETH_MDINT" SITE "G1" ; +#IOBUF PORT "ETH_MDINT" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_REF_CLK" SITE "P3" ; + +#LOCATE COMP "ETH_TX_CLK" SITE "M4" ; +#IOBUF PORT "ETH_TX_CLK" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TX_CTL" SITE "N3" ; +#IOBUF PORT "ETH_TX_CTL" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[0]" SITE "M3" ; +#IOBUF PORT "ETH_TXD[0]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[1]" SITE "L4" ; +#IOBUF PORT "ETH_TXD[1]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[2]" SITE "K4" ; +#IOBUF PORT "ETH_TXD[2]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[3]" SITE "K3" ; +#IOBUF PORT "ETH_TXD[3]" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_RX_CLK" SITE "K1" ; +#LOCATE COMP "ETH_RX_CTL" SITE "K2" ; +#LOCATE COMP "ETH_RXD[0]" SITE "L1" ; +#LOCATE COMP "ETH_RXD[1]" SITE "L2" ; +#LOCATE COMP "ETH_RXD[2]" SITE "M1" ; +#LOCATE COMP "ETH_RXD[3]" SITE "M2" ; + + +### Extras ### +#LOCATE COMP "EXT[1]" SITE "P13" ; +#LOCATE COMP "EXT[2]" SITE "R13" ; +#LOCATE COMP "EXT[3]" SITE "A3" ; +#LOCATE COMP "EXT[4]" SITE "A5" ; +#LOCATE COMP "EXT[5]" SITE "B5" ; +#LOCATE COMP "EXT[6]" SITE "C5" ; +#LOCATE COMP "EXT[7]" SITE "C6" ; +#LOCATE COMP "EXT[8]" SITE "D5" ; +#LOCATE COMP "EXT[9]" SITE "D6" ; +#LOCATE COMP "EXT[10]" SITE "A11" ; +#LOCATE COMP "EXT[11]" SITE "A12" ; +#LOCATE COMP "EXT[12]" SITE "B8" ; +#LOCATE COMP "EXT[13]" SITE "B9" ; +#LOCATE COMP "EXT[14]" SITE "B11" ; +#LOCATE COMP "EXT[15]" SITE "C9" ; +#LOCATE COMP "EXT[16]" SITE "C11" ; +#LOCATE COMP "EXT[17]" SITE "D11" ; +#LOCATE COMP "EXT[18]" SITE "D12" ; +#LOCATE COMP "EXT[19]" SITE "E10" ; +#LOCATE COMP "EXT[20]" SITE "E11" ; +#LOCATE COMP "EXT[21]" SITE "E12" ; +#LOCATE COMP "EXT[22]" SITE "L3" ; +#LOCATE COMP "EXT[23]" SITE "M6" ; +#LOCATE COMP "EXT[24]" SITE "N1" ; +#LOCATE COMP "EXT[25]" SITE "P4" ; +#LOCATE COMP "EXT[26]" SITE "P6" ; +#LOCATE COMP "EXT[27]" SITE "T2" ; +#LOCATE COMP "EXT[28]" SITE "T4" ; +#LOCATE COMP "EXT[29]" SITE "E2" ; +#LOCATE COMP "EXT[30]" SITE "F2" ; +#LOCATE COMP "EXT[31]" SITE "F5" ; +#LOCATE COMP "EXT[32]" SITE "G4" ; +#LOCATE COMP "EXT[33]" SITE "G5" ; +#LOCATE COMP "EXT[34]" SITE "J2" ; diff --git a/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.ucf b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.ucf new file mode 100644 index 0000000..dae497e --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.ucf @@ -0,0 +1,57 @@ +#------------------------------------------------------------------------------- +# Clock and reset +# +NET "reset_n" LOC = "D3" | PULLUP; +NET "clock" LOC = "A10"; + +#------------------------------------------------------------------------------- +# Buttons & LEDs +# +NET "selSinCos_n" LOC = "A15" | PULLUP; +#NET "button2_n" LOC = "D3" | PULLUP; +NET "LED1" LOC = "B16"; +NET "LED2" LOC = "A16"; + +#------------------------------------------------------------------------------- +# Sigma-delta outputs +# +#NET "xOut" LOC = "G4" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW ; +#NET "yOut" LOC = "G5" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW ; +NET "xOut" LOC = "G4" ; +NET "yOut" LOC = "G5" ; + +#------------------------------------------------------------------------------- +# Serial ports, Rx, Tx defined with FPGA as master +# +NET "rxd0" LOC = "V2" ; # female DB9 on J9 +NET "txd0" LOC = "T1" ; +#NET "rxd1" LOC = "U1" ; # male DB9 on J10 +#NET "txd1" LOC = "P1" ; + +#------------------------------------------------------------------------------- +# Debug +# +NET "spare<1>" LOC = "F8" ; +NET "spare<2>" LOC = "F7" ; +NET "spare<3>" LOC = "F9" ; +NET "spare<4>" LOC = "G9" ; +NET "spare<5>" LOC = "E8" ; +NET "spare<6>" LOC = "E7" ; +NET "spare<7>" LOC = "B14"; +NET "spare<8>" LOC = "B13"; +NET "spare<9>" LOC = "B11"; +NET "spare<10>" LOC = "A8" ; +NET "spare<11>" LOC = "C7" ; +NET "spare<12>" LOC = "A14"; +NET "spare<13>" LOC = "A11"; +NET "spare<14>" LOC = "A13"; +NET "spare<15>" LOC = "D7" ; +NET "spare<16>" LOC = "E9" ; +NET "spare<17>" LOC = "F11"; + + +#------------------------------------------------------------------------------- +# Globals +# +#NET "*" IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +NET "*" IOSTANDARD = LVCMOS33; diff --git a/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.vhd b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.vhd new file mode 100644 index 0000000..75eb9c5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/concat/systemOnChip.vhd @@ -0,0 +1,6031 @@ +-- VHDL Entity Board.SoC_ebs3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:21:25 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY SoC_ebs3 IS + PORT( + RxD : IN std_ulogic; + clock : IN std_ulogic; + reset_N : IN std_ulogic; + selSinCos_n : IN std_ulogic; + LED1 : OUT std_ulogic; + LED2 : OUT std_ulogic; + TxD : OUT std_ulogic; + spare : OUT std_ulogic_vector (1 TO 17); + xOut : OUT std_ulogic; + yOut : OUT std_ulogic + ); + +-- Declarations + +END SoC_ebs3 ; + + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- VHDL Entity SystemOnChip.beamerSoc.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY beamerSoc IS + GENERIC( + ioNb : positive := 8; + testOutBitNb : positive := 16; + patternAddressBitNb : positive := 9 + ); + PORT( + TxD : OUT std_ulogic; + RxD : IN std_ulogic; + outX : OUT std_ulogic; + outY : OUT std_ulogic; + selSinCos : IN std_ulogic; + reset : IN std_ulogic; + clock : IN std_ulogic; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + testOut : OUT std_ulogic_vector (1 TO testOutBitNb) + ); + +-- Declarations + +END beamerSoc ; + + + + + +LIBRARY ieee; + USE ieee.std_logic_1164.all; + +PACKAGE ahbLite IS + ------------------------------------------------------------------------------ + -- bus components sizes + constant ahbAddressBitNb : positive := 16; + constant ahbDataBitNb : positive := 16; + constant ahbSlaveNb : positive := 16; + + constant ahbTransBitNb : positive := 2; + constant ahbSizeBitNb : positive := 1; + constant ahbBurstBitNb : positive := 3; + constant ahbProtBitNb : positive := 4; + + ------------------------------------------------------------------------------ + -- bus data vector type + subtype ahbDataType is std_logic_vector(ahbDataBitNb-1 downto 0); + type ahbDataVector is array(1 to ahbSlaveNb) of ahbDataType; + + ------------------------------------------------------------------------------ + -- address decoder + type ahbMemoryLocationType is + record + baseAddress: natural; + addressMask: natural; + end record; + type ahbMemoryLocationVector is array(1 to ahbSlaveNb) of ahbMemoryLocationType; + + ------------------------------------------------------------------------------ + -- bus signals + subtype transferType is std_ulogic_vector(ahbTransBitNb-1 downto 0); + constant transIdle : transferType := "00"; + constant transBusy : transferType := "01"; + constant transNonSeq: transferType := "10"; + constant transSeq : transferType := "11"; + + subtype transferSizeType is std_ulogic_vector(ahbSizeBitNb-1 downto 0); + constant size8 : transferSizeType := "0"; + constant size16 : transferSizeType := "1"; + + subtype burstType is std_ulogic_vector(ahbBurstBitNb-1 downto 0); + constant burstSingle : burstType := "000"; + constant burstIncr : burstType := "001"; + constant burstWrap4 : burstType := "010"; + constant burstIncr4 : burstType := "011"; + constant burstWrap8 : burstType := "100"; + constant burstIncr8 : burstType := "101"; + constant burstWrap16 : burstType := "110"; + constant burstIncr16 : burstType := "111"; + + subtype protectionType is std_ulogic_vector(ahbProtBitNb-1 downto 0); + constant protDefault : protectionType := "0011"; + + ------------------------------------------------------------------------------ + -- log2 + function addressBitNb (addressNb : natural) return natural; + +END ahbLite; + + + + +PACKAGE BODY ahbLite IS + + function addressBitNb (addressNb : natural) return natural is + variable powerOfTwo, bitNb : natural; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= addressNb loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end addressBitNb; + +END ahbLite; + + + + +-- VHDL Entity SystemOnChip.ahbBeamer.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:22 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbBeamer IS + GENERIC( + patternAddressBitNb : positive := 9; + testOutBitNb : positive := 16 + ); + PORT( + outX : OUT std_ulogic; + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + outY : OUT std_ulogic; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + selSinCos : IN std_ulogic; + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic; + hSel : IN std_ulogic; + hReady : OUT std_ulogic; + hResp : OUT std_ulogic; + hClk : IN std_ulogic; + hReset_n : IN std_ulogic + ); + +-- Declarations + +END ahbBeamer ; + + + + + +-- VHDL Entity SystemOnChip.ahbBeamerOperator.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:21 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY ahbBeamerOperator IS + GENERIC( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT( + outX : OUT std_ulogic; + run : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + outY : OUT std_ulogic; + selSinCos : IN std_ulogic; + interpolateLin : IN std_ulogic; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + newPolynom : OUT std_ulogic + ); + +-- Declarations + +END ahbBeamerOperator ; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCoefficients.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:20 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCoefficients IS + GENERIC( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + +-- Declarations + +END interpolatorCoefficients ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCoefficients IS +BEGIN + + calcCoeffs: process(interpolateLinear, sample1, sample2, sample3, sample4) + begin + if interpolateLinear = '1' then + a <= (others => '0'); + b <= (others => '0'); + c <= resize(2*sample3, c'length) + - resize(2*sample2, c'length); + d <= resize( sample2, d'length); + else + a <= resize( sample4, a'length) + - resize(3*sample3, a'length) + + resize(3*sample2, a'length) + - resize( sample1, a'length); + b <= resize(2*sample1, b'length) + - resize(5*sample2, b'length) + + resize(4*sample3, b'length) + - resize( sample4, b'length); + c <= resize( sample3, c'length) + - resize( sample1, c'length); + d <= resize( sample2, d'length); + end if; + end process calcCoeffs; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity DigitalToAnalogConverter.DAC.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:06:08 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY DAC IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + serialOut : OUT std_ulogic; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END DAC ; + + + + + +ARCHITECTURE masterVersion OF DAC IS + + signal parallelIn1: unsigned(parallelIn'range); + signal integrator: unsigned(parallelIn'high+1 downto 0); + signal quantized: std_ulogic; + +BEGIN + +-- parallelIn1 <= parallelIn; + parallelIn1 <= parallelIn/2 + 2**(parallelIn'length-2); + + integrate: process(reset, clock) + begin + if reset = '1' then + integrator <= (others => '0'); + elsif rising_edge(clock) then + if quantized = '0' then + integrator <= integrator + parallelIn1; + else + integrator <= integrator + parallelIn1 - 2**parallelIn'length; + end if; + end if; + end process integrate; + + quantized <= integrator(integrator'high); + + serialOut <= quantized; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.offsetToUnsigned.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:32 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY offsetToUnsigned IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END offsetToUnsigned ; + + + + + +ARCHITECTURE masterVersion OF offsetToUnsigned IS + +BEGIN + + unsignedOut <= not(signedIn(signedIn'high)) & unsigned(signedIn(signedIn'high-1 downto 0)); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity WaveformGenerator.sawtoothGen.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:02:49 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sawtoothGen IS + GENERIC( + bitNb : positive := 16 + ); + PORT( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END sawtoothGen ; + + + + + +ARCHITECTURE masterVersion OF sawtoothGen IS + + signal counter: unsigned(sawtooth'range); + +begin + + count: process(reset, clock) + begin + if reset = '1' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + counter <= counter + step; + end if; + end if; + end process count; + + sawtooth <= counter; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorCalculatePolynom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorCalculatePolynom IS + GENERIC( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + restartPolynom : IN std_ulogic; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorCalculatePolynom ; + + + + + +ARCHITECTURE masterVersion OF interpolatorCalculatePolynom IS + + constant additionalBitNb: positive := 1; + constant internalsBitNb: positive := signalBitNb + 3*oversamplingBitNb + 1 + + additionalBitNb; + signal x: signed(internalsBitNb-1 downto 0); + signal u: signed(internalsBitNb-1 downto 0); + signal v: signed(internalsBitNb-1 downto 0); + signal w: signed(internalsBitNb-1 downto 0); + +BEGIN + + iterativePolynom: process(reset, clock) + begin + if reset = '1' then + x <= (others => '0'); + u <= (others => '0'); + v <= (others => '0'); + w <= (others => '0'); + sampleOut <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if restartPolynom = '1' then + x <= shift_left(resize(2*d, x'length), 3*oversamplingBitNb); + u <= resize(a, u'length) + + shift_left(resize(b, u'length), oversamplingBitNb) + + shift_left(resize(c, u'length), 2*oversamplingBitNb); + v <= resize(6*a, v'length) + + shift_left(resize(2*b, v'length), oversamplingBitNb); + w <= resize(6*a, w'length); + sampleOut <= resize(d, sampleOut'length); + else + x <= x + u; + u <= u + v; + v <= v + w; + sampleOut <= resize( + shift_right(x, 3*oversamplingBitNb+1), sampleOut'length + ); + -- limit overflow + if x(x'high downto x'high-additionalBitNb) = "01" then + sampleOut <= not shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + -- limit underflow + if x(x'high downto x'high-additionalBitNb) = "10" then + sampleOut <= shift_left( + resize("10", sampleOut'length), sampleOut'length-2 + ); + end if; + end if; + end if; + end if; + end process iterativePolynom; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.sinCosTable.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY sinCosTable IS + GENERIC( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0); + cosine : OUT signed (outputBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END sinCosTable ; + + + + + +ARCHITECTURE masterVersion OF sinCosTable IS + + signal changeSignSine : std_uLogic; + signal changeSignCosine : std_uLogic; + signal flipPhase : std_uLogic; + signal phaseTableAddress1 : unsigned(tableAddressBitNb-1 downto 0); + signal phaseTableAddress2 : unsigned(phaseTableAddress1'range); + signal quarterSine : signed(sine'range); + signal quarterCosine : signed(cosine'range); + +BEGIN + + changeSignSine <= phase(phase'high); + changeSignCosine <= phase(phase'high) xor phase(phase'high-1); + flipPhase <= phase(phase'high-1); + + phaseTableAddress1 <= phase(phase'high-2 downto phase'high-2-tableAddressBitNb+1); + + checkPhase: process(flipPhase, phaseTableAddress1) + begin + if flipPhase = '0' then + phaseTableAddress2 <= phaseTableAddress1; + else + phaseTableAddress2 <= 0 - phaseTableAddress1; + end if; + end process checkPhase; + + + quarterTableSine: process(phaseTableAddress2, flipPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if flipPhase = '0' then + quarterSine <= to_signed(16#0000#, quarterSine'length); + else + quarterSine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 1 => quarterSine <= to_signed(16#18F9#, quarterSine'length); + when 2 => quarterSine <= to_signed(16#30FB#, quarterSine'length); + when 3 => quarterSine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterSine <= to_signed(16#5A82#, quarterSine'length); + when 5 => quarterSine <= to_signed(16#6A6D#, quarterSine'length); + when 6 => quarterSine <= to_signed(16#7641#, quarterSine'length); + when 7 => quarterSine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterSine <= (others => '-'); + end case; + end process quarterTableSine; + + + quarterTableCosine: process(phaseTableAddress2, flipPhase) + begin + case to_integer(phaseTableAddress2) is + when 0 => if flipPhase = '1' then + quarterCosine <= to_signed(16#0000#, quarterSine'length); + else + quarterCosine <= to_signed(16#7FFF#, quarterSine'length); + end if; + when 7 => quarterCosine <= to_signed(16#18F9#, quarterSine'length); + when 6 => quarterCosine <= to_signed(16#30FB#, quarterSine'length); + when 5 => quarterCosine <= to_signed(16#471C#, quarterSine'length); + when 4 => quarterCosine <= to_signed(16#5A82#, quarterSine'length); + when 3 => quarterCosine <= to_signed(16#6A6D#, quarterSine'length); + when 2 => quarterCosine <= to_signed(16#7641#, quarterSine'length); + when 1 => quarterCosine <= to_signed(16#7D89#, quarterSine'length); + when others => quarterCosine <= (others => '-'); + end case; + end process quarterTableCosine; + + + checkSignSine: process(changeSignSine, quarterSine) + begin + if changeSignSine = '0' then + sine <= quarterSine; + else + sine <= 0 - quarterSine; + end if; + end process checkSignSine; + + + checkSignCosine: process(changeSignCosine, quarterCosine) + begin + if changeSignCosine = '0' then + cosine <= quarterCosine; + else + cosine <= 0 - quarterCosine; + end if; + end process checkSignCosine; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.periphSpeedController.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphSpeedController IS + GENERIC( + updatePeriodBitNb : positive := 16 + ); + PORT( + enableOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + enableIn : IN std_ulogic + ); + +-- Declarations + +END periphSpeedController ; + + + + + +ARCHITECTURE masterVersion OF periphSpeedController IS + + signal enableCounter: unsigned(updatePeriod'range); + signal endOfCount: std_uLogic; + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + enableCounter <= (others => '0'); + elsif rising_edge(clock) then + if (endOfCount = '0') and (enableIn = '1') then + enableCounter <= enableCounter - 1; + else + enableCounter <= updatePeriod; + end if; + end if; + end process count; + + testEndOfCount: process(enableCounter) + begin + if enableCounter = 0 then + endOfCount <= '1'; + else + endOfCount <= '0'; + end if; + end process testEndOfCount; + + enableOut <= endOfCount and enableIn; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SplineInterpolator.interpolatorShiftRegister.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:24 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorShiftRegister IS + GENERIC( + signalBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset : IN std_ulogic; + shiftSamples : IN std_ulogic; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END interpolatorShiftRegister ; + + + + + +ARCHITECTURE masterVersion OF interpolatorShiftRegister IS + + -- signal sample4_int: signed(sampleIn'range); + -- signal sample3_int: signed(sampleIn'range); + -- signal sample2_int: signed(sampleIn'range); + -- signal sample1_int: signed(sampleIn'range); + + type samplesArray is array(3 downto 0) of signed(sampleIn'range); + signal samples: samplesArray; + +begin + + shiftThem: process(reset, clock) + begin + if reset = '1' then + samples <= (others=>(others=>'0')); + -- sample1_int <= (others => '0'); + -- sample2_int <= (others => '0'); + -- sample3_int <= (others => '0'); + -- sample4_int <= (others => '0'); + elsif rising_edge(clock) then + if shiftSamples = '1' then + -- sample1_int <= sample2_int; + -- sample2_int <= sample3_int; + -- sample3_int <= sample4_int; + -- sample4_int <= sampleIn; + samples(0) <= samples(1); + samples(1) <= samples(2); + samples(2) <= samples(3); + samples(3) <= sampleIn; + end if; + end if; + end process shiftThem; + + sample4 <= samples(3); + sample3 <= samples(2); + sample2 <= samples(1); + sample1 <= samples(0); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SplineInterpolator.interpolatorTrigger.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:00:28 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY interpolatorTrigger IS + GENERIC( + counterBitNb : positive := 4 + ); + PORT( + triggerOut : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + en : IN std_ulogic + ); + +-- Declarations + +END interpolatorTrigger ; + + + + + +ARCHITECTURE masterVersion OF interpolatorTrigger IS + + signal triggerCounter: unsigned(counterBitNb-1 downto 0); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + triggerCounter <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + triggerCounter <= triggerCounter + 1; + end if; + end if; + end process count; + + trig: process(triggerCounter, en) + begin + if triggerCounter = 0 then + triggerOut <= en; + else + triggerOut <= '0'; + end if; + end process trig; + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamerOperator.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:01:08 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +-- LIBRARY DigitalToAnalogConverter; +-- LIBRARY SplineInterpolator; +-- LIBRARY SystemOnChip; +-- LIBRARY WaveformGenerator; + +ARCHITECTURE struct OF ahbBeamerOperator IS + + -- Architecture declarations + constant coeffBitNb : positive := signalBitNb+3+1; + constant sampleCountBitNb : positive := 8; + constant patternAddressBitNb : positive := 8; + -- sinewave generator + constant tableAddressBitNb : positive := 3; + constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2; + + -- Internal signal declarations + SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0); + SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0); + SIGNAL interpolateLinear : std_ulogic; + SIGNAL interpolationEn : std_ulogic; + SIGNAL interpolationEnable : std_ulogic; + SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0); + SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0); + SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0); + SIGNAL sine : signed(signalBitNb-1 DOWNTO 0); + SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0); + SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0); + SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0); + + -- Implicit buffer signal declarations + SIGNAL newPolynom_internal : std_ulogic; + + + -- Component Declarations + COMPONENT DAC + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + serialOut : OUT std_ulogic ; + parallelIn : IN unsigned (signalBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCalculatePolynom + GENERIC ( + signalBitNb : positive := 16; + coeffBitNb : positive := 16; + oversamplingBitNb : positive := 8 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + restartPolynom : IN std_ulogic ; + d : IN signed (coeffBitNb-1 DOWNTO 0); + sampleOut : OUT signed (signalBitNb-1 DOWNTO 0); + c : IN signed (coeffBitNb-1 DOWNTO 0); + b : IN signed (coeffBitNb-1 DOWNTO 0); + a : IN signed (coeffBitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorCoefficients + GENERIC ( + bitNb : positive := 16; + coeffBitNb : positive := 16 + ); + PORT ( + sample1 : IN signed (bitNb-1 DOWNTO 0); + sample2 : IN signed (bitNb-1 DOWNTO 0); + sample3 : IN signed (bitNb-1 DOWNTO 0); + sample4 : IN signed (bitNb-1 DOWNTO 0); + a : OUT signed (coeffBitNb-1 DOWNTO 0); + b : OUT signed (coeffBitNb-1 DOWNTO 0); + c : OUT signed (coeffBitNb-1 DOWNTO 0); + d : OUT signed (coeffBitNb-1 DOWNTO 0); + interpolateLinear : IN std_ulogic + ); + END COMPONENT; + COMPONENT interpolatorShiftRegister + GENERIC ( + signalBitNb : positive := 16 + ); + PORT ( + clock : IN std_ulogic ; + reset : IN std_ulogic ; + shiftSamples : IN std_ulogic ; + sampleIn : IN signed (signalBitNb-1 DOWNTO 0); + sample1 : OUT signed (signalBitNb-1 DOWNTO 0); + sample2 : OUT signed (signalBitNb-1 DOWNTO 0); + sample3 : OUT signed (signalBitNb-1 DOWNTO 0); + sample4 : OUT signed (signalBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT interpolatorTrigger + GENERIC ( + counterBitNb : positive := 4 + ); + PORT ( + triggerOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + en : IN std_ulogic + ); + END COMPONENT; + COMPONENT offsetToUnsigned + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + unsignedOut : OUT unsigned (bitNb-1 DOWNTO 0); + signedIn : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT periphSpeedController + GENERIC ( + updatePeriodBitNb : positive := 16 + ); + PORT ( + enableOut : OUT std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + enableIn : IN std_ulogic + ); + END COMPONENT; + COMPONENT sinCosTable + GENERIC ( + inputBitNb : positive := 16; + outputBitNb : positive := 16; + tableAddressBitNb : positive := 3 + ); + PORT ( + sine : OUT signed (outputBitNb-1 DOWNTO 0); + phase : IN unsigned (inputBitNb-1 DOWNTO 0); + cosine : OUT signed (outputBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT sawtoothGen + GENERIC ( + bitNb : positive := 16 + ); + PORT ( + sawtooth : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic ; + step : IN unsigned (bitNb-1 DOWNTO 0); + en : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DAC USE ENTITY DigitalToAnalogConverter.DAC; +-- FOR ALL : interpolatorCalculatePolynom USE ENTITY SplineInterpolator.interpolatorCalculatePolynom; +-- FOR ALL : interpolatorCoefficients USE ENTITY SplineInterpolator.interpolatorCoefficients; +-- FOR ALL : interpolatorShiftRegister USE ENTITY SplineInterpolator.interpolatorShiftRegister; +-- FOR ALL : interpolatorTrigger USE ENTITY SplineInterpolator.interpolatorTrigger; +-- FOR ALL : offsetToUnsigned USE ENTITY SplineInterpolator.offsetToUnsigned; +-- FOR ALL : periphSpeedController USE ENTITY SystemOnChip.periphSpeedController; +-- FOR ALL : sawtoothGen USE ENTITY WaveformGenerator.sawtoothGen; +-- FOR ALL : sinCosTable USE ENTITY SystemOnChip.sinCosTable; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + samplesY <= sine when selSinCos = '1' + else signed(memY); + + -- HDL Embedded Text Block 2 eb2 + samplesX <= cosine when selSinCos = '1' + else signed(memX); + + -- HDL Embedded Text Block 4 eb4 + step <= to_unsigned(1, step'length); + + -- HDL Embedded Text Block 5 eb5 + interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; + interpolateLinear <= '0' when selSinCos = '1' + else interpolateLin; + --interpolateLinear <= interpolateLin; + + + -- Instance port mappings. + I_dacx : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => outX, + parallelIn => unsignedX, + clock => clock, + reset => reset + ); + I_dacy : DAC + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + serialOut => outY, + parallelIn => unsignedY, + clock => clock, + reset => reset + ); + I_polyx : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom_internal, + d => dX, + sampleOut => sampleX, + c => cX, + b => bX, + a => aX, + en => interpolationEnable + ); + I_polyy : interpolatorCalculatePolynom + GENERIC MAP ( + signalBitNb => signalBitNb, + coeffBitNb => coeffBitNb, + oversamplingBitNb => sampleCountBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + restartPolynom => newPolynom_internal, + d => dY, + sampleOut => sampleY, + c => cY, + b => bY, + a => aY, + en => interpolationEnable + ); + I_coeffx : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sampleX1, + sample2 => sampleX2, + sample3 => sampleX3, + sample4 => sampleX4, + a => aX, + b => bX, + c => cX, + d => dX, + interpolateLinear => interpolateLinear + ); + I_coeffy : interpolatorCoefficients + GENERIC MAP ( + bitNb => signalBitNb, + coeffBitNb => coeffBitNb + ) + PORT MAP ( + sample1 => sampleY1, + sample2 => sampleY2, + sample3 => sampleY3, + sample4 => sampleY4, + a => aY, + b => bY, + c => cY, + d => dY, + interpolateLinear => interpolateLinear + ); + I_srx : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom_internal, + sampleIn => samplesX, + sample1 => sampleX1, + sample2 => sampleX2, + sample3 => sampleX3, + sample4 => sampleX4 + ); + I_sry : interpolatorShiftRegister + GENERIC MAP ( + signalBitNb => signalBitNb + ) + PORT MAP ( + clock => clock, + reset => reset, + shiftSamples => newPolynom_internal, + sampleIn => samplesY, + sample1 => sampleY1, + sample2 => sampleY2, + sample3 => sampleY3, + sample4 => sampleY4 + ); + I_trig : interpolatorTrigger + GENERIC MAP ( + counterBitNb => sampleCountBitNb + ) + PORT MAP ( + triggerOut => newPolynom_internal, + clock => clock, + reset => reset, + en => interpolationEnable + ); + I_offsx : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => unsignedX, + signedIn => sampleX + ); + I_offsy : offsetToUnsigned + GENERIC MAP ( + bitNb => signalBitNb + ) + PORT MAP ( + unsignedOut => unsignedY, + signedIn => sampleY + ); + I_speed : periphSpeedController + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb + ) + PORT MAP ( + enableOut => interpolationEn, + clock => clock, + reset => reset, + updatePeriod => updatePeriod, + enableIn => run + ); + I_sin : sinCosTable + GENERIC MAP ( + inputBitNb => phaseBitNb, + outputBitNb => signalBitNb, + tableAddressBitNb => tableAddressBitNb + ) + PORT MAP ( + sine => sine, + phase => phase, + cosine => cosine + ); + I_phase : sawtoothGen + GENERIC MAP ( + bitNb => phaseBitNb + ) + PORT MAP ( + sawtooth => phase, + clock => clock, + reset => reset, + step => step, + en => interpolationEnable + ); + + -- Implicit buffered output assignments + newPolynom <= newPolynom_internal; + +END struct; + + + + +-- VHDL Entity SystemOnChip.ahbBeamerRegisters.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:21 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbBeamerRegisters IS + GENERIC( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16; + patternAddressBitNb : positive := 8; + testOutBitNb : positive := 16 + ); + PORT( + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + run : OUT std_ulogic; + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + interpolateLin : OUT std_ulogic; + newPolynom : IN std_ulogic; + hClk : IN std_ulogic; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + hReset_n : IN std_ulogic; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic; + hSel : IN std_ulogic; + hReady : OUT std_ulogic; + hResp : OUT std_ulogic + ); + +-- Declarations + +END ahbBeamerRegisters ; + + + + + +-- VHDL Entity SystemOnChip.blockRAMAddressCounter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAMAddressCounter IS + GENERIC( + addressBitNb : positive := 8 + ); + PORT( + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + updateMem : IN std_ulogic; + addr : OUT unsigned (addressBitNb-1 DOWNTO 0); + patternSize : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END blockRAMAddressCounter ; + + + + + +ARCHITECTURE masterVersion OF blockRAMAddressCounter IS + + signal updateMemDelayed : std_ulogic; + signal updateMemChanging : std_ulogic; + signal addressInt : unsigned(addr'range); + +BEGIN + + delay: process(reset, clock) + begin + if reset = '1' then + updateMemDelayed <= '0'; + elsif rising_edge(clock) then + updateMemDelayed <= updateMem; + end if; + end process delay; + + updateMemChanging <= '1' when (updateMem /= updateMemDelayed) + else '0'; + + count: process(reset, clock) + begin + if reset = '1' then + addressInt <= (others => '0'); + elsif rising_edge(clock) then + if updateMemChanging = '1' then + addressInt <= (others => '0'); + elsif en = '1' then + if updateMem = '1' then + addressInt <= addressInt + 1; + else + if addressInt < patternSize - 1 then + addressInt <= addressInt + 1; + else + addressInt <= (others => '0'); + end if; + end if; + end if; + end if; + end process count; + + addr <= addressInt; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.periphControlReg.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphControlReg IS + GENERIC( + dataBitNb : positive := 16; + patternSizeBitNb : positive := 8 + ); + PORT( + run : OUT std_ulogic; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + updatePattern : OUT std_ulogic; + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + write : IN std_ulogic; + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + interpolateLinear : OUT std_ulogic; + patternSize : OUT unsigned (patternSizeBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END periphControlReg ; + + + + + +ARCHITECTURE masterVersion OF periphControlReg IS + + constant runId : natural := 0; + constant updatePatternId : natural := 1; + constant interpolateLinearId : natural := 2; + constant patternSizeHighId : natural := 15; + + signal runInt : std_ulogic; + signal updatePatternInt : std_ulogic; + signal interpolateLinearInt : std_ulogic; + signal patternSizeInt : std_ulogic_vector(patternSize'range); + + signal dataOutReg : std_ulogic_vector(dataOut'range); + +BEGIN + + store: process(reset, clock) + begin + if reset = '1' then + runInt <= '0'; + updatePatternInt <= '0'; + interpolateLinearInt <= '0'; + patternSizeInt <= (others => '0'); + elsif rising_edge(clock) then + if (en = '1') and (write = '1') then + runInt <= dataIn(runId); + updatePatternInt <= dataIn(updatePatternId); + interpolateLinearInt <= dataIn(interpolateLinearId); + patternSizeInt <= + dataIn(patternSizeHighId downto patternSizeHighId-patternSize'length+1); + end if; + end if; + end process store; + + run <= runInt; + updatePattern <= updatePatternInt; + interpolateLinear <= interpolateLinearInt; + patternSize <= unsigned(patternSizeInt); + + process(runInt, updatePatternInt, interpolateLinearInt, patternSizeInt) + begin + dataOutReg <= (others => '-'); + dataOutReg(runId) <= runInt; + dataOutReg(updatePatternId) <= updatePatternInt; + dataOutReg(interpolateLinearId) <= interpolateLinearInt; + dataOutReg(patternSizeHighId downto patternSizeHighId-patternSize'length+1) + <= patternSizeInt; + end process; + + dataOut <= std_logic_vector(dataOutReg) when en = '1' + else (others => 'Z'); + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity SystemOnChip.blockRAMControl.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAMControl IS + PORT( + memWr : OUT std_ulogic; + sel : IN std_ulogic; + memEn : OUT std_ulogic; + update : IN std_ulogic; + wr : IN std_ulogic; + cntIncr : OUT std_ulogic; + newSample : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END blockRAMControl ; + + + + + +ARCHITECTURE masterVersion OF blockRAMControl IS + + signal writeDelayed: std_ulogic; + signal writePulse: std_ulogic; + signal memWr1: std_ulogic; + +BEGIN + + delayWrPulse: process(reset, clock) + begin + if reset = '1' then + writeDelayed <= '0'; + elsif rising_edge(clock) then + writeDelayed <= wr; + end if; + end process delayWrPulse; + + writePulse <= '1' when (wr='1') and (writeDelayed='0') + else '0'; + + cntIncr <= '1' when ( (update = '0') and (newSample = '1') ) + or ( (update = '1') and (writePulse = '1') and (sel = '1') ) + else '0'; + + memWr1 <= '1' when (update = '1') and (writePulse = '1') and (sel = '1') + else '0'; + +-- delayMemWrPulse: process(reset, clock) +-- begin +-- if reset = '1' then +-- memWr <= '0'; +-- elsif rising_edge(clock) then +-- memWr <= memWr1; +-- end if; +-- end process delayMemWrPulse; + memWr <= memWr1; + + memEn <= '1' when (sel = '1') or (update = '0') + else '0'; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.periphAddressDecoder.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphAddressDecoder IS + GENERIC( + addressBitNb : positive := 24 + ); + PORT( + selControl : OUT std_ulogic; + addr : IN unsigned (addressBitNb-1 DOWNTO 0); + selSpeed : OUT std_ulogic; + selX : OUT std_ulogic; + selY : OUT std_ulogic; + selZ : OUT std_ulogic + ); + +-- Declarations + +END periphAddressDecoder ; + + + + + +ARCHITECTURE masterVersion OF periphAddressDecoder IS +BEGIN + + decode: process(addr) + begin + selControl <= '0'; + selSpeed <= '0'; + selX <= '0'; + selY <= '0'; + selZ <= '0'; + case to_integer(addr(3 downto 0)) is + when 16#00# => selControl <= '1'; + when 16#01# => selSpeed <= '1'; + when 16#02# => selX <= '1'; + when 16#03# => selY <= '1'; + when 16#04# => selZ <= '1'; + when others => null; + end case; + end process decode; + +END ARCHITECTURE masterVersion; + + + + + +-- VHDL Entity SystemOnChip.blockRAM.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY blockRAM IS + GENERIC( + addressBitNb : positive := 8; + dataBitNb : positive := 16; + initFileSpec : string := "ramInit.txt" + ); + PORT( + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + write : IN std_ulogic; + addr : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END blockRAM ; + + + + + +USE std.textio.all; + +ARCHITECTURE Spartan3E OF blockRAM IS + + subtype registerType is std_ulogic_vector(dataBitNb-1 downto 0); + type memoryType is array (0 to 2**addressBitNb-1) of registerType; + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFileSpec : in string) return memoryType is + FILE ramContentFile : text open read_mode is ramContentFileSpec; + variable ramContentFileLine : line; + variable ramContent : memoryType; + variable ramCurrentWord : bit_vector(registerType'range); + variable index : natural := 0; --241; + begin + for index in ramContent'range loop +-- while not endfile(ramContentFile) loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramCurrentWord); + ramContent(index) := std_ulogic_vector(to_stdlogicvector(ramCurrentWord)); +-- index := index + 1; + end loop; + return ramContent; + end function; + + shared variable memoryArray: memoryType := ReadRamContentFromFile(initFileSpec); + +BEGIN + + portA: process(clock) + begin + if rising_edge(clock) then + if (en = '1') then + if (write = '1') then + memoryArray(to_integer(addr)) := dataIn; + dataOut <= dataIn; + else + dataOut <= memoryArray(to_integer(addr)); + end if; + end if; + end if; + end process portA; + +END ARCHITECTURE Spartan3E; + + + + +-- VHDL Entity SystemOnChip.periphSpeedReg.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY periphSpeedReg IS + GENERIC( + dataBitNb : positive := 16; + updatePeriodBitNb : positive := 16 + ); + PORT( + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + write : IN std_ulogic + ); + +-- Declarations + +END periphSpeedReg ; + + + + + +ARCHITECTURE masterVersion OF periphSpeedReg IS + + signal updatePeriodInt: unsigned(updatePeriod'range); + +BEGIN + + store: process(reset, clock) + begin + if reset = '1' then + updatePeriodInt <= (others => '0'); + elsif rising_edge(clock) then + if en = '1' then + if write = '1' then + updatePeriodInt <= unsigned(dataIn(updatePeriodInt'range)); + end if; + end if; + end if; + end process store; + + updatePeriod <= updatePeriodInt; + + writeBack: process(en, updatePeriodInt) + begin + if en = '1' then + dataOut <= (others => '-'); + dataOut(updatePeriodInt'range) <= std_logic_vector(updatePeriodInt); + else + dataOut <= (others => 'Z'); + end if; + end process writeBack; + +END ARCHITECTURE masterVersion; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamerRegisters.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:01:22 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; + +-- LIBRARY SystemOnChip; + +ARCHITECTURE struct OF ahbBeamerRegisters IS + + -- Architecture declarations + constant addressBitNb: positive := hAddr'length; + constant dataBitNb : positive := hWData'length; + + -- Internal signal declarations + SIGNAL clock : std_ulogic; + SIGNAL reset : std_ulogic; + SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL cntIncrX : std_ulogic; + SIGNAL cntIncrY : std_ulogic; + SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0); + SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0); + SIGNAL memEnX : std_ulogic; + SIGNAL memEnY : std_ulogic; + SIGNAL memWrX : std_ulogic; + SIGNAL memWrY : std_ulogic; + SIGNAL patternSize : unsigned(patternAddressBitNb-1 DOWNTO 0); + SIGNAL selControl : std_ulogic; + SIGNAL selSpeed : std_ulogic; + SIGNAL selX : std_ulogic; + SIGNAL selY : std_ulogic; + SIGNAL updatePattern : std_ulogic; + SIGNAL write : std_ulogic; + SIGNAL memDataIn : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL run_int : std_ulogic; + SIGNAL interpolateLin_int : std_ulogic; + + + -- Component Declarations + COMPONENT blockRAM + GENERIC ( + addressBitNb : positive := 8; + dataBitNb : positive := 16; + initFileSpec : string := "ramInit.txt" + ); + PORT ( + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + write : IN std_ulogic ; + addr : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT blockRAMAddressCounter + GENERIC ( + addressBitNb : positive := 8 + ); + PORT ( + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + updateMem : IN std_ulogic ; + addr : OUT unsigned (addressBitNb-1 DOWNTO 0); + patternSize : IN unsigned (addressBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT blockRAMControl + PORT ( + memWr : OUT std_ulogic ; + sel : IN std_ulogic ; + memEn : OUT std_ulogic ; + update : IN std_ulogic ; + wr : IN std_ulogic ; + cntIncr : OUT std_ulogic ; + newSample : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + COMPONENT periphAddressDecoder + GENERIC ( + addressBitNb : positive := 24 + ); + PORT ( + selControl : OUT std_ulogic ; + addr : IN unsigned (addressBitNb-1 DOWNTO 0); + selSpeed : OUT std_ulogic ; + selX : OUT std_ulogic ; + selY : OUT std_ulogic ; + selZ : OUT std_ulogic + ); + END COMPONENT; + COMPONENT periphControlReg + GENERIC ( + dataBitNb : positive := 16; + patternSizeBitNb : positive := 8 + ); + PORT ( + run : OUT std_ulogic ; + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + updatePattern : OUT std_ulogic ; + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + write : IN std_ulogic ; + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + interpolateLinear : OUT std_ulogic ; + patternSize : OUT unsigned (patternSizeBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT periphSpeedReg + GENERIC ( + dataBitNb : positive := 16; + updatePeriodBitNb : positive := 16 + ); + PORT ( + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + write : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : blockRAM USE ENTITY SystemOnChip.blockRAM; +-- FOR ALL : blockRAMAddressCounter USE ENTITY SystemOnChip.blockRAMAddressCounter; +-- FOR ALL : blockRAMControl USE ENTITY SystemOnChip.blockRAMControl; +-- FOR ALL : periphAddressDecoder USE ENTITY SystemOnChip.periphAddressDecoder; +-- FOR ALL : periphControlReg USE ENTITY SystemOnChip.periphControlReg; +-- FOR ALL : periphSpeedReg USE ENTITY SystemOnChip.periphSpeedReg; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 3 eb3 + testout( 1) <= run_int; run <= run_int; + testout( 2) <= updatePattern; + testout( 3) <= interpolateLin_int; interpolateLin <= interpolateLin_int; + testout( 4) <= newPolynom; + testout( 5) <= newPolynom; + testout( 6) <= '0'; + testout( 7) <= '0'; + testout( 8) <= selControl; + testout( 9) <= selSpeed; + testout(10) <= selX; + testout(11) <= selY; + testout(12) <= '0'; + testout(13) <= addr(0); + testout(14) <= addr(1); + testout(15) <= dataIn(0); + testout(16) <= dataIn(1); + + -- HDL Embedded Text Block 8 eb8 + storeControls: process(reset, clock) + begin + if reset = '1' then + addr <= (others => '0'); + write <= '0'; + elsif rising_edge(clock) then + write <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addr <= hAddr(addr'range); + write <= hWrite; + end if; + end if; + end process storeControls; + + dataIn <= hWData; + + -- HDL Embedded Text Block 9 eb9 + memDataIn <= dataIn(memDataIn'range); + + -- HDL Embedded Text Block 10 eb10 + hRData <= std_ulogic_vector(dataOut); + + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + -- HDL Embedded Text Block 11 eb11 + clock<= hClk; + + reset <= not hReset_n; + + + -- Instance port mappings. + I_ramx : blockRAM + GENERIC MAP ( + addressBitNb => patternAddressBitNb, + dataBitNb => signalBitNb, + initFileSpec => "C:/dev/sem-labs/06-07-08-09-SystemOnChip/Simulation/ramYInit.txt" + ) + PORT MAP ( + dataIn => memDataIn, + dataOut => memX, + en => memEnX, + clock => clock, + reset => reset, + write => memWrX, + addr => addrX + ); + I_ramy : blockRAM + GENERIC MAP ( + addressBitNb => patternAddressBitNb, + dataBitNb => signalBitNb, + initFileSpec => "C:/dev/sem-labs/06-07-08-09-SystemOnChip/Simulation/ramXInit.txt" + ) + PORT MAP ( + dataIn => memDataIn, + dataOut => memY, + en => memEnY, + clock => clock, + reset => reset, + write => memWrY, + addr => addrY + ); + I_addrx : blockRAMAddressCounter + GENERIC MAP ( + addressBitNb => patternAddressBitNb + ) + PORT MAP ( + en => cntIncrX, + clock => clock, + reset => reset, + updateMem => updatePattern, + addr => addrX, + patternSize => patternSize + ); + I_addry : blockRAMAddressCounter + GENERIC MAP ( + addressBitNb => patternAddressBitNb + ) + PORT MAP ( + en => cntIncrY, + clock => clock, + reset => reset, + updateMem => updatePattern, + addr => addrY, + patternSize => patternSize + ); + I_ctlx : blockRAMControl + PORT MAP ( + memWr => memWrX, + sel => selX, + memEn => memEnX, + update => updatePattern, + wr => write, + cntIncr => cntIncrX, + newSample => newPolynom, + clock => clock, + reset => reset + ); + I_ctly : blockRAMControl + PORT MAP ( + memWr => memWrY, + sel => selY, + memEn => memEnY, + update => updatePattern, + wr => write, + cntIncr => cntIncrY, + newSample => newPolynom, + clock => clock, + reset => reset + ); + I_decoder : periphAddressDecoder + GENERIC MAP ( + addressBitNb => addressBitNb + ) + PORT MAP ( + selControl => selControl, + addr => addr, + selSpeed => selSpeed, + selX => selX, + selY => selY, + selZ => OPEN + ); + I_ctl : periphControlReg + GENERIC MAP ( + dataBitNb => dataBitNb, + patternSizeBitNb => patternAddressBitNb + ) + PORT MAP ( + run => run_int, + dataIn => dataIn, + updatePattern => updatePattern, + dataOut => dataOut, + write => write, + en => selControl, + clock => clock, + reset => reset, + interpolateLinear => interpolateLin_int, + patternSize => patternSize + ); + I_speed : periphSpeedReg + GENERIC MAP ( + dataBitNb => dataBitNb, + updatePeriodBitNb => updatePeriodBitNb + ) + PORT MAP ( + updatePeriod => updatePeriod, + dataIn => dataIn, + dataOut => dataOut, + en => selSpeed, + clock => clock, + reset => reset, + write => write + ); + +END struct; + + + + +-- +-- VHDL Architecture SystemOnChip.ahbBeamer.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:00:20 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +-- LIBRARY SystemOnChip; + +ARCHITECTURE struct OF ahbBeamer IS + + -- Architecture declarations + constant signalBitNb: positive := 16; + constant updatePeriodBitNb : positive := 16; + + -- Internal signal declarations + SIGNAL reset : std_ulogic; + SIGNAL run : std_ulogic; + SIGNAL interpolateLin : std_ulogic; + SIGNAL updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0); + SIGNAL memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0); + SIGNAL newPolynom : std_ulogic; + + + -- Component Declarations + COMPONENT ahbBeamerOperator + GENERIC ( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16 + ); + PORT ( + outX : OUT std_ulogic ; + run : IN std_ulogic ; + clock : IN std_ulogic ; + reset : IN std_ulogic ; + outY : OUT std_ulogic ; + selSinCos : IN std_ulogic ; + interpolateLin : IN std_ulogic ; + updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0); + memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0); + newPolynom : OUT std_ulogic + ); + END COMPONENT; + COMPONENT ahbBeamerRegisters + GENERIC ( + updatePeriodBitNb : positive := 16; + signalBitNb : positive := 16; + patternAddressBitNb : positive := 8; + testOutBitNb : positive := 16 + ); + PORT ( + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0); + run : OUT std_ulogic ; + updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0); + interpolateLin : OUT std_ulogic ; + newPolynom : IN std_ulogic ; + hClk : IN std_ulogic ; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + hReset_n : IN std_ulogic ; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic ; + hSel : IN std_ulogic ; + hReady : OUT std_ulogic ; + hResp : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : ahbBeamerOperator USE ENTITY SystemOnChip.ahbBeamerOperator; +-- FOR ALL : ahbBeamerRegisters USE ENTITY SystemOnChip.ahbBeamerRegisters; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 2 eb2 + --process + --begin + -- newPolynom <= '0'; + -- for index in 1 to 2**4-1 loop + -- wait until rising_edge(clock); + -- end loop; + -- newPolynom <= '1'; + -- wait until rising_edge(clock); + --end process; + + -- HDL Embedded Text Block 3 eb3 + reset <= not hReset_n; + + + -- Instance port mappings. + I_op : ahbBeamerOperator + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb, + signalBitNb => signalBitNb + ) + PORT MAP ( + outX => outX, + run => run, + clock => hClk, + reset => reset, + outY => outY, + selSinCos => selSinCos, + interpolateLin => interpolateLin, + updatePeriod => updatePeriod, + memX => memX, + memY => memY, + newPolynom => newPolynom + ); + I_regs : ahbBeamerRegisters + GENERIC MAP ( + updatePeriodBitNb => updatePeriodBitNb, + signalBitNb => signalBitNb, + patternAddressBitNb => patternAddressBitNb, + testOutBitNb => testOutBitNb + ) + PORT MAP ( + testOut => testOut, + memX => memX, + memY => memY, + run => run, + updatePeriod => updatePeriod, + interpolateLin => interpolateLin, + newPolynom => newPolynom, + hClk => hClk, + hRData => hRData, + hAddr => hAddr, + hReset_n => hReset_n, + hWData => hWData, + hTrans => hTrans, + hWrite => hWrite, + hSel => hSel, + hReady => hReady, + hResp => hResp + ); + +END struct; + + + + +-- VHDL Entity AhbLite.ahbMuxConnector.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbMuxConnector IS + GENERIC( + index : positive := 1 + ); + PORT( + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic; + hResp : IN std_uLogic; + hSelV : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRDataV : OUT ahbDataVector; + hReadyV : OUT std_logic_vector (1 TO ahbSlaveNb); + hRespV : OUT std_logic_vector (1 TO ahbSlaveNb); + hSel : OUT std_uLogic + ); + +-- Declarations + +END ahbMuxConnector ; + + + + + +ARCHITECTURE RTL OF ahbMuxConnector IS +BEGIN + + hSel <= hSelV(index); + + hRDataV(index) <= std_logic_vector(hRData); + hReadyV(index) <= hReady; + hRespV(index) <= hResp; + + hRDataV <= (others => (others => 'Z')); + hReadyV <= (others => 'Z'); + hRespV <= (others => 'Z'); + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLite.ahbDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbDecoder IS + GENERIC( + ahbMemoryLocation : ahbMemoryLocationVector + ); + PORT( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hSel : OUT std_ulogic_vector (1 TO ahbSlaveNb) + ); + +-- Declarations + +END ahbDecoder ; + + + + + +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ARCHITECTURE RTL OF ahbDecoder IS +BEGIN + + decodeAddress: process(hAddr) + variable mask: unsigned(hAddr'range); + begin + hSel <= (others => '0'); + for index in hSel'range loop + mask := to_unsigned(ahbMemoryLocation(index).addressMask, mask'length); + if (hAddr and mask) = ahbMemoryLocation(index).baseAddress then + hSel(index) <= '1'; + end if; + end loop; + end process decodeAddress; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLiteComponents.ahbGpio.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:43:49 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbGpio IS + GENERIC( + ioNb : positive := 8 + ); + PORT( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic; + hReset_n : IN std_uLogic; + hSel : IN std_uLogic; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic; + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) + ); + +-- Declarations + +END ahbGpio ; + + + + + +--============================================================================== +-- +-- AHB general purpose input/outputs +-- +-- Provides "ioNb" input/output signals . +-- +-------------------------------------------------------------------------------- +-- +-- Write registers +-- +-- 00, data register receives the values to drive the output lines. +-- 01, output enable register defines the signal direction: +-- when '1', the direction is "out". +-- +-------------------------------------------------------------------------------- +-- +-- Read registers +-- 00, data register provides the values detected on the lines. +-- + +ARCHITECTURE masterVersion OF ahbGpio IS + + signal reset, clock: std_ulogic; + -- register definitions + constant dataRegisterId: natural := 0; + constant outputEnableRegisterId: natural := 1; + + signal addressReg: unsigned(addressBitNb(outputEnableRegisterId)-1 downto 0); + signal writeReg: std_ulogic; + -- written registers + subtype registerType is unsigned(ioNb-1 downto 0); + signal dataOutRegister, outputEnableRegister: registerType; + -- read registers + signal dataInRegister : registerType; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= not hReset_n; + clock <= hClk; + + --============================================================================ + -- address and controls + storeControls: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + elsif rising_edge(clock) then + writeReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr(addressReg'range); + writeReg <= hWrite; + end if; + end if; + end process storeControls; + + --============================================================================ + -- registers + storeWriteRegisters: process(reset, clock) + begin + if reset = '1' then + dataOutRegister <= (others => '0'); + outputEnableRegister <= (others => '0'); + elsif rising_edge(clock) then + if writeReg = '1' then + case to_integer(addressReg) is + when dataRegisterId => dataOutRegister <= unsigned(hWData(dataOutRegister'range)); + when outputEnableRegisterId => outputEnableRegister <= unsigned(hWData(outputEnableRegister'range)); + when others => null; + end case; + end if; + end if; + end process storeWriteRegisters; + + ioOut <= std_ulogic_vector(dataOutRegister); + ioEn <= std_ulogic_vector(outputEnableRegister); + + --============================================================================ + -- data readback + dataInRegister <= unsigned(ioIn); + + hRData <= std_ulogic_vector(resize(dataInRegister, hRData'length)); + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity AhbLite.ahbMasterInterface.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbMasterInterface IS + PORT( + clock : IN std_ulogic; + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic; + hResp : IN std_uLogic; + pAddress : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + pDataOut : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + pReadStrobe : IN std_uLogic; + pWriteStrobe : IN std_uLogic; + reset : IN std_ulogic; + hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hBurst : OUT std_ulogic_vector (ahbBurstBitNb-1 DOWNTO 0); + hClk : OUT std_uLogic; + hMastLock : OUT std_uLogic; + hProt : OUT std_ulogic_vector (ahbProtBitNb-1 DOWNTO 0); + hReset_n : OUT std_uLogic; + hSize : OUT std_ulogic_vector (ahbSizeBitNb-1 DOWNTO 0); + hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : OUT std_uLogic; + pDataIn : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END ahbMasterInterface ; + + + + + +ARCHITECTURE RTL OF ahbMasterInterface IS + + signal addressReg: unsigned(pAddress'range); + signal newAddress: std_ulogic; + signal writeReg: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + hReset_n <= not reset; + hClk <= clock; + + ------------------------------------------------------------------------------ + -- address and controls + newAddress <= pReadStrobe or pWriteStrobe; + + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if newAddress = '1' then + addressReg <= pAddress; + end if; + end if; + end process storeAddress; + + hAddr <= pAddress when newAddress = '1' + else addressReg; + + storeWrite: process(reset, clock) + begin + if reset = '1' then + writeReg <= '0'; + elsif rising_edge(clock) then + if newAddress = '1' then + writeReg <= pWriteStrobe; + end if; + end if; + end process storeWrite; + + hWrite <= pWriteStrobe when newAddress = '1' + else writeReg; + + hTrans <= transNonSeq when newAddress = '1' + else transIdle; + + hSize <= size16; + hBurst <= burstSingle; + hProt <= protDefault; + hMastLock <= '0'; + + ------------------------------------------------------------------------------ + -- data out + delayData: process(reset, clock) + begin + if reset = '1' then + hWData <= (others => '0'); + elsif rising_edge(clock) then + if pWriteStrobe = '1' then + hWData <= pDataOut; + end if; + end if; + end process delayData; + + ------------------------------------------------------------------------------ + -- data in + pDataIn <= hRData; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity AhbLite.ahbMultiplexor.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:16 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbMultiplexor IS + PORT( + hRDataV : IN ahbDataVector; + hReadyV : IN std_logic_vector (1 TO ahbSlaveNb); + hRespV : IN std_logic_vector (1 TO ahbSlaveNb); + hSel : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic + ); + +-- Declarations + +END ahbMultiplexor ; + + + + + +ARCHITECTURE RTL OF ahbMultiplexor IS +BEGIN + + multiplexData: process(hSel, hRDataV, hReadyV, hRespV) + begin + hRData <= (others => '0'); + hReady <= '1'; + hResp <= '0'; + for index in hSel'range loop + if hSel(index) = '1' then + hRData <= std_ulogic_vector(hRDataV(index)); + hReady <= hReadyV(index); + hResp <= hRespV(index); + end if; + end loop; + end process multiplexData; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity SystemOnChip.programRom.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 14:40:20 03/13/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY programRom IS + GENERIC( + addressBitNb : positive := 8; + dataBitNb : positive := 8 + ); + PORT( + address : IN unsigned (addressBitNb-1 DOWNTO 0); + clock : IN std_ulogic; + en : IN std_ulogic; + reset : IN std_ulogic; + dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END programRom ; + + + + + +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- Beamer control + --=============================================================== + -- + ----------------------------------------------------------------- + -- register definitions + -- s0, s1: used for INPUT and OUTPUT operations + -- S2: returns UART data byte + -- S3: uart protocol checksum + -- S4: uart protocol packet id + -- S5: uart protocol command id + -- S6: uart protocol address + -- S7: uart protocol data + -- S8: copy of UART data byte for debug + ----------------------------------------------------------------- + -- + ----------------------------------------------------------------- + -- GPIO definitions + ----------------------------------------------------------------- + ----------------------------------------------------------------- + -- UART definitions + ----------------------------------------------------------------- + -- CONSTANT uartBaudCount, 023D ; 66E6 / 115 200 = 573 + -- CONSTANT uartpollDelay, 0100 + ----------------------------------------------------------------- + -- beamer peripheral definitions + ----------------------------------------------------------------- + -- CONSTANT beamerCtlInit, 1001 + -- + --=============================================================== + -- initializations + --=============================================================== + -- + ----------------------------------------------------------------- + -- initialize GPIO + ----------------------------------------------------------------- + 16#000# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#001# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#002# => opLoadC & "0001" & "0000000010101010", -- LOAD s1, AA + 16#003# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#004# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#005# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#006# => opLoadC & "0001" & "0000000000001111", -- LOAD s1, 0F + 16#007# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize UART + ----------------------------------------------------------------- + 16#008# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 + 16#009# => opAddC & "0000" & "0000000000000010", -- ADD s0, 0002 + 16#00A# => opLoadC & "0001" & "0000000001000010", -- LOAD s1, 0042 + 16#00B# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize beamer peripheral + ----------------------------------------------------------------- + 16#00C# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#00D# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#00E# => opLoadC & "0001" & "0000010000000001", -- LOAD s1, 0401 + 16#00F# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#010# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#011# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#012# => opLoadC & "0001" & "0000000000000100", -- LOAD s1, 0004 + 16#013# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + -- + --=============================================================== + -- Main loop + --=============================================================== + -- + ----------------------------------------------------------------- + -- Process commands from serial port + ----------------------------------------------------------------- + -- _main_: + 16#014# => brCall & brDo & "--------0000100001",-- CALL 021 ; get command from UART + 16#015# => opCompC & "0011" & "0000000000000000", -- COMPARE s3, 0000 ; check function return + 16#016# => brJump & brNZ & "--------0000011111",-- JUMP NZ, 01F + 16#017# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#018# => brJump & brNZ & "--------0000011100",-- JUMP NZ, 01C + 16#019# => opOutputR & "0111" & "0110------------", -- OUTPUT s7, (S6) ; write word to memory location + 16#01A# => brCall & brDo & "--------0001100000",-- CALL 060 ; send write acknowledge + 16#01B# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandRead_: + 16#01C# => opInputR & "0111" & "0110------------", -- INPUT s7, (S6) ; write word in memory location + 16#01D# => brCall & brDo & "--------0001101111",-- CALL 06F ; send back read data + 16#01E# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandAbort_: + 16#01F# => brCall & brDo & "--------0001010001",-- CALL 051 + 16#020# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- + --=============================================================== + -- Subroutines + --=============================================================== + -- + ----------------------------------------------------------------- + -- Get command from serial port + ----------------------------------------------------------------- + -- _uartGetCmd_: + 16#021# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command header + 16#022# => opCompC & "0010" & "0000000010101010", -- COMPARE s2, 00AA + 16#023# => brJump & brNZ & "--------0000100001",-- JUMP NZ, 021 ; loop until byte is AAh + 16#024# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#025# => brCall & brDo & "--------0010000110",-- CALL 086 ; get packet id + 16#026# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#027# => opLoadR & "0100" & "0010------------", -- LOAD s4, s2 ; store id for reply + 16#028# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command + 16#029# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#02A# => opCompC & "0010" & "0000000000000011", -- COMPARE s2, 0003 ; check for WRITE_MEM command + 16#02B# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02C# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; check for READ_MEM command + 16#02D# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02E# => brJump & brDo & "--------0001001111",-- JUMP 04F ; no match + -- _commandOk_: + 16#02F# => opLoadR & "0101" & "0010------------", -- LOAD s5, s2 ; store command for action + 16#030# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data length + 16#031# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#032# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#033# => brJump & brZ & "--------0000110111",-- JUMP Z, 037 ; go to test write command length + 16#034# => opCompC & "0010" & "0000000000000010", -- COMPARE s2, 0002 ; verify READ_MEM length + 16#035# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#036# => brJump & brDo & "--------0000111001",-- JUMP 039 + -- _testWrLength_: + 16#037# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; verify WRITE_MEM length + 16#038# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + -- _getAddress_: + 16#039# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address low + 16#03A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03B# => opLoadR & "0110" & "0010------------", -- LOAD s6, s2 ; store address low + 16#03C# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address high + 16#03D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03E# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#03F# => opAddR & "0110" & "0010------------", -- ADD s6, s2 ; build address from low and high + 16#040# => opCompC & "0101" & "0000000000000100", -- COMPARE s5, 0004 ; check for READ_MEM command + 16#041# => brJump & brZ & "--------0001001001",-- JUMP Z, 049 ; skip reading data word + 16#042# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data low + 16#043# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#044# => opLoadR & "0111" & "0010------------", -- LOAD s7, s2 ; store data low + 16#045# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data high + 16#046# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#047# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#048# => opAddR & "0111" & "0010------------", -- ADD s7, s2 ; build data from low and high + -- _getChecksum_: + 16#049# => brCall & brDo & "--------0010000110",-- CALL 086 ; get checksum + 16#04A# => opAndC & "0011" & "0000000011111111", -- AND s3, 00FF ; limit calculated checksum to 8 bit + 16#04B# => opCompR & "0011" & "0010------------", -- COMPARE s3, s2 ; test checksum + 16#04C# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#04D# => opLoadC & "0011" & "0000000000000000", -- LOAD s3, 0000 ; return OK + 16#04E# => brRet & brDo & "------------------",-- RETURN + -- _commandKo_: + 16#04F# => opLoadC & "0011" & "0000000000000001", -- LOAD s3, 0001 ; return KO + 16#050# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send NACK reply + ----------------------------------------------------------------- + -- _sendNAck_: + 16#051# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#052# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#053# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#054# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#055# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#056# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#057# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; negative Acknowledge + 16#058# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#059# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05A# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#05B# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#05C# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05D# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#05E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send WRITE_MEM reply + ----------------------------------------------------------------- + -- _sendWriteOk_: + 16#060# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#061# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#062# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#063# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#064# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#065# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#066# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#067# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#068# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#069# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#06A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#06B# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06C# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#06D# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06E# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send READ_MEM reply + ----------------------------------------------------------------- + -- _sendReadData_: + 16#06F# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#070# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#071# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#072# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#073# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#074# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#075# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#076# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#077# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#078# => opLoadC & "0010" & "0000000000000010", -- LOAD s2, 0002 ; packet length: 2 bytes + 16#079# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07A# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07B# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data low + 16#07C# => opAndC & "0010" & "0000000011111111", -- AND s2, 00FF ; keep low byte only + 16#07D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07F# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data high + 16#080# => brCall & brDo & "--------0010100101",-- CALL 0A5 ; shift MSBs down to LSBs + 16#081# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#082# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#083# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#084# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#085# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Get byte from serial port + ----------------------------------------------------------------- + -- _uartGetByte_: + 16#086# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#087# => opAddC & "0000" & "0000000000000001", -- ADD s0, 01 + --load s8, 0100 + -- _checkStat_: + 16#088# => opLoadC & "0010" & "0000000001000000", -- LOAD s2, 0040 ; add delay between bus reads + -- _delay0_: + 16#089# => opSubC & "0010" & "0000000000000001", -- SUB s2, 0001 + 16#08A# => brJump & brNZ & "--------0010001001",-- JUMP NZ, 089 + --sub s8, 0001 + --jump nz, continue + --load s2, 0035 + --call uartSendByte + --load s8, 0100 + -- _continue_: + 16#08B# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08C# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08D# => opTestC & "0001" & "0000000000000001", -- TEST s1, 0001 ; check "data ready" bit + 16#08E# => brJump & brZ & "--------0010001000",-- JUMP Z, 088 ; loop until bit is '1' + 16#08F# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART data register + 16#090# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + 16#091# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + --LOAD s8, s2 + 16#092# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Send byte to serial port + ----------------------------------------------------------------- + -- _uartSendByte_: + 16#093# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#094# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + -- _readStatus_: + 16#095# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#096# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#097# => opTestC & "0001" & "0000000000000010", -- TEST s1, 0002 ; check "sending data" bit + 16#098# => brJump & brZ & "--------0010011101",-- JUMP Z, 09D ; loop until bit is '1' + 16#099# => opLoadC & "0001" & "0000000001000000", -- LOAD s1, 0040 ; add delay between bus reads + -- _delay1_: + 16#09A# => opSubC & "0001" & "0000000000000001", -- SUB s1, 0001 + 16#09B# => brJump & brNZ & "--------0010011010",-- JUMP NZ, 09A + 16#09C# => brJump & brDo & "--------0010010101",-- JUMP 095 + -- _sendByte_: + 16#09D# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; write UART data register + 16#09E# => opOutputR & "0010" & "0000------------", -- OUTPUT s2, (S0) + 16#09F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the left + ----------------------------------------------------------------- + -- _shiftS2L8_: + 16#0A0# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftLeftLoop_: + 16#0A1# => opShRot & "0010" & shRotL & shRotLd0, -- SL0 s2 + 16#0A2# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A3# => brJump & brNZ & "--------0010100001",-- JUMP NZ, 0A1 + 16#0A4# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the right + ----------------------------------------------------------------- + -- _shiftS2R8_: + 16#0A5# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftRightLoop_: + 16#0A6# => opShRot & "0010" & shRotR & shRotLd0, -- SR0 s2 + 16#0A7# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A8# => brJump & brNZ & "--------0010100110",-- JUMP NZ, 0A6 + 16#0A9# => brRet & brDo & "------------------",-- RETURN + -- + --=============================================================== + -- End of instruction memory + --=============================================================== + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "--------1111111111",-- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; + + + + +-- VHDL Entity AhbLiteComponents.ahbUart.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:43:49 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +ENTITY ahbUart IS + GENERIC( + txFifoDepth : positive := 8; + rxFifoDepth : positive := 1 + ); + PORT( + RxD : IN std_ulogic; + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic; + hReset_n : IN std_uLogic; + hSel : IN std_uLogic; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic; + TxD : OUT std_ulogic; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic; + hResp : OUT std_uLogic + ); + +-- Declarations + +END ahbUart ; + + + + + +--============================================================================== +-- +-- AHB UART +-- +-- Implements a serial port. +-- +-------------------------------------------------------------------------------- +-- +-- Write registers +-- +-- 00, data register receives the word to be sent to the serial port. +-- 01, control register is used to control the peripheral. +-- 02, scaler register is used to set the baud rate. +-- +-------------------------------------------------------------------------------- +-- +-- Read registers +-- 00, data register provides the last word received by the serial port. +-- 01, status register is used to get the peripheral's state. +-- bit 0: data ready for read +-- bit 1: sending in progress +-- bit 2: receiving in progress +-- + +ARCHITECTURE masterVersion OF ahbUart IS + + signal reset, clock: std_ulogic; + -- register definitions + constant dataOutRegisterId: natural := 0; + constant dataBitNb: positive := 8; + constant controlRegisterId: natural := 1; + constant controlBpoId: natural := 0; + constant controlFormatId: natural := 0; + constant scalerRegisterId: natural := 2; + + constant statusRegisterId: natural := 1; + constant statusReadyId: natural := 0; + constant statusSendingId: natural := 1; + constant statusReceivingId: natural := 2; + -- written registers + signal addressReg: unsigned(addressBitNb(scalerRegisterId)+1-1 downto 0); + signal writeReg: std_ulogic; + signal readReg: std_ulogic; + subtype registerType is unsigned(hWdata'length-1 downto 0); + signal dataOutRegister : unsigned(dataBitNb-1 downto 0); + signal controlRegister, scalerRegister: registerType; + -- serializer + signal txPeriodCounter: unsigned(registerType'range); + signal txEn: std_uLogic; + signal txStart: std_uLogic; + signal txSending: std_uLogic; + signal txShiftCounter : unsigned(addressBitNb(dataOutRegister'length+2)-1 downto 0); + signal txShiftRegister : unsigned(dataOutRegister'high+1 downto 0); + -- read registers + signal dataInRegister : unsigned(dataOutRegister'range); + signal statusRegister: registerType; + -- deserializer + signal rxPeriodCounter: unsigned(registerType'range); + signal rxEn: std_uLogic; + signal rxDelayed, rxChanged: std_uLogic; + signal rxShiftCounter : unsigned(txShiftCounter'range); + signal rxReceiving: std_uLogic; + signal rxShiftRegister : unsigned(dataInRegister'range); + signal rxDataReady: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= not hReset_n; + clock <= hClk; + + --============================================================================ + -- address and controls + storeControls: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + readReg <= '0'; + elsif rising_edge(clock) then + writeReg <= '0'; + readReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr(addressReg'range); + writeReg <= hWrite; + readReg <= not hWrite; + end if; + end if; + end process storeControls; + + --============================================================================ + -- registers + storeWriteRegisters: process(reset, clock) + begin + if reset = '1' then + dataOutRegister <= (others => '0'); + controlRegister <= (others => '0'); + scalerRegister <= (others => '0'); + elsif rising_edge(clock) then + if writeReg = '1' then + case to_integer(addressReg) is + when dataOutRegisterId => dataOutRegister <= unsigned(hWData(dataOutRegister'range)); + when controlRegisterId => controlRegister <= unsigned(hWData); + when scalerRegisterId => scalerRegister <= unsigned(hWData); + when others => null; + end case; + end if; + end if; + end process storeWriteRegisters; + + txStart <= '1' when (writeReg = '1') and (addressReg = dataOutRegisterId) + else '0'; + + --============================================================================ + -- serializer + -- tx baud rate + countTxBaudRate: process(reset, clock) + begin + if reset = '1' then + txPeriodCounter <= (others => '1'); + elsif rising_edge(clock) then + if txPeriodCounter + 1 < scalerRegister then + txPeriodCounter <= txPeriodCounter + 1; + else + txPeriodCounter <= (others => '0'); + end if; + end if; + end process countTxBaudRate; + + txEn <= '1' when txPeriodCounter = 1 + else '0'; + -- count tx shift + countTxShift: process(reset, clock) + begin + if reset = '1' then + txShiftCounter <= (others => '0'); + elsif rising_edge(clock) then + if txShiftCounter = 0 then + if txStart = '1' then + txShiftCounter <= txShiftCounter + 1; + end if; + elsif txEn = '1' then + if txShiftCounter < dataOutRegister'length + 3 then + txShiftCounter <= txShiftCounter + 1; + else + txShiftCounter <= (others => '0'); + end if; + end if; + end if; + end process countTxShift; + + txSending <= '1' when txShiftCounter /= 0 + else '0'; + -- tx serializer + shiftTxData: process(reset, clock) + begin + if reset = '1' then + txShiftRegister <= (others => '1'); + elsif rising_edge(clock) then + if txEn = '1' then + if txShiftCounter = 1 then + txShiftRegister <= dataOutRegister & '0'; + else + txShiftRegister <= shift_right(txShiftRegister, 1); + txShiftRegister(txShiftRegister'high) <= '1'; + end if; + end if; + end if; + end process shiftTxData; + + TxD <= txShiftRegister(0); + + --============================================================================ + -- deserializer + delayRxd: process(reset, clock) + begin + if reset = '1' then + rxDelayed <= '0'; + elsif rising_edge(clock) then + rxDelayed <= RxD; + end if; + end process delayRxd; + + rxChanged <= '1' when rxDelayed /= RxD + else '0'; + -- rx baud rate + countRxBaudRate: process(reset, clock) + begin + if reset = '1' then + rxPeriodCounter <= (others => '1'); + elsif rising_edge(clock) then + if rxChanged = '1' then + rxPeriodCounter <= (others => '0'); + elsif rxPeriodCounter + 1 < scalerRegister then + rxPeriodCounter <= rxPeriodCounter + 1; + else + rxPeriodCounter <= (others => '0'); + end if; + end if; + end process countRxBaudRate; + + rxEn <= '1' when rxPeriodCounter = shift_right(scalerRegister-2, 1) + else '0'; + -- count rx shift + countRxShift: process(reset, clock) + begin + if reset = '1' then + rxShiftCounter <= (others => '0'); + elsif rising_edge(clock) then + if rxShiftCounter = 0 then + if (RxD = '0') and (rxDelayed = '1') then + rxShiftCounter <= rxShiftCounter + 1; + end if; + elsif rxEn = '1' then + if rxShiftCounter < dataInRegister'length + 2 then + rxShiftCounter <= rxShiftCounter + 1; + else + rxShiftCounter <= (others => '0'); + end if; + end if; + end if; + end process countRxShift; + + rxReceiving <= '1' when rxShiftCounter /= 0 + else '0'; + -- rx deserializer + shiftRxData: process(reset, clock) + begin + if reset = '1' then + rxShiftRegister <= (others => '1'); + dataInRegister <= (others => '0'); + elsif rising_edge(clock) then + if rxEn = '1' then + if rxShiftCounter <= dataInRegister'length+1 then + rxShiftRegister <= shift_right(rxShiftRegister, 1); + rxShiftRegister(rxShiftRegister'high) <= RxD; + end if; + if rxShiftCounter = dataInRegister'length+2 then + dataInRegister <= rxShiftRegister; + end if; + end if; + end if; + end process shiftRxData; + -- monitor data ready + checkDataReady: process(reset, clock) + begin + if reset = '1' then + rxDataReady <= '0'; + elsif rising_edge(clock) then + if (rxEn = '1') and (rxShiftCounter = dataInRegister'length+2) then + rxDataReady <= '1'; + elsif (readReg = '1') and (addressReg = dataOutRegisterId) then + rxDataReady <= '0'; + end if; + end if; + end process checkDataReady; + + --============================================================================ + -- data readback + statusRegister <= ( + statusReadyId => rxDataReady, + statusSendingId => txSending, + statusReceivingId => rxReceiving, + others => '0' + ); + + selectData: process(addressReg, dataInRegister, statusRegister) + begin + hRData <= (others => '-'); + case to_integer(addressReg) is + when dataOutRegisterId => hRData <= std_ulogic_vector(resize(dataInRegister, hRData'length)); + when statusRegisterId => hRData <= std_ulogic_vector(statusRegister); + when others => null; + end case; + end process selectData; + + hReady <= '1'; -- no wait state + hResp <= '0'; -- data OK + + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity NanoBlaze.nanoProcessor.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY nanoProcessor IS + GENERIC( + addressBitNb : positive := 8; + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5; + instructionBitNb : positive := 18; + scratchpadAddressBitNb : natural := 4 + ); + PORT( + clock : IN std_ulogic; + dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0); + en : IN std_ulogic; + instruction : IN std_ulogic_vector (instructionBitNb-1 DOWNTO 0); + int : IN std_uLogic; + reset : IN std_ulogic; + dataAddress : OUT unsigned (addressBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0); + intAck : OUT std_ulogic; + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + readStrobe : OUT std_uLogic; + writeStrobe : OUT std_uLogic + ); + +-- Declarations + +END nanoProcessor ; + + + + + +-- VHDL Entity NanoBlaze.aluAndRegs.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY aluAndRegs IS + GENERIC( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + portAddressBitNb : positive := 8; + scratchpadAddressBitNb : natural := 4 + ); + PORT( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic; + clock : IN std_ulogic; + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic; + regWrite : IN std_ulogic; + registerFileSel : IN std_ulogic; + reset : IN std_ulogic; + scratchpadSel : IN std_ulogic; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic; + portAddr : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + scratchpadAddr : OUT unsigned (scratchpadAddressBitNb-1 DOWNTO 0); + spadOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + zero : OUT std_ulogic + ); + +-- Declarations + +END aluAndRegs ; + + + + + +-- VHDL Entity NanoBlaze.alu.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY alu IS + GENERIC( + aluCodeBitNb : positive := 5; + dataBitNb : positive := 8 + ); + PORT( + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic; + opA : IN signed ( dataBitNb-1 DOWNTO 0 ); + opB : IN signed ( dataBitNb-1 DOWNTO 0 ); + aluOut : OUT signed ( dataBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic; + zero : OUT std_ulogic + ); + +-- Declarations + +END alu ; + + + + + +ARCHITECTURE RTL OF alu IS + + signal aluCodeInt: unsigned(aluCode'range); + signal aArith: signed(opA'high+1 downto 0); + signal bArith: signed(opA'high+1 downto 0); + signal cInArith: signed(1 downto 0); + signal cInShift: std_ulogic; + signal yArith: signed(aluOut'high+1 downto 0); + signal aluOutInt: signed(aluOut'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clear aluCode don't care LSB for shifts + aluCodeInt(aluCode'high downto 1) <= unsigned(aluCode(aluCode'high downto 1)); + + cleanupLsb: process(aluCode) + begin + if aluCode(aluCode'high) = '1' then + aluCodeInt(0) <= '0'; + else + aluCodeInt(0) <= aluCode(0); + end if; + end process cleanupLsb; + + ------------------------------------------------------------------------------ + -- values for arithmetic operations + aArith <= signed(resize(unsigned(opA), aArith'length)); + bArith <= signed(resize(unsigned(opB), bArith'length)); + cInArith <= (0 => cIn, others => '0'); + + process(aluCode, cIn, opA) + begin + case aluCode(2 downto 1) is + when "00" => cInShift <= cIn; + when "01" => cInShift <= opA(opA'high); + when "10" => cInShift <= opA(opA'low); + when "11" => cInShift <= aluCode(0); + when others => cInShift <= '-'; + end case; + end process; + + ------------------------------------------------------------------------------ + -- alu operations + aluOperation: process( + aluCodeInt, + opA, opB, + aArith, bArith, cInArith, + cInShift, + yArith, aluOutInt + ) + variable xorAcc: std_ulogic; + begin + yArith <= (others => '-'); + cOut <= '-'; + aluOutInt <= (others => '-'); + case to_integer(aluCodeInt) is + when 0 => -- LOAD sX, kk + aluOutInt <= opB; + when 2 => -- INPUT sX, pp + aluOutInt <= opB; + when 3 => -- FETCH sX, ss + aluOutInt <= opB; + when 5 => -- AND sX, kk + aluOutInt <= opA and opB; + cOut <= '0'; + when 6 => -- OR sX, kk + aluOutInt <= opA or opB; + cOut <= '0'; + when 7 => -- XOR sX, kk + aluOutInt <= opA xor opB; + cOut <= '0'; + when 9 => -- TEST sX, kk + aluOutInt <= opA and opB; + xorAcc := '0'; + for index in aluOutInt'range loop + xorAcc := xorAcc xor aluOutInt(index); + end loop; + cOut <= xorAcc; + when 10 => -- COMPARE sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 12 => -- ADD sX, kk + yArith <= aArith + bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 13 => -- ADDCY sX, kk + yArith <= (aArith + bArith) + cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 14 => -- SUB sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 15 => -- SUBCY sX, kk + yArith <= (aArith - bArith) - cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 16 to 23 => -- SL sX + aluOutInt <= opA(opA'high-1 downto 0) & cInShift; + cOut <= opA(opA'high); + when 24 to 31 => -- SR sX + aluOutInt <= cInShift & opA(opA'high downto 1); + cOut <= opA(0); + when others => + aluOutInt <= (others => '-'); + end case; + end process aluOperation; + + aluOut <= aluOutInt; + zero <= '1' when aluOutInt = 0 else '0'; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.aluBOpSelector.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY aluBOpSelector IS + GENERIC( + registerBitNb : positive := 8 + ); + PORT( + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic; + registerFileIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + registerFileSel : IN std_ulogic; + scratchpadSel : IN std_ulogic; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + opB : OUT signed (registerBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END aluBOpSelector ; + + + + + +ARCHITECTURE RTL OF aluBOpSelector IS +BEGIN + + selectDataSource: process( + registerFileSel, registerFileIn, + scratchpadSel, spadIn, + portInSel, portIn, + instrDataSel, instrData + ) + begin + if registerFileSel = '1' then + opB <= registerFileIn; + elsif scratchpadSel = '1' then + opB <= spadIn; + elsif portInSel = '1' then + opB <= portIn; + elsif instrDataSel = '1' then + opB <= instrData; + else + opB <= (others => '-'); + end if; + end process selectDataSource; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.registerFile.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY registerFile IS + GENERIC( + registerAddressBitNb : positive := 4; + dataBitNb : positive := 8 + ); + PORT( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic; + regWrite : IN std_ulogic; + registersIn : IN signed ( dataBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + opA : OUT signed ( dataBitNb-1 DOWNTO 0 ); + opB : OUT signed ( dataBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END registerFile ; + + + + + +ARCHITECTURE RTL OF registerFile IS + + subtype registerType is signed(registersIn'range); + type registerArrayType is array (0 to 2**registerAddressBitNb-1) of registerType; + signal registerArray : registerArrayType; + +BEGIN + ------------------------------------------------------------------------------ + -- write to registers + updateRegister: process(reset, clock) + begin + if reset = '1' then + registerArray <= (others => (others => '0')); + elsif rising_edge(clock) then + if regWrite = '1' then + registerArray(to_integer(addrA)) <= registersIn; + end if; + end if; + end process updateRegister; + + ------------------------------------------------------------------------------ + -- read from registers + opA <= registerArray(to_integer(addrA)); + opB <= registerArray(to_integer(addrB)); + +END ARCHITECTURE RTL; + + + + +-- +-- VHDL Architecture NanoBlaze.aluAndRegs.struct +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:44 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY NanoBlaze; + +ARCHITECTURE struct OF aluAndRegs IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL aluOut : signed(registerBitNb-1 DOWNTO 0); + SIGNAL opA : signed(registerBitNb-1 DOWNTO 0); + SIGNAL opB : signed(registerBitNb-1 DOWNTO 0); + SIGNAL registerFileIn : signed(registerBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT alu + GENERIC ( + aluCodeBitNb : positive := 5; + dataBitNb : positive := 8 + ); + PORT ( + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic ; + opA : IN signed ( dataBitNb-1 DOWNTO 0 ); + opB : IN signed ( dataBitNb-1 DOWNTO 0 ); + aluOut : OUT signed ( dataBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic ; + zero : OUT std_ulogic + ); + END COMPONENT; + COMPONENT aluBOpSelector + GENERIC ( + registerBitNb : positive := 8 + ); + PORT ( + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic ; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic ; + registerFileIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + registerFileSel : IN std_ulogic ; + scratchpadSel : IN std_ulogic ; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + opB : OUT signed (registerBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT registerFile + GENERIC ( + registerAddressBitNb : positive := 4; + dataBitNb : positive := 8 + ); + PORT ( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic ; + regWrite : IN std_ulogic ; + registersIn : IN signed ( dataBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + opA : OUT signed ( dataBitNb-1 DOWNTO 0 ); + opB : OUT signed ( dataBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : alu USE ENTITY NanoBlaze.alu; +-- FOR ALL : aluBOpSelector USE ENTITY NanoBlaze.aluBOpSelector; +-- FOR ALL : registerFile USE ENTITY NanoBlaze.registerFile; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + portOut <= opA; + spadOut <= opA; + + -- HDL Embedded Text Block 2 eb2 + portAddr <= resize(unsigned(registerFileIn), portAddressBitNb); + scratchpadAddr <= resize(unsigned(registerFileIn), scratchpadAddressBitNb); + + + -- Instance port mappings. + I_ALU : alu + GENERIC MAP ( + aluCodeBitNb => aluCodeBitNb, + dataBitNb => registerBitNb + ) + PORT MAP ( + aluCode => aluCode, + cIn => cIn, + opA => opA, + opB => opB, + aluOut => aluOut, + cOut => cOut, + zero => zero + ); + I_bSel : aluBOpSelector + GENERIC MAP ( + registerBitNb => registerBitNb + ) + PORT MAP ( + instrData => instrData, + instrDataSel => instrDataSel, + portIn => portIn, + portInSel => portInSel, + registerFileIn => registerFileIn, + registerFileSel => registerFileSel, + scratchpadSel => scratchpadSel, + spadIn => spadIn, + opB => opB + ); + I_regs : registerFile + GENERIC MAP ( + registerAddressBitNb => registerAddressBitNb, + dataBitNb => registerBitNb + ) + PORT MAP ( + addrA => addrA, + addrB => addrB, + clock => clock, + regWrite => regWrite, + registersIn => aluOut, + reset => reset, + opA => opA, + opB => registerFileIn + ); + +END struct; + + + + +-- VHDL Entity NanoBlaze.branchStack.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY branchStack IS + GENERIC( + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5 + ); + PORT( + clock : IN std_ulogic; + prevPC : IN std_ulogic; + progCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + storePC : IN std_ulogic; + storedProgCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END branchStack ; + + + + + +ARCHITECTURE RTL OF branchStack IS + + subtype progCounterType is unsigned(progCounter'range); + type progCounterArrayType is array (0 to 2**stackPointerBitNb) of progCounterType; + signal progCounterArray : progCounterArrayType; + + signal writePointer : unsigned(stackPointerBitNb-1 downto 0); + signal readPointer : unsigned(stackPointerBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- stack pointers + updateStackPointer: process(reset, clock) + begin + if reset = '1' then + writePointer <= (others => '0'); + elsif rising_edge(clock) then + if storePC = '1' then + writePointer <= writePointer + 1; + elsif prevPC = '1' then + writePointer <= writePointer - 1; + end if; + end if; + end process updateStackPointer; + + readPointer <= writePointer - 1; + + ------------------------------------------------------------------------------ + -- program counters stack + updateStack: process(reset, clock) + begin + if rising_edge(clock) then + if storePc = '1' then + progCounterArray(to_integer(writePointer)) <= progCounter; + end if; + storedProgCounter <= progCounterArray(to_integer(readPointer)); + end if; + end process updateStack; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.controller.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY controller IS + GENERIC( + intCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + opCodeBitNb : positive := 5 + ); + PORT( + branchCond : IN std_ulogic_vector ( branchCondBitNb-1 DOWNTO 0 ); + cOut : IN std_ulogic; + clock : IN std_ulogic; + en : IN std_ulogic; + int : IN std_uLogic; + intCode : IN std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : IN std_ulogic_vector (opCodeBitNb-1 DOWNTO 0); + reset : IN std_ulogic; + twoRegInstr : IN std_ulogic; + zero : IN std_ulogic; + cIn : OUT std_ulogic; + incPC : OUT std_ulogic; + instrDataSel : OUT std_ulogic; + intAck : OUT std_ulogic; + loadInstrAddress : OUT std_ulogic; + loadStoredPC : OUT std_ulogic; + portInSel : OUT std_ulogic; + prevPC : OUT std_ulogic; + readStrobe : OUT std_uLogic; + regWrite : OUT std_ulogic; + registerFileSel : OUT std_ulogic; + scratchpadSel : OUT std_ulogic; + scratchpadWrite : OUT std_ulogic; + storePC : OUT std_ulogic; + writeStrobe : OUT std_uLogic + ); + +-- Declarations + +END controller ; + + + + + +ARCHITECTURE RTL OF controller IS + + signal en1, enInt: std_ulogic; + + constant opCodeLength : integer := 5; + subtype opCodeType is std_ulogic_vector(opCodeLength-1 downto 0); + constant opLoad : opCodeType := "00000"; + constant opInput : opCodeType := "00010"; + constant opFetch : opCodeType := "00011"; + constant opAnd : opCodeType := "00101"; + constant opOr : opCodeType := "00110"; + constant opXor : opCodeType := "00111"; + constant opTest : opCodeType := "01001"; + constant opComp : opCodeType := "01010"; + constant opAdd : opCodeType := "01100"; + constant opAddCy : opCodeType := "01101"; + constant opSub : opCodeType := "01110"; + constant opSubCy : opCodeType := "01111"; + constant opShRot : opCodeType := "10000"; + constant opRet : opCodeType := "10101"; + constant opOutput: opCodeType := "10110"; + constant opStore : opCodeType := "10111"; + constant opCall : opCodeType := "11000"; + constant opJump : opCodeType := "11010"; + constant opIntF : opCodeType := "11110"; + + constant branchConditionLength : integer := 3; + subtype branchConditionType is std_ulogic_vector(branchConditionLength-1 downto 0); + constant brAw : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + signal aluOpSel: std_ulogic; + signal regWriteEn: std_ulogic; + + signal flagsEn, flagsEnable: std_ulogic; + signal carrySaved: std_ulogic; + signal zeroSaved: std_ulogic; + + signal branchEnable1, branchEnable: std_ulogic; + signal discardOpCode: std_ulogic; + + signal updateIntFlag: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Enable signal + buildEnable: process(reset, clock) + begin + if reset = '1' then + en1 <= '0'; + elsif rising_edge(clock) then + en1 <= '1'; + end if; + end process buildEnable; + + enInt <= en1 and en; -- don't enable very first instruction twice + + ------------------------------------------------------------------------------ + -- ALU controls + selectdataSource: process(opCode) + begin + aluOpSel <= '0'; + portInSel <= '0'; + scratchpadSel <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opLoad => aluOpSel <= '1'; + when opInput => portInSel <= '1'; + when opFetch => scratchpadSel <= '1'; + when opAnd => aluOpSel <= '1'; + when opOr => aluOpSel <= '1'; + when opXor => aluOpSel <= '1'; + when opTest => aluOpSel <= '1'; + when opComp => aluOpSel <= '1'; + when opAdd => aluOpSel <= '1'; + when opAddCy => aluOpSel <= '1'; + when opSub => aluOpSel <= '1'; + when opSubCy => aluOpSel <= '1'; + when opShRot => aluOpSel <= '1'; + when others => aluOpSel <= '-'; + portInSel <= '-'; + scratchpadSel <= '-'; + end case; + end process selectdataSource; + + registerFileSel <= aluOpSel and twoRegInstr; + instrDataSel <= aluOpSel and (not twoRegInstr); + + regWriteEn <= enInt and (not discardOpCode); + + regWriteTable: process(opCode, regWriteEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opLoad => regWrite <= regWriteEn; + when opInput => regWrite <= regWriteEn; + when opFetch => regWrite <= regWriteEn; + when opAnd => regWrite <= regWriteEn; + when opOr => regWrite <= regWriteEn; + when opXor => regWrite <= regWriteEn; + when opAdd => regWrite <= regWriteEn; + when opAddCy => regWrite <= regWriteEn; + when opSub => regWrite <= regWriteEn; + when opSubCy => regWrite <= regWriteEn; + when opShRot => regWrite <= regWriteEn; + when others => regWrite <= '0'; + end case; + end process regWriteTable; + + ------------------------------------------------------------------------------ + -- I/O controls + readStrobe <= enInt when (opCode = opInput) and (discardOpCode = '0') + else '0'; + writeStrobe <= enInt when (opCode = opOutput) and (discardOpCode = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- scratchpad controls + scratchpadWrite <= '1' when opCode = opStore else '0'; + + ------------------------------------------------------------------------------ + -- Carry logic + flagsEn <= enInt and (not branchEnable); + + flagsEnableTable: process(opCode, flagsEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opAnd => flagsEnable <= flagsEn; + when opOr => flagsEnable <= flagsEn; + when opXor => flagsEnable <= flagsEn; + when opTest => flagsEnable <= flagsEn; + when opComp => flagsEnable <= flagsEn; + when opAdd => flagsEnable <= flagsEn; + when opAddCy => flagsEnable <= flagsEn; + when opSub => flagsEnable <= flagsEn; + when opSubCy => flagsEnable <= flagsEn; + when opShRot => flagsEnable <= flagsEn; + when others => flagsEnable <= '0'; + end case; + end process flagsEnableTable; + + saveCarries: process(reset, clock) + begin + if reset = '1' then + carrySaved <= '0'; + zeroSaved <= '0'; + elsif rising_edge(clock) then + if flagsEnable = '1' then + carrySaved <= cOut; + zeroSaved <= zero; + end if; + end if; + end process saveCarries; + + cIn <= carrySaved; + + ------------------------------------------------------------------------------ + -- Program counter controls + checkBranchCondition: process(branchCond, zeroSaved, carrySaved) + begin + case branchCond(branchConditionLength-1 downto 0) is + when brAw => branchEnable1 <= '1'; + when brZ => branchEnable1 <= zeroSaved; + when brNZ => branchEnable1 <= not zeroSaved; + when brC => branchEnable1 <= carrySaved; + when brNC => branchEnable1 <= not carrySaved; + when others => branchEnable1 <= '-'; + end case; + end process checkBranchCondition; + + branchEnableTable: process(opCode, branchEnable1, discardOpCode) + begin + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => branchEnable <= branchEnable1; + when opCall => branchEnable <= branchEnable1; + when opJump => branchEnable <= branchEnable1; + when others => branchEnable <= '0'; + end case; + else + branchEnable <= '0'; + end if; + end process branchEnableTable; + + progCounterControlTable: process(opCode, enInt, branchEnable) + begin + incPC <= enInt; + loadInstrAddress <= '0'; + loadStoredPC <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opRet => incPC <= not branchEnable; + loadStoredPC <= enInt and branchEnable; + when opCall => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when opJump => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when others => null; + end case; + end process progCounterControlTable; + + -- If a branch condition is met, the next operation has to be discarded. + -- This is due to the synchronous operation of the program ROM: the + -- instructions are provided one clock period after the program counter. + -- so while the branch operation is processed, the next instruction is + -- already being fetched. + delayBranchEnable: process(reset, clock) + begin + if reset = '1' then + discardOpCode <= '0'; + elsif rising_edge(clock) then + discardOpCode <= branchEnable; + end if; + end process delayBranchEnable; + + ------------------------------------------------------------------------------ + -- Stack pointer controls + pcStackControlTable: process(discardOpCode, opCode, enInt) + begin + storePC <= '0'; + prevPC <= '0'; + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => prevPC <= enInt; + when opCall => storePC <= enInt; + when others => null; + end case; + end if; + end process pcStackControlTable; + + + ------------------------------------------------------------------------------ + -- interrupt control + updateIntFlag <= '1' when opCode = opIntF else '0'; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.instructionDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY instructionDecoder IS + GENERIC( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + instructionBitNb : positive := 18; + programCounterBitNb : positive := 10; + opCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + intCodeBitNb : positive := 5; + spadAddressBitNb : natural := 4; + portAddressBitNb : positive := 8 + ); + PORT( + instruction : IN std_ulogic_vector ( instructionBitNb-1 DOWNTO 0 ); + addrA : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : OUT std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + branchCond : OUT std_ulogic_vector (branchCondBitNb-1 DOWNTO 0); + instrAddress : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + instrData : OUT signed ( registerBitNb-1 DOWNTO 0 ); + intCode : OUT std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : OUT std_ulogic_vector ( opCodeBitNb-1 DOWNTO 0 ); + portAddress : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portIndexedSel : OUT std_ulogic; + spadAddress : OUT unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + spadIndexedSel : OUT std_ulogic; + twoRegInstr : OUT std_ulogic + ); + +-- Declarations + +END instructionDecoder ; + + + + + +ARCHITECTURE RTL OF instructionDecoder IS + + constant opCodeIndexH : integer := instruction'high; + constant opCodeIndexL : integer := opCodeIndexH - opCodeBitNb + 1; + + constant twoRegInstrIndex : integer := opCodeIndexL - 1; + constant ioAddrIndexed : integer := twoRegInstrIndex; + + constant addrAIndexH : integer := twoRegInstrIndex - 1; + constant addrAIndexL : integer := addrAIndexH - registerAddressBitNb + 1; + + constant immediateDataIndexH : integer := registerBitNb-1; + constant immediateDataIndexL : integer := 0; + constant addrBIndexH : integer := addrAIndexL - 1; + constant addrBIndexL : integer := addrBIndexH - registerAddressBitNb + 1; + + constant aluCodeIndexH : integer := opCodeIndexH; + constant aluCodeIndexL : integer := aluCodeIndexH - aluCodeBitNb + 1; + + constant portAddressH : integer := registerBitNb-1; + constant portAddressL : integer := portAddressH-portAddressBitNb+1; + constant spadAddressH : integer := registerBitNb-1; + constant spadAddressL : integer := spadAddressH-spadAddressBitNb+1; + + constant branchCondH : integer := opCodeIndexL-1; + constant branchCondL : integer := branchCondH-branchCondBitNb+1; + +BEGIN + ------------------------------------------------------------------------------ + -- ALU control + aluCode <= + instruction(aluCodeIndexH downto aluCodeIndexL) + when instruction(aluCodeIndexH) = '0' else + '1' & instruction(aluCodeBitNb-2 downto 0); + opCode <= instruction(opCodeIndexH downto opCodeIndexL); + twoRegInstr <= instruction(twoRegInstrIndex); + addrA <= unsigned(instruction(addrAIndexH downto addrAIndexL)); + addrB <= unsigned(instruction(addrBIndexH downto addrBIndexL)); + instrData <= signed(instruction(immediateDataIndexH downto immediateDataIndexL)); + + ------------------------------------------------------------------------------ + -- I/O control + portIndexedSel <= instruction(ioAddrIndexed); + portAddress <= unsigned(instruction(portAddressH downto portAddressL)); + + ------------------------------------------------------------------------------ + -- scratchpad control + spadIndexedSel <= instruction(ioAddrIndexed); + spadAddress <= unsigned(instruction(spadAddressH downto spadAddressL)); + + ------------------------------------------------------------------------------ + -- branch control + branchCond <= instruction(branchCondH downto branchCondL); + instrAddress <= unsigned(instruction(instrAddress'range)); + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.programCounter.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY programCounter IS + GENERIC( + programCounterBitNb : positive := 10 + ); + PORT( + clock : IN std_ulogic; + incPC : IN std_ulogic; + instrAddress : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + loadInstrAddress : IN std_ulogic; + loadStoredPC : IN std_ulogic; + reset : IN std_ulogic; + storedProgCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END programCounter ; + + + + + +ARCHITECTURE RTL OF programCounter IS + + signal pCounter: unsigned(progCounter'range); + +BEGIN + + updateProgramCounter: process(reset, clock) + begin + if reset = '1' then + pCounter <= (others => '0'); + elsif rising_edge(clock) then + if incPC = '1' then + pCounter <= pCounter + 1; + elsif loadInstrAddress = '1' then + pCounter <= instrAddress; + elsif loadStoredPC = '1' then + pCounter <= storedProgCounter; + end if; + end if; + end process updateProgramCounter; + + progCounter <= pCounter; + +END ARCHITECTURE RTL; + + + + +-- VHDL Entity NanoBlaze.scratchpad.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:44:17 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY scratchpad IS + GENERIC( + registerBitNb : positive := 8; + spadAddressBitNb : natural := 4 + ); + PORT( + addr : IN unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic; + dataIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic; + write : IN std_ulogic; + dataOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) + ); + +-- Declarations + +END scratchpad ; + + + + + +ARCHITECTURE RTL OF scratchpad IS + + subtype memoryWordType is signed(dataOut'range); + type memoryArrayType is array (0 to 2**addr'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType; + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(addr)) <= dataIn; + end if; + end if; + end process; + + dataOut <= memoryArray(to_integer(addr)); + +END ARCHITECTURE RTL; + + + + +-- +-- VHDL Architecture NanoBlaze.nanoProcessor.struct +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 07:38:43 11.11.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY NanoBlaze; + +ARCHITECTURE struct OF nanoProcessor IS + + -- Architecture declarations + constant aluCodeBitNb: positive := 5; + constant opCodeBitNb: positive := 5; + constant branchCondBitNb: positive := 3; + constant intCodeBitNb: positive := 5; + + -- Internal signal declarations + SIGNAL addrA : unsigned( registerAddressBitNb-1 DOWNTO 0 ); + SIGNAL addrB : unsigned( registerAddressBitNb-1 DOWNTO 0 ); + SIGNAL aluCode : std_ulogic_vector( aluCodeBitNb-1 DOWNTO 0 ); + SIGNAL branchCond : std_ulogic_vector(branchCondBitNb-1 DOWNTO 0); + SIGNAL cIn : std_ulogic; + SIGNAL cOut : std_ulogic; + SIGNAL incPC : std_ulogic; + SIGNAL instrAddress : unsigned( programCounterBitNb-1 DOWNTO 0 ); + SIGNAL instrData : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL instrDataSel : std_ulogic; + SIGNAL instrString : string(1 TO 16); + SIGNAL intCode : std_ulogic_vector( intCodeBitNb-1 DOWNTO 0 ); + SIGNAL loadInstrAddress : std_ulogic; + SIGNAL loadStoredPC : std_ulogic; + SIGNAL opCode : std_ulogic_vector( opCodeBitNb-1 DOWNTO 0 ); + SIGNAL portIn : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL portInSel : std_ulogic; + SIGNAL portIndexedSel : std_ulogic; + SIGNAL portInstrAddress : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL portOut : signed( registerBitNb-1 DOWNTO 0 ); + SIGNAL portRegAddress : unsigned(addressBitNb-1 DOWNTO 0); + SIGNAL prevPC : std_ulogic; + SIGNAL regWrite : std_ulogic; + SIGNAL registerFileSel : std_ulogic; + SIGNAL scratchpadSel : std_ulogic; + SIGNAL scratchpadWrite : std_ulogic; + SIGNAL spadAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL spadIn : signed(registerBitNb-1 DOWNTO 0); + SIGNAL spadIndexedSel : std_ulogic; + SIGNAL spadInstrAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL spadOut : signed(registerBitNb-1 DOWNTO 0); + SIGNAL spadRegAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0); + SIGNAL storePC : std_ulogic; + SIGNAL storedProgCounter : unsigned( programCounterBitNb-1 DOWNTO 0 ); + SIGNAL twoRegInstr : std_ulogic; + SIGNAL zero : std_ulogic; + + -- Implicit buffer signal declarations + SIGNAL progCounter_internal : unsigned ( programCounterBitNb-1 DOWNTO 0 ); + + + -- Component Declarations + COMPONENT aluAndRegs + GENERIC ( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + portAddressBitNb : positive := 8; + scratchpadAddressBitNb : natural := 4 + ); + PORT ( + addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + cIn : IN std_ulogic ; + clock : IN std_ulogic ; + instrData : IN signed ( registerBitNb-1 DOWNTO 0 ); + instrDataSel : IN std_ulogic ; + portIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + portInSel : IN std_ulogic ; + regWrite : IN std_ulogic ; + registerFileSel : IN std_ulogic ; + reset : IN std_ulogic ; + scratchpadSel : IN std_ulogic ; + spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + cOut : OUT std_ulogic ; + portAddr : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + scratchpadAddr : OUT unsigned (scratchpadAddressBitNb-1 DOWNTO 0); + spadOut : OUT signed ( registerBitNb-1 DOWNTO 0 ); + zero : OUT std_ulogic + ); + END COMPONENT; + COMPONENT branchStack + GENERIC ( + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5 + ); + PORT ( + clock : IN std_ulogic ; + prevPC : IN std_ulogic ; + progCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + storePC : IN std_ulogic ; + storedProgCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + COMPONENT controller + GENERIC ( + intCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + opCodeBitNb : positive := 5 + ); + PORT ( + branchCond : IN std_ulogic_vector ( branchCondBitNb-1 DOWNTO 0 ); + cOut : IN std_ulogic ; + clock : IN std_ulogic ; + en : IN std_ulogic ; + int : IN std_uLogic ; + intCode : IN std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : IN std_ulogic_vector (opCodeBitNb-1 DOWNTO 0); + reset : IN std_ulogic ; + twoRegInstr : IN std_ulogic ; + zero : IN std_ulogic ; + cIn : OUT std_ulogic ; + incPC : OUT std_ulogic ; + instrDataSel : OUT std_ulogic ; + intAck : OUT std_ulogic ; + loadInstrAddress : OUT std_ulogic ; + loadStoredPC : OUT std_ulogic ; + portInSel : OUT std_ulogic ; + prevPC : OUT std_ulogic ; + readStrobe : OUT std_uLogic ; + regWrite : OUT std_ulogic ; + registerFileSel : OUT std_ulogic ; + scratchpadSel : OUT std_ulogic ; + scratchpadWrite : OUT std_ulogic ; + storePC : OUT std_ulogic ; + writeStrobe : OUT std_uLogic + ); + END COMPONENT; + COMPONENT instructionDecoder + GENERIC ( + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + aluCodeBitNb : positive := 5; + instructionBitNb : positive := 18; + programCounterBitNb : positive := 10; + opCodeBitNb : positive := 5; + branchCondBitNb : positive := 3; + intCodeBitNb : positive := 5; + spadAddressBitNb : natural := 4; + portAddressBitNb : positive := 8 + ); + PORT ( + instruction : IN std_ulogic_vector ( instructionBitNb-1 DOWNTO 0 ); + addrA : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + addrB : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ); + aluCode : OUT std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ); + branchCond : OUT std_ulogic_vector (branchCondBitNb-1 DOWNTO 0); + instrAddress : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + instrData : OUT signed ( registerBitNb-1 DOWNTO 0 ); + intCode : OUT std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ); + opCode : OUT std_ulogic_vector ( opCodeBitNb-1 DOWNTO 0 ); + portAddress : OUT unsigned (portAddressBitNb-1 DOWNTO 0); + portIndexedSel : OUT std_ulogic ; + spadAddress : OUT unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + spadIndexedSel : OUT std_ulogic ; + twoRegInstr : OUT std_ulogic + ); + END COMPONENT; + COMPONENT programCounter + GENERIC ( + programCounterBitNb : positive := 10 + ); + PORT ( + clock : IN std_ulogic ; + incPC : IN std_ulogic ; + instrAddress : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + loadInstrAddress : IN std_ulogic ; + loadStoredPC : IN std_ulogic ; + reset : IN std_ulogic ; + storedProgCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ); + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + COMPONENT scratchpad + GENERIC ( + registerBitNb : positive := 8; + spadAddressBitNb : natural := 4 + ); + PORT ( + addr : IN unsigned ( spadAddressBitNb-1 DOWNTO 0 ); + clock : IN std_ulogic ; + dataIn : IN signed ( registerBitNb-1 DOWNTO 0 ); + reset : IN std_ulogic ; + write : IN std_ulogic ; + dataOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : aluAndRegs USE ENTITY NanoBlaze.aluAndRegs; +-- FOR ALL : branchStack USE ENTITY NanoBlaze.branchStack; +-- FOR ALL : controller USE ENTITY NanoBlaze.controller; +-- FOR ALL : instructionDecoder USE ENTITY NanoBlaze.instructionDecoder; +-- FOR ALL : programCounter USE ENTITY NanoBlaze.programCounter; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + dataAddress <= portInstrAddress when portIndexedSel = '0' else portRegAddress; + + -- HDL Embedded Text Block 2 eb2 + dataOut <= std_ulogic_vector(portOut); + portIn <= signed(dataIn); + + -- HDL Embedded Text Block 3 eb3 + spadAddress <= spadInstrAddress when spadIndexedSel = '0' else spadRegAddress; + + -- HDL Embedded Text Block 4 eb4 + -- pragma translate_off + + process(instruction) + + constant bitsPerHexDigit : positive := 4; + + function pad(inString : string; outLength : positive) return string is + variable outString : string(1 to outLength); + begin + outString := (others => ' '); + outString(inString'range) := inString; + return outString; + end function pad; + + function hexDigitNb(bitNb : positive) return positive is + begin + return (bitNb-1)/bitsPerHexDigit+1; + end function hexDigitNb; + + function to01(nineValued : unsigned) return unsigned is + variable twoValued : unsigned(nineValued'range); + begin + twoValued := (others => '0'); + for index in nineValued'range loop + if (nineValued(index) = '1') or (nineValued(index) = 'H') then + twoValued(index) := '1'; + end if; + end loop; + return twoValued; + end function to01; + + variable opCode : unsigned(1+opCodeBitNb-1 downto 0); + variable destRegister : unsigned(registerAddressBitNb-1 downto 0); + variable destRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceRegister : unsigned(registerAddressBitNb-1 downto 0); + variable sourceRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceConstant : unsigned(registerBitNb-1 downto 0); + variable sourceConstantString : string(1 to hexDigitNb(registerBitNb)); + variable branchAddress : unsigned(programCounterBitNb-1 downto 0); + variable branchAddressString : string(1 to hexDigitNb(programCounterBitNb)); + variable branchKind : unsigned(1 downto 0); + variable shRotCin : unsigned(2 downto 0); + variable shRotDir: std_ulogic; + + function toHexDigit(binary : unsigned(bitsPerHexDigit-1 downto 0)) return character is + begin + if binary <= 9 then + return character'val(character'pos('0') + to_integer(to01(binary))); + else + return character'val(character'pos('A') + to_integer(to01(binary)) - 10); + end if; + end function toHexDigit; + + function toHexString(binary : unsigned) return string is + variable hexString : string(1 to hexDigitNb(binary'length)); + begin + for index in hexString'high-1 downto 0 loop + hexString(hexString'high-index) := toHexDigit( + resize(shift_right(binary, bitsPerHexDigit*index), bitsPerHexDigit) + ); + end loop; + return hexString; + end function toHexString; + + begin + + opCode := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length), + opCode'length + ); + destRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length), + destRegister'length + ); + destRegisterString := 's' & toHexDigit(destRegister); + sourceRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length-sourceRegister'length), + sourceRegister'length + ); + sourceRegisterString := 's' & toHexDigit(sourceRegister); + sourceConstant := resize(unsigned(instruction), sourceConstant'length); + sourceConstantString := toHexString(sourceConstant); + branchKind := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-branchKind'length), + branchKind'length + ); + branchAddress := resize(unsigned(instruction), branchAddress'length); + branchAddressString := toHexString(branchAddress); + shRotCin := resize(shift_right(unsigned(instruction), 1), shRotCin'length); + shRotDir := instruction(0); + + case opCode is + when "000000" => instrString <= pad("LOAD " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000001" => instrString <= pad("LOAD " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "000100" => instrString <= pad("INPUT " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000101" => instrString <= pad("INPUT " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "000110" => instrString <= pad("FETCH " & destRegisterString & " " & sourceConstantString, instrString'length); + when "000111" => instrString <= pad("FETCH " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001010" => instrString <= pad("AND " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001011" => instrString <= pad("AND " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001100" => instrString <= pad("OR " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001101" => instrString <= pad("OR " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "001110" => instrString <= pad("XOR " & destRegisterString & " " & sourceConstantString, instrString'length); + when "001111" => instrString <= pad("XOR " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "010010" => instrString <= pad("TEST " & destRegisterString & " " & sourceConstantString, instrString'length); + when "010011" => instrString <= pad("TEST " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "010100" => instrString <= pad("COMP " & destRegisterString & " " & sourceConstantString, instrString'length); + when "010101" => instrString <= pad("COMP " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011000" => instrString <= pad("ADD " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011001" => instrString <= pad("ADD " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011010" => instrString <= pad("ADDCY " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011011" => instrString <= pad("ADDCY " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011100" => instrString <= pad("SUB " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011101" => instrString <= pad("SUB " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "011110" => instrString <= pad("SUBCY " & destRegisterString & " " & sourceConstantString, instrString'length); + when "011111" => instrString <= pad("SUBCY " & destRegisterString & " " & sourceRegisterString, instrString'length); + when "100000" => + case shRotCin is + when "000" => instrString <= pad("SLA " & destRegisterString, instrString'length); + when "001" => instrString <= pad("RL " & destRegisterString, instrString'length); + when "010" => instrString <= pad("SLX " & destRegisterString, instrString'length); + when "011" => + case shRotDir is + when '0' => instrString <= pad("SL0 " & destRegisterString, instrString'length); + when '1' => instrString <= pad("SL1 " & destRegisterString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "100" => instrString <= pad("SRA " & destRegisterString, instrString'length); + when "101" => instrString <= pad("SRX " & destRegisterString, instrString'length); + when "110" => instrString <= pad("RR " & destRegisterString, instrString'length); + when "111" => + case shRotDir is + when '0' => instrString <= pad("SR0 " & destRegisterString, instrString'length); + when '1' => instrString <= pad("SR1 " & destRegisterString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when others => instrString <= pad("--------", instrString'length); + end case; + when "101100" => instrString <= pad("OUTPUT " & destRegisterString & " " & sourceConstantString, instrString'length); + when "101101" => instrString <= pad("OUTPUT " & destRegisterString & " (" & sourceRegisterString & ")", instrString'length); + when "101110" => instrString <= pad("STORE " & destRegisterString & " " & sourceConstantString, instrString'length); + when "101111" => instrString <= pad("STORE " & destRegisterString & " (" & sourceRegisterString & ")", instrString'length); + when "101010" => instrString <= pad("RET", instrString'length); + when "101011" => + case branchKind is + when "00" => instrString <= pad("RET Z", instrString'length); + when "01" => instrString <= pad("RET NZ", instrString'length); + when "10" => instrString <= pad("RET C", instrString'length); + when "11" => instrString <= pad("RET NC", instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "110000" => instrString <= pad("CALL " & branchAddressString, instrString'length); + when "110001" => + case branchKind is + when "00" => instrString <= pad("CALL Z " & branchAddressString, instrString'length); + when "01" => instrString <= pad("CALL NZ " & branchAddressString, instrString'length); + when "10" => instrString <= pad("CALL C " & branchAddressString, instrString'length); + when "11" => instrString <= pad("CALL NC " & branchAddressString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when "110100" => instrString <= pad("JUMP " & branchAddressString, instrString'length); + when "110101" => + case branchKind is + when "00" => instrString <= pad("JUMP Z " & branchAddressString, instrString'length); + when "01" => instrString <= pad("JUMP NZ " & branchAddressString, instrString'length); + when "10" => instrString <= pad("JUMP C " & branchAddressString, instrString'length); + when "11" => instrString <= pad("JUMP NC " & branchAddressString, instrString'length); + when others => instrString <= pad("--------", instrString'length); + end case; + when others => instrString <= pad("--------", instrString'length); + end case; + + end process; + + -- pragma translate_on + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- Instance port mappings. + I_alu : aluAndRegs + GENERIC MAP ( + registerBitNb => registerBitNb, + registerAddressBitNb => registerAddressBitNb, + aluCodeBitNb => aluCodeBitNb, + portAddressBitNb => addressBitNb, + scratchpadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + addrA => addrA, + addrB => addrB, + aluCode => aluCode, + cIn => cIn, + clock => clock, + instrData => instrData, + instrDataSel => instrDataSel, + portIn => portIn, + portInSel => portInSel, + regWrite => regWrite, + registerFileSel => registerFileSel, + reset => reset, + scratchpadSel => scratchpadSel, + spadIn => spadIn, + cOut => cOut, + portAddr => portRegAddress, + portOut => portOut, + scratchpadAddr => spadRegAddress, + spadOut => spadOut, + zero => zero + ); + I_BR : branchStack + GENERIC MAP ( + programCounterBitNb => programCounterBitNb, + stackPointerBitNb => stackPointerBitNb + ) + PORT MAP ( + clock => clock, + prevPC => prevPC, + progCounter => progCounter_internal, + reset => reset, + storePC => storePC, + storedProgCounter => storedProgCounter + ); + I_ctrl : controller + GENERIC MAP ( + intCodeBitNb => 5, + branchCondBitNb => branchCondBitNb, + opCodeBitNb => opCodeBitNb + ) + PORT MAP ( + branchCond => branchCond, + cOut => cOut, + clock => clock, + en => en, + int => int, + intCode => intCode, + opCode => opCode, + reset => reset, + twoRegInstr => twoRegInstr, + zero => zero, + cIn => cIn, + incPC => incPC, + instrDataSel => instrDataSel, + intAck => intAck, + loadInstrAddress => loadInstrAddress, + loadStoredPC => loadStoredPC, + portInSel => portInSel, + prevPC => prevPC, + readStrobe => readStrobe, + regWrite => regWrite, + registerFileSel => registerFileSel, + scratchpadSel => scratchpadSel, + scratchpadWrite => scratchpadWrite, + storePC => storePC, + writeStrobe => writeStrobe + ); + I_instr : instructionDecoder + GENERIC MAP ( + registerBitNb => registerBitNb, + registerAddressBitNb => registerAddressBitNb, + aluCodeBitNb => aluCodeBitNb, + instructionBitNb => instructionBitNb, + programCounterBitNb => programCounterBitNb, + opCodeBitNb => opCodeBitNb, + branchCondBitNb => branchCondBitNb, + intCodeBitNb => 5, + spadAddressBitNb => scratchpadAddressBitNb, + portAddressBitNb => addressBitNb + ) + PORT MAP ( + instruction => instruction, + addrA => addrA, + addrB => addrB, + aluCode => aluCode, + branchCond => branchCond, + instrAddress => instrAddress, + instrData => instrData, + intCode => intCode, + opCode => opCode, + portAddress => portInstrAddress, + portIndexedSel => portIndexedSel, + spadAddress => spadInstrAddress, + spadIndexedSel => spadIndexedSel, + twoRegInstr => twoRegInstr + ); + I_PC : programCounter + GENERIC MAP ( + programCounterBitNb => programCounterBitNb + ) + PORT MAP ( + clock => clock, + incPC => incPC, + instrAddress => instrAddress, + loadInstrAddress => loadInstrAddress, + loadStoredPC => loadStoredPC, + reset => reset, + storedProgCounter => storedProgCounter, + progCounter => progCounter_internal + ); + + g_scratchpad: IF scratchpadAddressBitNb > 0 GENERATE + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : scratchpad USE ENTITY NanoBlaze.scratchpad; + -- pragma synthesis_on + + BEGIN + I_sPad : scratchpad + GENERIC MAP ( + registerBitNb => registerBitNb, + spadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + addr => spadAddress, + clock => clock, + dataIn => spadOut, + reset => reset, + write => scratchpadWrite, + dataOut => spadIn + ); + END GENERATE g_scratchpad; + + -- Implicit buffered output assignments + progCounter <= progCounter_internal; + +END struct; + + + + +-- +-- VHDL Architecture SystemOnChip.beamerSoc.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:02:29 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +-- LIBRARY AhbLite; + use work.ahbLite.all; + +-- LIBRARY AhbLiteComponents; +-- LIBRARY NanoBlaze; +-- LIBRARY SystemOnChip; + +ARCHITECTURE struct OF beamerSoc IS + + -- Architecture declarations + constant programCounterBitNb: positive := 10; + constant instructionBitNb: positive := 26; + constant stackPointerBitNb: positive := 4; + constant registerAddressBitNb: positive := 4; + constant scratchpadAddressBitNb: natural := 0; + + constant signalBitNb: positive := 16; + constant updatePeriodBitNb : positive := 16; + + constant gpioIndex: positive := 1; + constant uartIndex: positive := gpioIndex+1; + constant beamerIndex: positive := uartIndex+1; + + constant ahbMemoryLocation : ahbMemoryLocationVector := ( + gpioIndex => ( + baseAddress => 16#0000#, + addressMask => 16#10000# - 16#0002# + ), + uartIndex => ( + baseAddress => 16#0010#, + addressMask => 16#10000# - 16#0004# + ), + beamerIndex => ( + baseAddress => 16#0020#, + addressMask => 16#10000# - 16#0004# + ), + others => ( + baseAddress => 16#FFFF#, + addressMask => 16#0000# + ) + ); + + -- Internal signal declarations + SIGNAL upEn : std_ulogic; + SIGNAL int : std_uLogic; + SIGNAL upAddress : unsigned(ahbAddressBitNb-1 DOWNTO 0); + SIGNAL upDataOut : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL upDataIn : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL upReadStrobe : std_uLogic; + SIGNAL upWriteStrobe : std_uLogic; + SIGNAL hAddr : unsigned(ahbAddressBitNb-1 DOWNTO 0); + SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0); + SIGNAL hSize : std_ulogic_vector(ahbSizeBitNb-1 DOWNTO 0); + SIGNAL hBurst : std_ulogic_vector(ahbBurstBitNb-1 DOWNTO 0); + SIGNAL hProt : std_ulogic_vector(ahbProtBitNb-1 DOWNTO 0); + SIGNAL hWrite : std_uLogic; + SIGNAL hReady : std_uLogic; + SIGNAL hMastLock : std_uLogic; + SIGNAL hResp : std_uLogic; + SIGNAL hClk : std_uLogic; + SIGNAL hReset_n : std_uLogic; + SIGNAL hSelV : std_ulogic_vector(1 TO ahbSlaveNb); + SIGNAL hRDataV : ahbDataVector; + SIGNAL hReadyV : std_logic_vector(1 TO ahbSlaveNb); + SIGNAL hRespV : std_logic_vector(1 TO ahbSlaveNb); + SIGNAL hSelGpio : std_uLogic; + SIGNAL hRespGpio : std_uLogic; + SIGNAL hReadyGpio : std_uLogic; + SIGNAL hRDataGpio : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRDataBeamer : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hRDataUart : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0); + SIGNAL hSelBeamer : std_uLogic; + SIGNAL hSelUart : std_uLogic; + SIGNAL hRespUart : std_uLogic; + SIGNAL hRespBeamer : std_uLogic; + SIGNAL hReadyBeamer : std_uLogic; + SIGNAL hReadyUart : std_uLogic; + SIGNAL intAck : std_ulogic; + SIGNAL instruction : std_ulogic_vector(instructionBitNb-1 DOWNTO 0); + SIGNAL programCounter : unsigned(programCounterBitNb-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT ahbDecoder + GENERIC ( + ahbMemoryLocation : ahbMemoryLocationVector + ); + PORT ( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hSel : OUT std_ulogic_vector (1 TO ahbSlaveNb) + ); + END COMPONENT; + COMPONENT ahbMasterInterface + PORT ( + clock : IN std_ulogic ; + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic ; + hResp : IN std_uLogic ; + pAddress : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + pDataOut : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + pReadStrobe : IN std_uLogic ; + pWriteStrobe : IN std_uLogic ; + reset : IN std_ulogic ; + hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hBurst : OUT std_ulogic_vector (ahbBurstBitNb-1 DOWNTO 0); + hClk : OUT std_uLogic ; + hMastLock : OUT std_uLogic ; + hProt : OUT std_ulogic_vector (ahbProtBitNb-1 DOWNTO 0); + hReset_n : OUT std_uLogic ; + hSize : OUT std_ulogic_vector (ahbSizeBitNb-1 DOWNTO 0); + hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : OUT std_uLogic ; + pDataIn : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT ahbMultiplexor + PORT ( + hRDataV : IN ahbDataVector ; + hReadyV : IN std_logic_vector (1 TO ahbSlaveNb); + hRespV : IN std_logic_vector (1 TO ahbSlaveNb); + hSel : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbMuxConnector + GENERIC ( + index : positive := 1 + ); + PORT ( + hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : IN std_uLogic ; + hResp : IN std_uLogic ; + hSelV : IN std_ulogic_vector ( 1 TO ahbSlaveNb ); + hRDataV : OUT ahbDataVector ; + hReadyV : OUT std_logic_vector (1 TO ahbSlaveNb); + hRespV : OUT std_logic_vector (1 TO ahbSlaveNb); + hSel : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbGpio + GENERIC ( + ioNb : positive := 8 + ); + PORT ( + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic ; + hReset_n : IN std_uLogic ; + hSel : IN std_uLogic ; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic ; + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic ; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT ahbUart + GENERIC ( + txFifoDepth : positive := 8; + rxFifoDepth : positive := 1 + ); + PORT ( + RxD : IN std_ulogic ; + hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ); + hClk : IN std_uLogic ; + hReset_n : IN std_uLogic ; + hSel : IN std_uLogic ; + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hWrite : IN std_uLogic ; + TxD : OUT std_ulogic ; + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hReady : OUT std_uLogic ; + hResp : OUT std_uLogic + ); + END COMPONENT; + COMPONENT nanoProcessor + GENERIC ( + addressBitNb : positive := 8; + registerBitNb : positive := 8; + registerAddressBitNb : positive := 4; + programCounterBitNb : positive := 10; + stackPointerBitNb : positive := 5; + instructionBitNb : positive := 18; + scratchpadAddressBitNb : natural := 4 + ); + PORT ( + clock : IN std_ulogic ; + dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0); + en : IN std_ulogic ; + instruction : IN std_ulogic_vector (instructionBitNb-1 DOWNTO 0); + int : IN std_uLogic ; + reset : IN std_ulogic ; + dataAddress : OUT unsigned (addressBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0); + intAck : OUT std_ulogic ; + progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ); + readStrobe : OUT std_uLogic ; + writeStrobe : OUT std_uLogic + ); + END COMPONENT; + COMPONENT ahbBeamer + GENERIC ( + patternAddressBitNb : positive := 9; + testOutBitNb : positive := 16 + ); + PORT ( + outX : OUT std_ulogic ; + hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0); + outY : OUT std_ulogic ; + hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + selSinCos : IN std_ulogic ; + testOut : OUT std_ulogic_vector (1 TO testOutBitNb); + hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0); + hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0); + hWrite : IN std_ulogic ; + hSel : IN std_ulogic ; + hReady : OUT std_ulogic ; + hResp : OUT std_ulogic ; + hClk : IN std_ulogic ; + hReset_n : IN std_ulogic + ); + END COMPONENT; + COMPONENT programRom + GENERIC ( + addressBitNb : positive := 8; + dataBitNb : positive := 8 + ); + PORT ( + address : IN unsigned (addressBitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + en : IN std_ulogic ; + reset : IN std_ulogic ; + dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 ) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : ahbBeamer USE ENTITY SystemOnChip.ahbBeamer; +-- FOR ALL : ahbDecoder USE ENTITY AhbLite.ahbDecoder; +-- FOR ALL : ahbGpio USE ENTITY AhbLiteComponents.ahbGpio; +-- FOR ALL : ahbMasterInterface USE ENTITY AhbLite.ahbMasterInterface; +-- FOR ALL : ahbMultiplexor USE ENTITY AhbLite.ahbMultiplexor; +-- FOR ALL : ahbMuxConnector USE ENTITY AhbLite.ahbMuxConnector; +-- FOR ALL : ahbUart USE ENTITY AhbLiteComponents.ahbUart; +-- FOR ALL : nanoProcessor USE ENTITY NanoBlaze.nanoProcessor; +-- FOR ALL : programRom USE ENTITY SystemOnChip.programRom; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + upEn <= '1'; + + + -- Instance port mappings. + I_dec : ahbDecoder + GENERIC MAP ( + ahbMemoryLocation => ahbMemoryLocation + ) + PORT MAP ( + hAddr => hAddr, + hSel => hSelV + ); + I_mst : ahbMasterInterface + PORT MAP ( + clock => clock, + hRData => hRData, + hReady => hReady, + hResp => hResp, + pAddress => upAddress, + pDataOut => upDataOut, + pReadStrobe => upReadStrobe, + pWriteStrobe => upWriteStrobe, + reset => reset, + hAddr => hAddr, + hBurst => hBurst, + hClk => hClk, + hMastLock => hMastLock, + hProt => hProt, + hReset_n => hReset_n, + hSize => hSize, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + pDataIn => upDataIn + ); + I_mux : ahbMultiplexor + PORT MAP ( + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelV, + hRData => hRData, + hReady => hReady, + hResp => hResp + ); + I_connBeam : ahbMuxConnector + GENERIC MAP ( + index => beamerIndex + ) + PORT MAP ( + hRData => hRDataBeamer, + hReady => hReadyBeamer, + hResp => hRespBeamer, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelBeamer + ); + I_connT : ahbMuxConnector + GENERIC MAP ( + index => gpioIndex + ) + PORT MAP ( + hRData => hRDataGpio, + hReady => hReadyGpio, + hResp => hRespGpio, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelGpio + ); + I_connUart : ahbMuxConnector + GENERIC MAP ( + index => uartIndex + ) + PORT MAP ( + hRData => hRDataUart, + hReady => hReadyUart, + hResp => hRespUart, + hSelV => hSelV, + hRDataV => hRDataV, + hReadyV => hReadyV, + hRespV => hRespV, + hSel => hSelUart + ); + I_GPIO : ahbGpio + GENERIC MAP ( + ioNb => ioNb + ) + PORT MAP ( + hAddr => hAddr, + hClk => hClk, + hReset_n => hReset_n, + hSel => hSelGpio, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + ioIn => ioIn, + hRData => hRDataGpio, + hReady => hReadyGpio, + hResp => hRespGpio, + ioEn => ioEn, + ioOut => ioOut + ); + I_UART : ahbUart + GENERIC MAP ( + txFifoDepth => 8, + rxFifoDepth => 1 + ) + PORT MAP ( + RxD => RxD, + hAddr => hAddr, + hClk => hClk, + hReset_n => hReset_n, + hSel => hSelUart, + hTrans => hTrans, + hWData => hWData, + hWrite => hWrite, + TxD => TxD, + hRData => hRDataUart, + hReady => hReadyUart, + hResp => hRespUart + ); + I_up : nanoProcessor + GENERIC MAP ( + addressBitNb => ahbAddressBitNb, + registerBitNb => ahbDataBitNb, + registerAddressBitNb => registerAddressBitNb, + programCounterBitNb => programCounterBitNb, + stackPointerBitNb => stackPointerBitNb, + instructionBitNb => instructionBitNb, + scratchpadAddressBitNb => scratchpadAddressBitNb + ) + PORT MAP ( + clock => clock, + dataIn => upDataIn, + en => upEn, + instruction => instruction, + int => int, + reset => reset, + dataAddress => upAddress, + dataOut => upDataOut, + intAck => intAck, + progCounter => programCounter, + readStrobe => upReadStrobe, + writeStrobe => upWriteStrobe + ); + I_beamer : ahbBeamer + GENERIC MAP ( + patternAddressBitNb => patternAddressBitNb, + testOutBitNb => testOutBitNb + ) + PORT MAP ( + outX => outX, + hAddr => hAddr, + outY => outY, + hWData => hWData, + selSinCos => selSinCos, + testOut => testOut, + hRData => hRDataBeamer, + hTrans => hTrans, + hWrite => hWrite, + hSel => hSelBeamer, + hReady => hReadyBeamer, + hResp => hRespBeamer, + hClk => hClk, + hReset_n => hReset_n + ); + I_rom : programRom + GENERIC MAP ( + addressBitNb => programCounterBitNb, + dataBitNb => instructionBitNb + ) + PORT MAP ( + address => programCounter, + clock => clock, + en => upEn, + reset => reset, + dataOut => instruction + ); + +END struct; + + + + +-- +-- VHDL Architecture Board.SoC_ebs3.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:21:25 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Board; +-- LIBRARY Lattice; +-- LIBRARY SystemOnChip; + +ARCHITECTURE struct OF SoC_ebs3 IS + + -- Architecture declarations + constant ioNb: positive := 8; + constant testOutBitNb: positive := 16; + constant patternAddressBitNb: positive := 9; + + -- Internal signal declarations + SIGNAL clk_sys : std_ulogic; + SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0); + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_N : std_ulogic; + SIGNAL rxdSynch : std_ulogic; + SIGNAL selSinCos : std_ulogic; + SIGNAL selSinCosSynch : std_ulogic; + SIGNAL testOut : std_ulogic_vector(1 TO testOutBitNb); + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT beamerSoc + GENERIC ( + ioNb : positive := 8; + testOutBitNb : positive := 16; + patternAddressBitNb : positive := 9 + ); + PORT ( + TxD : OUT std_ulogic ; + RxD : IN std_ulogic ; + outX : OUT std_ulogic ; + outY : OUT std_ulogic ; + selSinCos : IN std_ulogic ; + reset : IN std_ulogic ; + clock : IN std_ulogic ; + ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0); + ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0); + testOut : OUT std_ulogic_vector (1 TO testOutBitNb) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DFF USE ENTITY Board.DFF; +-- FOR ALL : beamerSoc USE ENTITY SystemOnChip.beamerSoc; +-- FOR ALL : inverterIn USE ENTITY Board.inverterIn; +-- FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 3 eb3 + LED1 <= testOut(1); + LED2 <= testOut(2); + spare(testOut'range) <= testOut; + spare(testOut'high+1 to spare'high) <= (others => '0'); + + -- HDL Embedded Text Block 4 eb4 + logic1 <= '1'; + + -- HDL Embedded Text Block 5 eb5 + logic0 <= '0'; + + + -- Instance port mappings. + I8 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => RxD, + Q => rxdSynch + ); + I9 : DFF + PORT MAP ( + CLK => clk_sys, + CLR => resetSynch, + D => selSinCos, + Q => selSinCosSynch + ); + I12 : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_N + ); + I2 : inverterIn + PORT MAP ( + in1 => reset_N, + out1 => reset + ); + I3 : inverterIn + PORT MAP ( + in1 => resetSynch_N, + out1 => resetSynch + ); + I7 : inverterIn + PORT MAP ( + in1 => selSinCos_n, + out1 => selSinCos + ); + I_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clk_sys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_top : beamerSoc + GENERIC MAP ( + ioNb => ioNb, + testOutBitNb => testOutBitNb, + patternAddressBitNb => patternAddressBitNb + ) + PORT MAP ( + TxD => TxD, + RxD => rxdSynch, + outX => xOut, + outY => yOut, + selSinCos => selSinCosSynch, + reset => resetSynch, + clock => clk_sys, + ioEn => OPEN, + ioOut => OPEN, + ioIn => ioIn, + testOut => testOut + ); + +END struct; + + + + diff --git a/06-07-08-09-SystemOnChip/Board/diamond/programmer.xcf b/06-07-08-09-SystemOnChip/Board/diamond/programmer.xcf new file mode 100644 index 0000000..468a2da --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/diamond/programmer.xcf @@ -0,0 +1,50 @@ + + + + + + JTAG + + + 1 + Renesas + ECP5U + LFE5U-25F + All + LFE5U-25F + + 8 + 11111111 + 1 + 0 + + + 04/11/23 16:14:28 + 0xA4B0 + Fast Program + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + 4 + + + USB2 + FTUSB-0 + LFE5U-25F A Location 0000 Serial 018VFVT3A + + diff --git a/06-07-08-09-SystemOnChip/Board/diamond/reveal_analyze.rva b/06-07-08-09-SystemOnChip/Board/diamond/reveal_analyze.rva new file mode 100644 index 0000000..4249e0e --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/diamond/reveal_analyze.rva @@ -0,0 +1,638 @@ + + + lun. 6. mars 14:29:10 2023 + + + + + + + + + + + + 1 + + 0 + 1. LFE5U-25F + 0x41111043 + true + false + + 8 + reveal_config.rvl + reveal_config.rvs + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/06-07-08-09-SystemOnChip/Board/diamond/reveal_config.rvl b/06-07-08-09-SystemOnChip/Board/diamond/reveal_config.rvl new file mode 100644 index 0000000..28d2f1c --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/diamond/reveal_config.rvl @@ -0,0 +1,662 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/06-07-08-09-SystemOnChip/Board/diamond/strategy.sty b/06-07-08-09-SystemOnChip/Board/diamond/strategy.sty new file mode 100644 index 0000000..a2b5a4a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/diamond/strategy.sty @@ -0,0 +1,203 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.bit b/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.bit new file mode 100644 index 0000000..b654faf Binary files /dev/null and b/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.bit differ diff --git a/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.ldf b/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.ldf new file mode 100644 index 0000000..f1ae61d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/diamond/systemOnChip.ldf @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/06-07-08-09-SystemOnChip/Board/hdl/DFF_sim.vhd b/06-07-08-09-SystemOnChip/Board/hdl/DFF_sim.vhd new file mode 100644 index 0000000..cf8868a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hdl/DFF_sim.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/06-07-08-09-SystemOnChip/Board/hdl/buff_sim.vhd b/06-07-08-09-SystemOnChip/Board/hdl/buff_sim.vhd new file mode 100644 index 0000000..8ad27be --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hdl/buff_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF buff IS +BEGIN + + out1 <= in1; + +END ARCHITECTURE sim; + diff --git a/06-07-08-09-SystemOnChip/Board/hdl/inverterIn_sim.vhd b/06-07-08-09-SystemOnChip/Board/hdl/inverterIn_sim.vhd new file mode 100644 index 0000000..fb039ac --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hdl/inverterIn_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/06-07-08-09-SystemOnChip/Board/hdl/inverter_sim.vhd b/06-07-08-09-SystemOnChip/Board/hdl/inverter_sim.vhd new file mode 100644 index 0000000..ca5b6b5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hdl/inverter_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_entity.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_struct.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_fpga_beamer_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_entity.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_struct.vhg._fpf b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/.hdlsidedata/_soc_ebs3_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/Board/hds/@d@f@f/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..555b689 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@d@f@f/symbol.sb @@ -0,0 +1,1211 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 158,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 159,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 160,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 156,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 164,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 165,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 132,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 163,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:05" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:05" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,4625,23000,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,12,0" +) +xt "24000,4300,25700,5700" +st "D" +blo "24000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,15500,13400" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +optionalChildren [ +*62 (FFT +pts [ +"23750,9000" +"23000,9375" +"23000,8625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,8625,23000,9375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,12,0" +) +xt "24000,8400,27200,9800" +st "CLK" +blo "24000,9600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,15500,11600" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,11000,26375,11750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,12,0" +) +xt "25000,9600,28200,11000" +st "CLR" +blo "25000,10800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15500,12500" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,4625,29750,5375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +font "courier,12,0" +) +xt "26200,4300,28000,5700" +st "Q" +ju 2 +blo "28000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,14500,14300" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,3000,29000,11000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +) +xt "27600,10700,30600,11700" +st "Board" +blo "27600,11500" +) +second (Text +uid 15,0 +va (VaSet +) +xt "27600,11700,29400,12700" +st "DFF" +blo "27600,12500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +isHidden 1 +) +xt "30000,10400,42600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 97,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*68 (MLText +uid 98,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "23,13,991,725" +viewArea "-672,-4956,43920,21156" +cachedDiagramExtent "0,0,43800,15100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26600,24700,29700,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +) +xt "26600,25700,28800,26700" +st "" +blo "26600,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8300,8600,9500" +st "Declarations" +blo "0,9300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,9500,4200,10700" +st "Ports:" +blo "0,10500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,14300,3000,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,8300,9400,9500" +st "Internal User:" +blo "0,9300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/struct.bd b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/struct.bd new file mode 100644 index 0000000..6e60617 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/struct.bd @@ -0,0 +1,6067 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2944,0 +) +(Instance +name "I12" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 3013,0 +) +(Instance +name "I7" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 3775,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 3795,0 +) +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 3968,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 4904,0 +) +(Instance +name "I_top" +duLibraryName "SystemOnChip" +duName "beamerSoc" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 5675,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\FPGA_beamer" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:00:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\FPGA_beamer\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:00:12" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "26000,70625,27500,71375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "27500,71000,28000,71000" +pts [ +"27500,71000" +"28000,71000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21200,70300,25000,71700" +st "clock" +ju 2 +blo "25000,71500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7600,10200,8600" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "116500,48625,118000,49375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "116000,49000,116500,49000" +pts [ +"116000,49000" +"116500,49000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,48300,122800,49700" +st "yOut" +blo "119000,49500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "26000,82625,27500,83375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "27500,83000,28000,83000" +pts [ +"27500,83000" +"28000,83000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "19200,82300,25000,83700" +st "reset_N" +ju 2 +blo "25000,83500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 13 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22900,13400,23900" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,98000,124000,99000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,98500,107200,98500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,94000,128000,95000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,94500,124200,94500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,96000,124000,97000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,96500,107200,96500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,96000,107000,97000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,96500,103200,96500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,95000,144000,99000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,95200,138300,96400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "128000,94000,144000,95000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "128200,94500,128200,94500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,94000,124000,96000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "108350,94400,118650,95600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,97000,107000,98000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,97500,103200,97500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,98000,107000,99000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,98500,103200,98500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,97000,124000,98000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,97500,107200,97500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "103000,94000,144000,99000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8500,10700,9500" +st "reset_N : std_ulogic" +) +) +*18 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14800,10400,15800" +st "xOut : std_ulogic" +) +) +*19 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "116500,46625,118000,47375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "116000,47000,116500,47000" +pts [ +"116000,47000" +"116500,47000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,46300,122800,47700" +st "xOut" +blo "119000,47500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15700,10400,16700" +st "yOut : std_ulogic" +) +) +*21 (PortIoIn +uid 954,0 +shape (CompositeShape +uid 955,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 956,0 +sl 0 +ro 270 +xt "26000,40625,27500,41375" +) +(Line +uid 957,0 +sl 0 +ro 270 +xt "27500,41000,28000,41000" +pts [ +"27500,41000" +"28000,41000" +] +) +] +) +tg (WTG +uid 958,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 959,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21400,40300,25000,41700" +st "rxd0" +ju 2 +blo "25000,41500" +tm "WireNameMgr" +) +) +) +*22 (PortIoOut +uid 1157,0 +shape (CompositeShape +uid 1158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1159,0 +sl 0 +ro 270 +xt "104500,12625,106000,13375" +) +(Line +uid 1160,0 +sl 0 +ro 270 +xt "104000,13000,104500,13000" +pts [ +"104000,13000" +"104500,13000" +] +) +] +) +tg (WTG +uid 1161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1162,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,12300,111000,13700" +st "LED1" +blo "107000,13500" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 1171,0 +shape (CompositeShape +uid 1172,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1173,0 +sl 0 +ro 270 +xt "104500,14625,106000,15375" +) +(Line +uid 1174,0 +sl 0 +ro 270 +xt "104000,15000,104500,15000" +pts [ +"104000,15000" +"104500,15000" +] +) +] +) +tg (WTG +uid 1175,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1176,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,14300,111000,15700" +st "LED2" +blo "107000,15500" +tm "WireNameMgr" +) +) +) +*24 (HdlText +uid 1185,0 +optionalChildren [ +*25 (EmbeddedText +uid 1190,0 +commentText (CommentText +uid 1191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "80000,12000,96000,18000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1193,0 +va (VaSet +) +xt "80200,12200,94900,18200" +st " +LED1 <= testOut(1); +LED2 <= testOut(2); +spare(testOut'range) <= testOut; +spare(testOut'high+1 to spare'high) <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1186,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "80000,11000,96000,19000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1187,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 1188,0 +va (VaSet +) +xt "80400,19000,83000,20200" +st "eb2" +blo "80400,20000" +tm "HdlTextNameMgr" +) +*27 (Text +uid 1189,0 +va (VaSet +) +xt "80400,20000,81800,21200" +st "2" +blo "80400,21000" +tm "HdlTextNumberMgr" +) +] +) +) +*28 (PortIoOut +uid 1267,0 +shape (CompositeShape +uid 1268,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1269,0 +sl 0 +ro 270 +xt "104500,16625,106000,17375" +) +(Line +uid 1270,0 +sl 0 +ro 270 +xt "104000,17000,104500,17000" +pts [ +"104000,17000" +"104500,17000" +] +) +] +) +tg (WTG +uid 1271,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1272,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,16300,119400,17700" +st "spare : (1 TO 17)" +blo "107000,17500" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 1279,0 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +declText (MLText +uid 1280,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13000,17900,14000" +st "spare : std_ulogic_vector(1 TO 17)" +) +) +*30 (HdlText +uid 1748,0 +optionalChildren [ +*31 (EmbeddedText +uid 1753,0 +commentText (CommentText +uid 1754,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1755,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "33000,74000,39000,76000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1756,0 +va (VaSet +) +xt "33200,74200,38700,75400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 1749,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "32000,73000,40000,77000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1750,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1751,0 +va (VaSet +) +xt "32400,77000,35000,78200" +st "eb3" +blo "32400,78000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1752,0 +va (VaSet +) +xt "32400,78000,33800,79200" +st "3" +blo "32400,79000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (Net +uid 1765,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 12 +suid 28,0 +) +declText (MLText +uid 1766,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22000,13600,23000" +st "SIGNAL logic1 : std_uLogic" +) +) +*35 (SaComponent +uid 2944,0 +optionalChildren [ +*36 (CptPort +uid 2935,0 +optionalChildren [ +*37 (Circle +uid 2939,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32092,82546,33000,83454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2936,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "31342,82625,32092,83375" +) +tg (CPTG +uid 2937,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2938,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,82500,35700,83900" +st "in1" +blo "33000,83700" +) +s (Text +uid 2953,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,83900,33000,83900" +blo "33000,83900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*38 (CptPort +uid 2940,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2941,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38000,82625,38750,83375" +) +tg (CPTG +uid 2942,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2943,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34050,82500,37750,83900" +st "out1" +ju 2 +blo "37750,83700" +) +s (Text +uid 2954,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37750,83900,37750,83900" +ju 2 +blo "37750,83900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2945,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,80000,38000,86000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2946,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 2947,0 +va (VaSet +isHidden 1 +) +xt "33910,78700,37510,79900" +st "Board" +blo "33910,79700" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 2948,0 +va (VaSet +isHidden 1 +) +xt "33910,79700,40310,80900" +st "inverterIn" +blo "33910,80700" +tm "CptNameMgr" +) +*41 (Text +uid 2949,0 +va (VaSet +) +xt "33910,79700,35810,80900" +st "I2" +blo "33910,80700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2950,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2951,0 +text (MLText +uid 2952,0 +va (VaSet +) +xt "10000,76000,10000,76000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 3013,0 +optionalChildren [ +*43 (CptPort +uid 3022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3023,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,74625,45000,75375" +) +tg (CPTG +uid 3024,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3025,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,74300,47700,75700" +st "D" +blo "46000,75500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*44 (CptPort +uid 3026,0 +optionalChildren [ +*45 (FFT +pts [ +"45750,79000" +"45000,79375" +"45000,78625" +] +uid 3030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,78625,45750,79375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3027,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,78625,45000,79375" +) +tg (CPTG +uid 3028,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3029,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,78400,49200,79800" +st "CLK" +blo "46000,79600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 3031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3032,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47625,81000,48375,81750" +) +tg (CPTG +uid 3033,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3034,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,79600,50200,81000" +st "CLR" +blo "47000,80800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*47 (CptPort +uid 3035,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3036,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,74625,51750,75375" +) +tg (CPTG +uid 3037,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3038,0 +va (VaSet +font "Verdana,12,0" +) +xt "48200,74300,50000,75700" +st "Q" +ju 2 +blo "50000,75500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3014,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,73000,51000,81000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3015,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 3016,0 +va (VaSet +) +xt "51600,78700,55200,79900" +st "Board" +blo "51600,79700" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 3017,0 +va (VaSet +) +xt "51600,79700,54300,80900" +st "DFF" +blo "51600,80700" +tm "CptNameMgr" +) +*50 (Text +uid 3018,0 +va (VaSet +) +xt "51600,80700,54200,81900" +st "I12" +blo "51600,81700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3019,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3020,0 +text (MLText +uid 3021,0 +va (VaSet +) +xt "22000,70000,22000,70000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*51 (PortIoOut +uid 3747,0 +shape (CompositeShape +uid 3748,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3749,0 +sl 0 +ro 90 +xt "26000,36625,27500,37375" +) +(Line +uid 3750,0 +sl 0 +ro 90 +xt "27500,37000,28000,37000" +pts [ +"28000,37000" +"27500,37000" +] +) +] +) +tg (WTG +uid 3751,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3752,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21400,36300,25000,37700" +st "txd0" +ju 2 +blo "25000,37500" +tm "WireNameMgr" +) +) +) +*52 (PortIoIn +uid 3769,0 +shape (CompositeShape +uid 3770,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3771,0 +sl 0 +ro 90 +xt "116500,52625,118000,53375" +) +(Line +uid 3772,0 +sl 0 +ro 90 +xt "116000,53000,116500,53000" +pts [ +"116500,53000" +"116000,53000" +] +) +] +) +tg (WTG +uid 3773,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3774,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,52300,128300,53700" +st "selSinCos_n" +blo "119000,53500" +tm "WireNameMgr" +) +) +) +*53 (SaComponent +uid 3775,0 +optionalChildren [ +*54 (CptPort +uid 3784,0 +optionalChildren [ +*55 (Circle +uid 3789,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "111000,52546,111908,53454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3785,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "111908,52625,112658,53375" +) +tg (CPTG +uid 3786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3787,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "368566,52500,371266,53900" +st "in1" +ju 2 +blo "371266,53700" +) +s (Text +uid 3788,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "371266,53900,371266,53900" +ju 2 +blo "371266,53900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*56 (CptPort +uid 3790,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3791,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "105250,52625,106000,53375" +) +tg (CPTG +uid 3792,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3793,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "356300,52500,360000,53900" +st "out1" +blo "356300,53700" +) +s (Text +uid 3794,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "356300,53900,356300,53900" +blo "356300,53900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3776,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "106000,50000,111000,56000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3777,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 3778,0 +va (VaSet +isHidden 1 +) +xt "106910,48700,110510,49900" +st "Board" +blo "106910,49700" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 3779,0 +va (VaSet +isHidden 1 +) +xt "106910,49700,113310,50900" +st "inverterIn" +blo "106910,50700" +tm "CptNameMgr" +) +*59 (Text +uid 3780,0 +va (VaSet +) +xt "106910,49700,108810,50900" +st "I7" +blo "106910,50700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3781,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3782,0 +text (MLText +uid 3783,0 +va (VaSet +) +xt "83000,46000,83000,46000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*60 (SaComponent +uid 3795,0 +optionalChildren [ +*61 (CptPort +uid 3804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3805,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99000,52625,99750,53375" +) +tg (CPTG +uid 3806,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3807,0 +va (VaSet +font "Verdana,12,0" +) +xt "96300,52300,98000,53700" +st "D" +ju 2 +blo "98000,53500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*62 (CptPort +uid 3808,0 +optionalChildren [ +*63 (FFT +pts [ +"98250,57000" +"99000,56625" +"99000,57375" +] +uid 3812,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,56625,99000,57375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3809,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99000,56625,99750,57375" +) +tg (CPTG +uid 3810,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3811,0 +va (VaSet +font "Verdana,12,0" +) +xt "94800,56400,98000,57800" +st "CLK" +ju 2 +blo "98000,57600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*64 (CptPort +uid 3813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3814,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "95625,59000,96375,59750" +) +tg (CPTG +uid 3815,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3816,0 +va (VaSet +font "Verdana,12,0" +) +xt "93800,57600,97000,59000" +st "CLR" +blo "93800,58800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*65 (CptPort +uid 3817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3818,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "92250,52625,93000,53375" +) +tg (CPTG +uid 3819,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "94000,52300,95800,53700" +st "Q" +blo "94000,53500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3796,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,51000,99000,59000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3797,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 3798,0 +va (VaSet +) +xt "99600,56700,103200,57900" +st "Board" +blo "99600,57700" +tm "BdLibraryNameMgr" +) +*67 (Text +uid 3799,0 +va (VaSet +) +xt "99600,57700,102300,58900" +st "DFF" +blo "99600,58700" +tm "CptNameMgr" +) +*68 (Text +uid 3800,0 +va (VaSet +) +xt "99600,58700,101500,59900" +st "I9" +blo "99600,59700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3801,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3802,0 +text (MLText +uid 3803,0 +va (VaSet +) +xt "70000,48000,70000,48000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*69 (Net +uid 3962,0 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 18 +suid 45,0 +) +declText (MLText +uid 3963,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,27400,14900,28400" +st "SIGNAL selSinCosSynch : std_ulogic" +) +) +*70 (Net +uid 3964,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 46,0 +) +declText (MLText +uid 3965,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,26500,14000,27500" +st "SIGNAL selSinCos : std_ulogic" +) +) +*71 (Net +uid 3966,0 +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 47,0 +) +declText (MLText +uid 3967,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10300,11200,11300" +st "selSinCos_n : std_ulogic" +) +) +*72 (SaComponent +uid 3968,0 +optionalChildren [ +*73 (CptPort +uid 3977,0 +optionalChildren [ +*74 (Circle +uid 3982,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56092,74546,57000,75454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3978,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55342,74625,56092,75375" +) +tg (CPTG +uid 3979,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3980,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57000,74500,59700,75900" +st "in1" +blo "57000,75700" +) +s (Text +uid 3981,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57000,75900,57000,75900" +blo "57000,75900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*75 (CptPort +uid 3983,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3984,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "62000,74625,62750,75375" +) +tg (CPTG +uid 3985,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3986,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "58050,74500,61750,75900" +st "out1" +ju 2 +blo "61750,75700" +) +s (Text +uid 3987,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "61750,75900,61750,75900" +ju 2 +blo "61750,75900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3969,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,72000,62000,78000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3970,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 3971,0 +va (VaSet +isHidden 1 +) +xt "57910,70700,61510,71900" +st "Board" +blo "57910,71700" +tm "BdLibraryNameMgr" +) +*77 (Text +uid 3972,0 +va (VaSet +isHidden 1 +) +xt "57910,71700,64310,72900" +st "inverterIn" +blo "57910,72700" +tm "CptNameMgr" +) +*78 (Text +uid 3973,0 +va (VaSet +) +xt "57910,71700,59810,72900" +st "I3" +blo "57910,72700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3974,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3975,0 +text (MLText +uid 3976,0 +va (VaSet +) +xt "34000,68000,34000,68000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*79 (Net +uid 4010,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 19 +suid 49,0 +) +declText (MLText +uid 4011,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,28300,25200,29300" +st "SIGNAL testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*80 (Net +uid 4012,0 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 50,0 +) +declText (MLText +uid 4013,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11200,10500,12200" +st "LED1 : std_ulogic" +) +) +*81 (Net +uid 4014,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 4015,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,12100,10500,13100" +st "LED2 : std_ulogic" +) +) +*82 (Net +uid 4405,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 14 +suid 72,0 +) +declText (MLText +uid 4406,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,23800,14300,24800" +st "SIGNAL resetSynch : std_ulogic" +) +) +*83 (Net +uid 4407,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 15 +suid 73,0 +) +declText (MLText +uid 4408,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,24700,14800,25700" +st "SIGNAL resetSynch_N : std_ulogic" +) +) +*84 (Net +uid 4885,0 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 16 +suid 76,0 +) +declText (MLText +uid 4886,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,25600,14200,26600" +st "SIGNAL rxdSynch : std_ulogic" +) +) +*85 (SaComponent +uid 4904,0 +optionalChildren [ +*86 (CptPort +uid 4887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4888,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,40625,45000,41375" +) +tg (CPTG +uid 4889,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4890,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,40300,47700,41700" +st "D" +blo "46000,41500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*87 (CptPort +uid 4891,0 +optionalChildren [ +*88 (FFT +pts [ +"45750,45000" +"45000,45375" +"45000,44625" +] +uid 4895,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,44625,45750,45375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4892,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,44625,45000,45375" +) +tg (CPTG +uid 4893,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4894,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,44400,49200,45800" +st "CLK" +blo "46000,45600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*89 (CptPort +uid 4896,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4897,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47625,47000,48375,47750" +) +tg (CPTG +uid 4898,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4899,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,45600,50200,47000" +st "CLR" +blo "47000,46800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*90 (CptPort +uid 4900,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4901,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,40625,51750,41375" +) +tg (CPTG +uid 4902,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4903,0 +va (VaSet +font "Verdana,12,0" +) +xt "48200,40300,50000,41700" +st "Q" +ju 2 +blo "50000,41500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 4905,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,39000,51000,47000" +) +showPorts 0 +oxt "23000,3000,29000,11000" +ttg (MlTextGroup +uid 4906,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 4907,0 +va (VaSet +) +xt "49600,46700,53200,47900" +st "Board" +blo "49600,47700" +tm "BdLibraryNameMgr" +) +*92 (Text +uid 4908,0 +va (VaSet +) +xt "49600,47700,52300,48900" +st "DFF" +blo "49600,48700" +tm "CptNameMgr" +) +*93 (Text +uid 4909,0 +va (VaSet +) +xt "49600,48700,51500,49900" +st "I8" +blo "49600,49700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4910,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4911,0 +text (MLText +uid 4912,0 +va (VaSet +) +xt "52000,46400,52000,46400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*94 (Net +uid 5011,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 77,0 +) +declText (MLText +uid 5012,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,21100,25000,22100" +st "SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0)" +) +) +*95 (Net +uid 5239,0 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 80,0 +) +declText (MLText +uid 5240,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13900,10300,14900" +st "txd0 : std_ulogic" +) +) +*96 (Net +uid 5241,0 +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 81,0 +) +declText (MLText +uid 5242,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9400,10300,10400" +st "rxd0 : std_ulogic" +) +) +*97 (SaComponent +uid 5675,0 +optionalChildren [ +*98 (CptPort +uid 5631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,50625,68000,51375" +) +tg (CPTG +uid 5633,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5634,0 +va (VaSet +) +xt "69000,50400,72400,51600" +st "clock" +blo "69000,51400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*99 (CptPort +uid 5635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,46625,84750,47375" +) +tg (CPTG +uid 5637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5638,0 +va (VaSet +) +xt "80001,46400,83001,47600" +st "outX" +ju 2 +blo "83001,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*100 (CptPort +uid 5639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,48625,84750,49375" +) +tg (CPTG +uid 5641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5642,0 +va (VaSet +) +xt "80001,48400,83001,49600" +st "outY" +ju 2 +blo "83001,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*101 (CptPort +uid 5643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5644,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,50625,84750,51375" +) +tg (CPTG +uid 5645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5646,0 +va (VaSet +) +xt "77201,50400,83001,51600" +st "selSinCos" +ju 2 +blo "83001,51400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*102 (CptPort +uid 5647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5648,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,52625,68000,53375" +) +tg (CPTG +uid 5649,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5650,0 +va (VaSet +) +xt "69000,52400,72300,53600" +st "reset" +blo "69000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 2017,0 +) +) +) +*103 (CptPort +uid 5651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5652,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,38625,68000,39375" +) +tg (CPTG +uid 5653,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5654,0 +va (VaSet +) +xt "69000,38400,71800,39600" +st "TxD" +blo "69000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 2018,0 +) +) +) +*104 (CptPort +uid 5655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,40625,68000,41375" +) +tg (CPTG +uid 5657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5658,0 +va (VaSet +) +xt "69000,40400,71800,41600" +st "RxD" +blo "69000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 2019,0 +) +) +) +*105 (CptPort +uid 5659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5660,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,38625,84750,39375" +) +tg (CPTG +uid 5661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5662,0 +va (VaSet +) +xt "80100,38400,83000,39600" +st "ioEn" +ju 2 +blo "83000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 2020,0 +) +) +) +*106 (CptPort +uid 5663,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5664,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,40625,84750,41375" +) +tg (CPTG +uid 5665,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5666,0 +va (VaSet +) +xt "79500,40400,83000,41600" +st "ioOut" +ju 2 +blo "83000,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 2021,0 +) +) +) +*107 (CptPort +uid 5667,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5668,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,42625,84750,43375" +) +tg (CPTG +uid 5669,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5670,0 +va (VaSet +) +xt "80300,42400,83000,43600" +st "ioIn" +ju 2 +blo "83000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2022,0 +) +) +) +*108 (CptPort +uid 5671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5672,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,34250,76375,35000" +) +tg (CPTG +uid 5673,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5674,0 +va (VaSet +) +xt "74000,36000,78600,37200" +st "testOut" +ju 2 +blo "78600,37000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 2024,0 +) +) +) +] +shape (Rectangle +uid 5676,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,35000,84000,55000" +) +oxt "36000,10000,52000,30000" +ttg (MlTextGroup +uid 5677,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 5678,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,54800,77000,56000" +st "SystemOnChip" +blo "68600,55800" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 5679,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,55700,74600,56900" +st "beamerSoc" +blo "68600,56700" +tm "CptNameMgr" +) +*111 (Text +uid 5680,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,56600,72000,57800" +st "I_top" +blo "68600,57600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5681,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5682,0 +text (MLText +uid 5683,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,58600,93800,61600" +st "ioNb = ioNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*112 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "28000,51000,67250,71000" +pts [ +"28000,71000" +"64000,71000" +"64000,51000" +"67250,51000" +] +) +start &1 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,69600,31800,71000" +st "clock" +blo "28000,70800" +tm "WireNameMgr" +) +) +on &2 +) +*113 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "84750,49000,116000,49000" +pts [ +"116000,49000" +"84750,49000" +] +) +start &3 +end &100 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,47600,115800,49000" +st "yOut" +blo "112000,48800" +tm "WireNameMgr" +) +) +on &20 +) +*114 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "28000,83000,32092,83000" +pts [ +"28000,83000" +"32092,83000" +] +) +start &4 +end &36 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,81600,32800,83000" +st "reset_N" +blo "27000,82800" +tm "WireNameMgr" +) +) +on &17 +) +*115 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "84750,47000,116000,47000" +pts [ +"116000,47000" +"84750,47000" +] +) +start &19 +end &99 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,45600,115800,47000" +st "xOut" +blo "112000,46800" +tm "WireNameMgr" +) +) +on &18 +) +*116 (Wire +uid 900,0 +shape (OrthoPolyLine +uid 901,0 +va (VaSet +vasetType 3 +) +xt "51000,41000,67250,41000" +pts [ +"67250,41000" +"51000,41000" +] +) +start &104 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 903,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,39600,58500,41000" +st "rxdSynch" +blo "52000,40800" +tm "WireNameMgr" +) +) +on &84 +) +*117 (Wire +uid 946,0 +shape (OrthoPolyLine +uid 947,0 +va (VaSet +vasetType 3 +) +xt "28000,37000,67250,39000" +pts [ +"67250,39000" +"56000,39000" +"56000,37000" +"28000,37000" +] +) +start &103 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,35600,31600,37000" +st "txd0" +blo "28000,36800" +tm "WireNameMgr" +) +) +on &95 +) +*118 (Wire +uid 1163,0 +shape (OrthoPolyLine +uid 1164,0 +va (VaSet +vasetType 3 +) +xt "96000,13000,104000,13000" +pts [ +"96000,13000" +"104000,13000" +] +) +start &24 +end &22 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1168,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,11600,105000,13000" +st "LED1" +blo "101000,12800" +tm "WireNameMgr" +) +) +on &80 +) +*119 (Wire +uid 1177,0 +shape (OrthoPolyLine +uid 1178,0 +va (VaSet +vasetType 3 +) +xt "96000,15000,104000,15000" +pts [ +"96000,15000" +"104000,15000" +] +) +start &24 +end &23 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1182,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,13600,105000,15000" +st "LED2" +blo "101000,14800" +tm "WireNameMgr" +) +) +on &81 +) +*120 (Wire +uid 1273,0 +shape (OrthoPolyLine +uid 1274,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "96000,17000,104000,17000" +pts [ +"96000,17000" +"104000,17000" +] +) +start &24 +end &28 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1278,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,15600,104400,17000" +st "spare" +blo "100000,16800" +tm "WireNameMgr" +) +) +on &29 +) +*121 (Wire +uid 1403,0 +shape (OrthoPolyLine +uid 1404,0 +va (VaSet +vasetType 3 +) +xt "28000,41000,45000,41000" +pts [ +"45000,41000" +"28000,41000" +] +) +start &86 +end &21 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1410,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,39600,31600,41000" +st "rxd0" +blo "28000,40800" +tm "WireNameMgr" +) +) +on &96 +) +*122 (Wire +uid 1413,0 +shape (OrthoPolyLine +uid 1414,0 +va (VaSet +vasetType 3 +) +xt "42000,47000,48000,49000" +pts [ +"42000,49000" +"48000,49000" +"48000,47000" +] +) +end &89 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1419,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1420,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,47600,49600,49000" +st "resetSynch" +blo "41000,48800" +tm "WireNameMgr" +) +) +on &82 +) +*123 (Wire +uid 1421,0 +shape (OrthoPolyLine +uid 1422,0 +va (VaSet +vasetType 3 +) +xt "42000,45000,45000,45000" +pts [ +"42000,45000" +"45000,45000" +] +) +end &87 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1428,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,43600,44800,45000" +st "clock" +blo "41000,44800" +tm "WireNameMgr" +) +) +on &2 +) +*124 (Wire +uid 1716,0 +shape (OrthoPolyLine +uid 1717,0 +va (VaSet +vasetType 3 +) +xt "38000,81000,48000,83000" +pts [ +"38000,83000" +"48000,83000" +"48000,81000" +] +) +start &38 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1721,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,81600,43100,83000" +st "reset" +blo "39000,82800" +tm "WireNameMgr" +) +) +on &5 +) +*125 (Wire +uid 1722,0 +shape (OrthoPolyLine +uid 1723,0 +va (VaSet +vasetType 3 +) +xt "43000,79000,45000,79000" +pts [ +"43000,79000" +"45000,79000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1727,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,77600,44800,79000" +st "clock" +blo "41000,78800" +tm "WireNameMgr" +) +) +on &2 +) +*126 (Wire +uid 1759,0 +shape (OrthoPolyLine +uid 1760,0 +va (VaSet +vasetType 3 +) +xt "40000,75000,45000,75000" +pts [ +"45000,75000" +"40000,75000" +] +) +start &43 +end &30 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1763,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1764,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,73600,45400,75000" +st "logic1" +blo "41000,74800" +tm "WireNameMgr" +) +) +on &34 +) +*127 (Wire +uid 3821,0 +shape (OrthoPolyLine +uid 3822,0 +va (VaSet +vasetType 3 +) +xt "99000,57000,102000,57000" +pts [ +"102000,57000" +"99000,57000" +] +) +end &62 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3826,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,55600,104800,57000" +st "clock" +blo "101000,56800" +tm "WireNameMgr" +) +) +on &2 +) +*128 (Wire +uid 3827,0 +shape (OrthoPolyLine +uid 3828,0 +va (VaSet +vasetType 3 +) +xt "111908,53000,116000,53000" +pts [ +"111908,53000" +"116000,53000" +] +) +start &54 +end &52 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3830,0 +va (VaSet +font "Verdana,12,0" +) +xt "113000,51600,122300,53000" +st "selSinCos_n" +blo "113000,52800" +tm "WireNameMgr" +) +) +on &71 +) +*129 (Wire +uid 3831,0 +shape (OrthoPolyLine +uid 3832,0 +va (VaSet +vasetType 3 +) +xt "84750,51000,93000,53000" +pts [ +"84750,51000" +"88000,51000" +"88000,53000" +"93000,53000" +] +) +start &101 +end &65 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3835,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3836,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,51600,97400,53000" +st "selSinCosSynch" +blo "86000,52800" +tm "WireNameMgr" +) +) +on &69 +) +*130 (Wire +uid 3837,0 +shape (OrthoPolyLine +uid 3838,0 +va (VaSet +vasetType 3 +) +xt "99000,53000,106000,53000" +pts [ +"99000,53000" +"106000,53000" +] +) +start &61 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3840,0 +va (VaSet +font "Verdana,12,0" +) +xt "98000,51600,104900,53000" +st "selSinCos" +blo "98000,52800" +tm "WireNameMgr" +) +) +on &70 +) +*131 (Wire +uid 3988,0 +shape (OrthoPolyLine +uid 3989,0 +va (VaSet +vasetType 3 +) +xt "51000,75000,56092,75000" +pts [ +"51000,75000" +"56092,75000" +] +) +start &47 +end &73 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3991,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,73600,58300,75000" +st "resetSynch_N" +blo "48000,74800" +tm "WireNameMgr" +) +) +on &83 +) +*132 (Wire +uid 3994,0 +shape (OrthoPolyLine +uid 3995,0 +va (VaSet +vasetType 3 +) +xt "62000,53000,67250,75000" +pts [ +"67250,53000" +"66000,53000" +"66000,75000" +"62000,75000" +] +) +start &102 +end &75 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4001,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,73600,71600,75000" +st "resetSynch" +blo "63000,74800" +tm "WireNameMgr" +) +) +on &82 +) +*133 (Wire +uid 4004,0 +shape (OrthoPolyLine +uid 4005,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,15000,80000,34250" +pts [ +"76000,34250" +"76000,15000" +"80000,15000" +] +) +start &108 +end &24 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4009,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,13600,77600,15000" +st "testOut" +blo "72000,14800" +tm "WireNameMgr" +) +) +on &79 +) +*134 (Wire +uid 4397,0 +shape (OrthoPolyLine +uid 4398,0 +va (VaSet +vasetType 3 +) +xt "96000,59000,102000,61000" +pts [ +"102000,61000" +"96000,61000" +"96000,59000" +] +) +end &64 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4404,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,59600,105600,61000" +st "resetSynch" +blo "97000,60800" +tm "WireNameMgr" +) +) +on &82 +) +*135 (Wire +uid 5013,0 +shape (OrthoPolyLine +uid 5014,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,43000,92000,43000" +pts [ +"84750,43000" +"92000,43000" +] +) +start &107 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5017,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5018,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,41600,92200,43000" +st "ioIn" +blo "89000,42800" +tm "WireNameMgr" +) +) +on &94 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *136 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*138 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*140 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*141 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*142 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*143 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*144 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*145 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-5145,-2144,185548,101465" +cachedDiagramExtent "-3000,0,371266,99000" +pageSetupInfo (PageSetupInfo +ptrCmd "HP LaserJet P3005 PCL 6 (A303),winspool," +fileName "\\\\SUN\\PREA309_HPLJ4050.PRINTERS.SYSTEM.SION.HEVs" +toPrinter 1 +colour 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 5818,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*147 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*148 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*150 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*151 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*152 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*153 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*154 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*156 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*157 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*159 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*160 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*161 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*162 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*163 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*164 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*165 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*166 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,5800,4000,6800" +st "Declarations" +blo "-3000,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6700,400,7700" +st "Ports:" +blo "-3000,7500" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,16600,1800,17600" +st "Pre User:" +blo "-3000,17400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,17500,19200,20500" +st "constant ioNb: positive := 8; +constant testOutBitNb: positive := 16; +constant patternAddressBitNb: positive := 9;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,20200,6000,21200" +st "Diagram Signals:" +blo "-3000,21000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5800,3000,6800" +st "Post User:" +blo "-3000,6600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,5800,-3000,5800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 81,0 +usingSuid 1 +emptyRow *167 (LEmptyRow +) +uid 3228,0 +optionalChildren [ +*168 (RefLabelRowHdr +) +*169 (TitleRowHdr +) +*170 (FilterRowHdr +) +*171 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*172 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*173 (GroupColHdr +tm "GroupColHdrMgr" +) +*174 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*175 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*176 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*177 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*178 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*179 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*180 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 3159,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 13 +suid 2,0 +) +) +uid 3161,0 +) +*182 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 3163,0 +) +*183 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +) +uid 3165,0 +) +*184 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +uid 3167,0 +) +*185 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +) +uid 3199,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 12 +suid 28,0 +) +) +uid 3213,0 +) +*187 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 18 +suid 45,0 +) +) +uid 4032,0 +) +*188 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 46,0 +) +) +uid 4034,0 +) +*189 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 47,0 +) +) +uid 4036,0 +) +*190 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 19 +suid 49,0 +) +) +uid 4038,0 +) +*191 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 50,0 +) +) +uid 4040,0 +) +*192 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 4042,0 +) +*193 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 14 +suid 72,0 +) +) +uid 4409,0 +) +*194 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 15 +suid 73,0 +) +) +uid 4411,0 +) +*195 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 16 +suid 76,0 +) +) +uid 5023,0 +) +*196 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 77,0 +) +) +uid 5025,0 +) +*197 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 80,0 +) +) +uid 5243,0 +) +*198 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 81,0 +) +) +uid 5245,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3241,0 +optionalChildren [ +*199 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *200 (MRCItem +litem &167 +pos 19 +dimension 20 +) +uid 3243,0 +optionalChildren [ +*201 (MRCItem +litem &168 +pos 0 +dimension 20 +uid 3244,0 +) +*202 (MRCItem +litem &169 +pos 1 +dimension 23 +uid 3245,0 +) +*203 (MRCItem +litem &170 +pos 2 +hidden 1 +dimension 20 +uid 3246,0 +) +*204 (MRCItem +litem &180 +pos 0 +dimension 20 +uid 3160,0 +) +*205 (MRCItem +litem &181 +pos 10 +dimension 20 +uid 3162,0 +) +*206 (MRCItem +litem &182 +pos 1 +dimension 20 +uid 3164,0 +) +*207 (MRCItem +litem &183 +pos 2 +dimension 20 +uid 3166,0 +) +*208 (MRCItem +litem &184 +pos 3 +dimension 20 +uid 3168,0 +) +*209 (MRCItem +litem &185 +pos 4 +dimension 20 +uid 3200,0 +) +*210 (MRCItem +litem &186 +pos 11 +dimension 20 +uid 3214,0 +) +*211 (MRCItem +litem &187 +pos 12 +dimension 20 +uid 4033,0 +) +*212 (MRCItem +litem &188 +pos 13 +dimension 20 +uid 4035,0 +) +*213 (MRCItem +litem &189 +pos 5 +dimension 20 +uid 4037,0 +) +*214 (MRCItem +litem &190 +pos 14 +dimension 20 +uid 4039,0 +) +*215 (MRCItem +litem &191 +pos 6 +dimension 20 +uid 4041,0 +) +*216 (MRCItem +litem &192 +pos 7 +dimension 20 +uid 4043,0 +) +*217 (MRCItem +litem &193 +pos 15 +dimension 20 +uid 4410,0 +) +*218 (MRCItem +litem &194 +pos 16 +dimension 20 +uid 4412,0 +) +*219 (MRCItem +litem &195 +pos 17 +dimension 20 +uid 5024,0 +) +*220 (MRCItem +litem &196 +pos 18 +dimension 20 +uid 5026,0 +) +*221 (MRCItem +litem &197 +pos 8 +dimension 20 +uid 5244,0 +) +*222 (MRCItem +litem &198 +pos 9 +dimension 20 +uid 5246,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3247,0 +optionalChildren [ +*223 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 3248,0 +) +*224 (MRCItem +litem &173 +pos 1 +dimension 50 +uid 3249,0 +) +*225 (MRCItem +litem &174 +pos 2 +dimension 100 +uid 3250,0 +) +*226 (MRCItem +litem &175 +pos 3 +dimension 50 +uid 3251,0 +) +*227 (MRCItem +litem &176 +pos 4 +dimension 100 +uid 3252,0 +) +*228 (MRCItem +litem &177 +pos 5 +dimension 100 +uid 3253,0 +) +*229 (MRCItem +litem &178 +pos 6 +dimension 50 +uid 3254,0 +) +*230 (MRCItem +litem &179 +pos 7 +dimension 80 +uid 3255,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3242,0 +vaOverrides [ +] +) +] +) +uid 3227,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *231 (LEmptyRow +) +uid 3257,0 +optionalChildren [ +*232 (RefLabelRowHdr +) +*233 (TitleRowHdr +) +*234 (FilterRowHdr +) +*235 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*236 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*237 (GroupColHdr +tm "GroupColHdrMgr" +) +*238 (NameColHdr +tm "GenericNameColHdrMgr" +) +*239 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*240 (InitColHdr +tm "GenericValueColHdrMgr" +) +*241 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*242 (EolColHdr +tm "GenericEolColHdrMgr" +) +*243 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 4414,0 +) +*244 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 4416,0 +) +] +) +pdm (PhysicalDM +uid 3269,0 +optionalChildren [ +*245 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *246 (MRCItem +litem &231 +pos 2 +dimension 20 +) +uid 3271,0 +optionalChildren [ +*247 (MRCItem +litem &232 +pos 0 +dimension 20 +uid 3272,0 +) +*248 (MRCItem +litem &233 +pos 1 +dimension 23 +uid 3273,0 +) +*249 (MRCItem +litem &234 +pos 2 +hidden 1 +dimension 20 +uid 3274,0 +) +*250 (MRCItem +litem &243 +pos 1 +dimension 20 +uid 4413,0 +) +*251 (MRCItem +litem &244 +pos 0 +dimension 20 +uid 4415,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3275,0 +optionalChildren [ +*252 (MRCItem +litem &235 +pos 0 +dimension 20 +uid 3276,0 +) +*253 (MRCItem +litem &237 +pos 1 +dimension 50 +uid 3277,0 +) +*254 (MRCItem +litem &238 +pos 2 +dimension 100 +uid 3278,0 +) +*255 (MRCItem +litem &239 +pos 3 +dimension 100 +uid 3279,0 +) +*256 (MRCItem +litem &240 +pos 4 +dimension 50 +uid 3280,0 +) +*257 (MRCItem +litem &241 +pos 5 +dimension 50 +uid 3281,0 +) +*258 (MRCItem +litem &242 +pos 6 +dimension 80 +uid 3282,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3270,0 +vaOverrides [ +] +) +] +) +uid 3256,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/student@version.bd b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/student@version.bd new file mode 100644 index 0000000..4440cd9 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/student@version.bd @@ -0,0 +1,6671 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 217,0 +) +(Instance +name "I3" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 812,0 +) +(Instance +name "I4" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 832,0 +) +(Instance +name "I5" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 852,0 +) +(Instance +name "I6" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 872,0 +) +(Instance +name "I1" +duLibraryName "io" +duName "triBuffLogicV" +elements [ +(GiElement +name "nbBits" +type "positive" +value "dataBitNb" +) +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1001,0 +) +(Instance +name "I0" +duLibraryName "Curves" +duName "beamerPeriph" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 1250,0 +) +(Instance +name "I7" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1307,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer" +) +(vvPair +variable "date" +value "04/15/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "04/15/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:26:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer/studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "14:26:56" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "17000,57625,18500,58375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "18500,58000,19000,58000" +pts [ +"18500,58000" +"19000,58000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "12200,57300,16000,58700" +st "clock" +ju 2 +blo "16000,58500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "65000,2400,77500,3300" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "67500,26625,69000,27375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "67000,27000,67500,27000" +pts [ +"67000,27000" +"67500,27000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,26300,73800,27700" +st "yOut" +blo "70000,27500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "17000,61625,18500,62375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "18500,62000,19000,62000" +pts [ +"18500,62000" +"19000,62000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "10200,61300,16000,62700" +st "reset_N" +ju 2 +blo "16000,62500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "65000,22000,81000,22900" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,74000,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,68600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (SaComponent +uid 217,0 +optionalChildren [ +*18 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 209,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,61625,24000,62375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,61500,26700,62900" +st "in1" +blo "24000,62700" +) +s (Text +uid 226,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,62900,24000,62900" +blo "24000,62900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 212,0 +optionalChildren [ +*20 (Circle +uid 216,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,61625,29750,62375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,61625,30500,62375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,61500,28750,62900" +st "out1" +ju 2 +blo "28750,62700" +) +s (Text +uid 227,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,62900,28750,62900" +ju 2 +blo "28750,62900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,59000,29000,65000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 219,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 220,0 +va (VaSet +isHidden 1 +) +xt "24910,57700,27210,58700" +st "gates" +blo "24910,58500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 221,0 +va (VaSet +isHidden 1 +) +xt "24910,58700,27810,59700" +st "inverter" +blo "24910,59500" +tm "CptNameMgr" +) +*23 (Text +uid 222,0 +va (VaSet +) +xt "24910,58700,25910,59700" +st "I2" +blo "24910,59500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 223,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 224,0 +text (MLText +uid 225,0 +va (VaSet +isHidden 1 +) +xt "24000,65400,39600,66400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +font "courier,8,0" +) +xt "65000,3200,77500,4100" +st "reset_N : std_ulogic" +) +) +*25 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "65000,8800,77500,9700" +st "xOut : std_ulogic" +) +) +*26 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "67500,24625,69000,25375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "67000,25000,67500,25000" +pts [ +"67000,25000" +"67500,25000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,24300,73800,25700" +st "xOut" +blo "70000,25500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "courier,8,0" +) +xt "65000,9600,77500,10500" +st "yOut : std_ulogic" +) +) +*28 (SaComponent +uid 812,0 +optionalChildren [ +*29 (CptPort +uid 821,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 822,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,53625,24000,54375" +) +tg (CPTG +uid 823,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 824,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,53500,26700,54900" +st "in1" +blo "24000,54700" +) +s (Text +uid 825,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,54900,24000,54900" +blo "24000,54900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*30 (CptPort +uid 826,0 +optionalChildren [ +*31 (Circle +uid 831,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,53625,29750,54375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,53625,30500,54375" +) +tg (CPTG +uid 828,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 829,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,53500,28750,54900" +st "out1" +ju 2 +blo "28750,54700" +) +s (Text +uid 830,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,54900,28750,54900" +ju 2 +blo "28750,54900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,51000,29000,57000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 814,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 815,0 +va (VaSet +isHidden 1 +) +xt "24910,49700,27210,50700" +st "gates" +blo "24910,50500" +tm "BdLibraryNameMgr" +) +*33 (Text +uid 816,0 +va (VaSet +isHidden 1 +) +xt "24910,50700,27810,51700" +st "inverter" +blo "24910,51500" +tm "CptNameMgr" +) +*34 (Text +uid 817,0 +va (VaSet +) +xt "24910,50700,25910,51700" +st "I3" +blo "24910,51500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 818,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 819,0 +text (MLText +uid 820,0 +va (VaSet +isHidden 1 +) +xt "24000,57400,39600,58400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*35 (SaComponent +uid 832,0 +optionalChildren [ +*36 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,45625,24000,46375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,45500,26700,46900" +st "in1" +blo "24000,46700" +) +s (Text +uid 845,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,46900,24000,46900" +blo "24000,46900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*37 (CptPort +uid 846,0 +optionalChildren [ +*38 (Circle +uid 851,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,45625,29750,46375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 847,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,45625,30500,46375" +) +tg (CPTG +uid 848,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 849,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,45500,28750,46900" +st "out1" +ju 2 +blo "28750,46700" +) +s (Text +uid 850,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,46900,28750,46900" +ju 2 +blo "28750,46900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 833,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,43000,29000,49000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 834,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 835,0 +va (VaSet +isHidden 1 +) +xt "24910,41700,27210,42700" +st "gates" +blo "24910,42500" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 836,0 +va (VaSet +isHidden 1 +) +xt "24910,42700,27810,43700" +st "inverter" +blo "24910,43500" +tm "CptNameMgr" +) +*41 (Text +uid 837,0 +va (VaSet +) +xt "24910,42700,25910,43700" +st "I4" +blo "24910,43500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 838,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 839,0 +text (MLText +uid 840,0 +va (VaSet +isHidden 1 +) +xt "24000,49400,39600,50400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 852,0 +optionalChildren [ +*43 (CptPort +uid 861,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 862,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,37625,24000,38375" +) +tg (CPTG +uid 863,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 864,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,37500,26700,38900" +st "in1" +blo "24000,38700" +) +s (Text +uid 865,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,38900,24000,38900" +blo "24000,38900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*44 (CptPort +uid 866,0 +optionalChildren [ +*45 (Circle +uid 871,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,37625,29750,38375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 867,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,37625,30500,38375" +) +tg (CPTG +uid 868,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 869,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,37500,28750,38900" +st "out1" +ju 2 +blo "28750,38700" +) +s (Text +uid 870,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,38900,28750,38900" +ju 2 +blo "28750,38900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 853,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,35000,29000,41000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 854,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 855,0 +va (VaSet +isHidden 1 +) +xt "24910,33700,27210,34700" +st "gates" +blo "24910,34500" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 856,0 +va (VaSet +isHidden 1 +) +xt "24910,34700,27810,35700" +st "inverter" +blo "24910,35500" +tm "CptNameMgr" +) +*48 (Text +uid 857,0 +va (VaSet +) +xt "24910,34700,25910,35700" +st "I5" +blo "24910,35500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 858,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 859,0 +text (MLText +uid 860,0 +va (VaSet +isHidden 1 +) +xt "24000,41400,39600,42400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*49 (SaComponent +uid 872,0 +optionalChildren [ +*50 (CptPort +uid 881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,30625,24000,31375" +) +tg (CPTG +uid 883,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 884,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,30500,26700,31900" +st "in1" +blo "24000,31700" +) +s (Text +uid 885,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,31900,24000,31900" +blo "24000,31900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*51 (CptPort +uid 886,0 +optionalChildren [ +*52 (Circle +uid 891,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,30625,29750,31375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 887,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,30625,30500,31375" +) +tg (CPTG +uid 888,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 889,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,30500,28750,31900" +st "out1" +ju 2 +blo "28750,31700" +) +s (Text +uid 890,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,31900,28750,31900" +ju 2 +blo "28750,31900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 873,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,28000,29000,34000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 874,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 875,0 +va (VaSet +isHidden 1 +) +xt "24910,26700,27210,27700" +st "gates" +blo "24910,27500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 876,0 +va (VaSet +isHidden 1 +) +xt "24910,27700,27810,28700" +st "inverter" +blo "24910,28500" +tm "CptNameMgr" +) +*55 (Text +uid 877,0 +va (VaSet +) +xt "24910,27700,25910,28700" +st "I6" +blo "24910,28500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 878,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 879,0 +text (MLText +uid 880,0 +va (VaSet +isHidden 1 +) +xt "24000,34400,39600,35400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (Net +uid 898,0 +decl (Decl +n "rd" +t "std_ulogic" +o 22 +suid 6,0 +) +declText (MLText +uid 899,0 +va (VaSet +font "courier,8,0" +) +xt "65000,21200,81000,22100" +st "SIGNAL rd : std_ulogic" +) +) +*57 (Net +uid 904,0 +decl (Decl +n "wrH" +t "std_ulogic" +o 25 +suid 7,0 +) +declText (MLText +uid 905,0 +va (VaSet +font "courier,8,0" +) +xt "65000,23600,81000,24500" +st "SIGNAL wrH : std_ulogic" +) +) +*58 (Net +uid 910,0 +decl (Decl +n "wrL" +t "std_ulogic" +o 26 +suid 8,0 +) +declText (MLText +uid 911,0 +va (VaSet +font "courier,8,0" +) +xt "65000,24400,81000,25300" +st "SIGNAL wrL : std_ulogic" +) +) +*59 (Net +uid 916,0 +decl (Decl +n "cs" +t "std_ulogic" +o 13 +suid 9,0 +) +declText (MLText +uid 917,0 +va (VaSet +font "courier,8,0" +) +xt "65000,14000,81000,14900" +st "SIGNAL cs : std_ulogic" +) +) +*60 (Net +uid 978,0 +decl (Decl +n "ncs" +t "std_ulogic" +o 18 +suid 10,0 +) +declText (MLText +uid 979,0 +va (VaSet +font "courier,8,0" +) +xt "65000,18000,81000,18900" +st "SIGNAL ncs : std_ulogic" +) +) +*61 (Net +uid 980,0 +decl (Decl +n "nwr0" +t "std_ulogic" +o 20 +suid 11,0 +) +declText (MLText +uid 981,0 +va (VaSet +font "courier,8,0" +) +xt "65000,19600,81000,20500" +st "SIGNAL nwr0 : std_ulogic" +) +) +*62 (Net +uid 982,0 +decl (Decl +n "nwr1" +t "std_ulogic" +o 21 +suid 12,0 +) +declText (MLText +uid 983,0 +va (VaSet +font "courier,8,0" +) +xt "65000,20400,81000,21300" +st "SIGNAL nwr1 : std_ulogic" +) +) +*63 (Net +uid 984,0 +decl (Decl +n "nrd" +t "std_ulogic" +o 19 +suid 13,0 +) +declText (MLText +uid 985,0 +va (VaSet +font "courier,8,0" +) +xt "65000,18800,81000,19700" +st "SIGNAL nrd : std_ulogic" +) +) +*64 (SaComponent +uid 1001,0 +optionalChildren [ +*65 (CptPort +uid 986,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 987,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,20625,24000,21375" +) +tg (CPTG +uid 988,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 989,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23250,20750,26950,22150" +st "out1" +blo "23250,21950" +) +s (Text +uid 990,0 +va (VaSet +isHidden 1 +) +xt "23250,22150,33950,23150" +blo "23250,22950" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(nbBits-1 DOWNTO 0)" +o 16 +) +) +) +*66 (CptPort +uid 991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 992,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,20625,30750,21375" +) +tg (CPTG +uid 993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 994,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "27950,21250,30650,22650" +st "in1" +ju 2 +blo "30650,22450" +) +s (Text +uid 995,0 +va (VaSet +isHidden 1 +) +xt "19950,22650,30650,23650" +ju 2 +blo "30650,23450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(nbBits-1 DOWNTO 0)" +o 12 +) +) +) +*67 (CptPort +uid 996,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 997,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,18250,27375,19000" +) +tg (CPTG +uid 998,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 999,0 +va (VaSet +font "courier,12,0" +) +xt "26200,19100,28600,20500" +st "oe" +blo "26200,20300" +) +s (Text +uid 1000,0 +va (VaSet +isHidden 1 +) +xt "26200,20500,30500,21500" +blo "26200,21300" +) +) +thePort (LogicalPort +decl (Decl +n "oe" +t "std_ulogic" +o 3 +) +) +) +] +shape (Buf +uid 1002,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17000,30000,25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1003,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 1004,0 +va (VaSet +isHidden 1 +) +xt "24750,21400,25750,22400" +st "io" +blo "24750,22200" +tm "BdLibraryNameMgr" +) +*69 (Text +uid 1005,0 +va (VaSet +isHidden 1 +) +xt "24750,22400,29950,23400" +st "triBuffLogicV" +blo "24750,23200" +tm "CptNameMgr" +) +*70 (Text +uid 1006,0 +va (VaSet +) +xt "24750,22400,25750,23400" +st "I1" +blo "24750,23200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1007,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1008,0 +text (MLText +uid 1009,0 +va (VaSet +font "courier,9,0" +) +xt "21000,23600,39000,25400" +st "nbBits = dataBitNb ( positive ) +delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "nbBits" +type "positive" +value "dataBitNb" +) +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +connectByName 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*71 (Net +uid 1025,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 14,0 +) +declText (MLText +uid 1026,0 +va (VaSet +font "courier,8,0" +) +xt "65000,17200,95000,18100" +st "SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*72 (Net +uid 1031,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 15,0 +) +declText (MLText +uid 1032,0 +va (VaSet +font "courier,8,0" +) +xt "65000,15600,95500,16500" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*73 (Net +uid 1039,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 16,0 +) +declText (MLText +uid 1040,0 +va (VaSet +font "courier,8,0" +) +xt "65000,13200,92500,14100" +st "SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*74 (HdlText +uid 1053,0 +optionalChildren [ +*75 (EmbeddedText +uid 1058,0 +commentText (CommentText +uid 1059,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1060,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "24000,10000,34000,12000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1061,0 +va (VaSet +) +xt "24200,10200,33800,12200" +st " +dataIn <= std_ulogic_vector(data); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 10000 +) +) +) +] +shape (Rectangle +uid 1054,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "23000,9000,35000,13000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1055,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 1056,0 +va (VaSet +) +xt "22400,13000,24000,14000" +st "eb1" +blo "22400,13800" +tm "HdlTextNameMgr" +) +*77 (Text +uid 1057,0 +va (VaSet +) +xt "22400,14000,23200,15000" +st "1" +blo "22400,14800" +tm "HdlTextNumberMgr" +) +] +) +) +*78 (Net +uid 1070,0 +decl (Decl +n "data" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 14 +suid 17,0 +) +declText (MLText +uid 1071,0 +va (VaSet +font "courier,8,0" +) +xt "65000,14800,95000,15700" +st "SIGNAL data : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*79 (PortIoOut +uid 1157,0 +shape (CompositeShape +uid 1158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1159,0 +sl 0 +ro 270 +xt "91500,39625,93000,40375" +) +(Line +uid 1160,0 +sl 0 +ro 270 +xt "91000,40000,91500,40000" +pts [ +"91000,40000" +"91500,40000" +] +) +] +) +tg (WTG +uid 1161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1162,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,39300,98000,40700" +st "LED2" +blo "94000,40500" +tm "WireNameMgr" +) +) +) +*80 (Net +uid 1169,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 18,0 +) +declText (MLText +uid 1170,0 +va (VaSet +font "courier,8,0" +) +xt "65000,6400,77500,7300" +st "LED2 : std_ulogic" +) +) +*81 (Net +uid 1183,0 +decl (Decl +n "LED4" +t "std_ulogic" +o 11 +suid 19,0 +) +declText (MLText +uid 1184,0 +va (VaSet +font "courier,8,0" +) +xt "65000,12400,81000,13300" +st "SIGNAL LED4 : std_ulogic" +) +) +*82 (HdlText +uid 1185,0 +optionalChildren [ +*83 (EmbeddedText +uid 1190,0 +commentText (CommentText +uid 1191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,40000,82000,45000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1193,0 +va (VaSet +) +xt "68200,40200,81400,44200" +st " +LED2 <= '0'; +LED4 <= '0'; +spare <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 5000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 1186,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,39000,83000,47000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1187,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 1188,0 +va (VaSet +) +xt "67400,47000,69000,48000" +st "eb2" +blo "67400,47800" +tm "HdlTextNameMgr" +) +*85 (Text +uid 1189,0 +va (VaSet +) +xt "67400,48000,68200,49000" +st "2" +blo "67400,48800" +tm "HdlTextNumberMgr" +) +] +) +) +*86 (SaComponent +uid 1250,0 +optionalChildren [ +*87 (CptPort +uid 1202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,42625,43000,43375" +) +tg (CPTG +uid 1204,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1205,0 +va (VaSet +font "courier,9,0" +) +xt "44000,42400,47400,43600" +st "clock" +blo "44000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*88 (CptPort +uid 1206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,24625,43000,25375" +) +tg (CPTG +uid 1208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1209,0 +va (VaSet +font "courier,9,0" +) +xt "44000,24400,46900,25600" +st "addr" +blo "44000,25400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*89 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,24625,59750,25375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "courier,9,0" +) +xt "55001,24400,58001,25600" +st "outX" +ju 2 +blo "58001,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +) +) +) +*90 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,44625,43000,45375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "courier,9,0" +) +xt "44000,44400,47300,45600" +st "reset" +blo "44000,45400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*91 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,26625,59750,27375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "courier,9,0" +) +xt "55001,26400,58001,27600" +st "outY" +ju 2 +blo "58001,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +) +) +) +*92 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,26625,43000,27375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "courier,9,0" +) +xt "44000,26400,48000,27600" +st "dataIn" +blo "44000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*93 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,32625,43000,33375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "courier,9,0" +) +xt "44000,32400,45700,33600" +st "rd" +blo "44000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +) +) +) +*94 (CptPort +uid 1230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,34625,43000,35375" +) +tg (CPTG +uid 1232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1233,0 +va (VaSet +font "courier,9,0" +) +xt "44000,34400,46600,35600" +st "wrH" +blo "44000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +) +) +) +*95 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,38625,43000,39375" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1237,0 +va (VaSet +font "courier,9,0" +) +xt "44000,38400,45900,39600" +st "cs" +blo "44000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +) +) +) +*96 (CptPort +uid 1238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,36625,43000,37375" +) +tg (CPTG +uid 1240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1241,0 +va (VaSet +font "courier,9,0" +) +xt "44000,36400,46400,37600" +st "wrL" +blo "44000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +) +) +) +*97 (CptPort +uid 1242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1243,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,28625,43000,29375" +) +tg (CPTG +uid 1244,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1245,0 +va (VaSet +font "courier,9,0" +) +xt "44000,28400,48800,29600" +st "dataOut" +blo "44000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +) +) +) +*98 (CptPort +uid 1246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,42625,59750,43375" +) +tg (CPTG +uid 1248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1249,0 +va (VaSet +font "courier,9,0" +) +xt "53401,42400,58001,43600" +st "testOut" +ju 2 +blo "58001,43400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +) +) +) +] +shape (Rectangle +uid 1251,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,21000,59000,47000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1252,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 1253,0 +va (VaSet +) +xt "43600,46800,46400,47800" +st "Curves" +blo "43600,47600" +tm "BdLibraryNameMgr" +) +*100 (Text +uid 1254,0 +va (VaSet +) +xt "43600,47800,49100,48800" +st "beamerPeriph" +blo "43600,48600" +tm "CptNameMgr" +) +*101 (Text +uid 1255,0 +va (VaSet +) +xt "43600,48800,44600,49800" +st "I0" +blo "43600,49600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1256,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1257,0 +text (MLText +uid 1258,0 +va (VaSet +font "courier,8,0" +) +xt "43000,50600,65500,52400" +st "dataBitNb = dataBitNb ( positive ) +addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*102 (Net +uid 1259,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 24 +suid 20,0 +) +declText (MLText +uid 1260,0 +va (VaSet +font "courier,8,0" +) +xt "65000,22800,89000,23700" +st "SIGNAL testOut : std_ulogic_vector(1 TO 16)" +) +) +*103 (PortIoOut +uid 1267,0 +shape (CompositeShape +uid 1268,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1269,0 +sl 0 +ro 270 +xt "91500,43625,93000,44375" +) +(Line +uid 1270,0 +sl 0 +ro 270 +xt "91000,44000,91500,44000" +pts [ +"91000,44000" +"91500,44000" +] +) +] +) +tg (WTG +uid 1271,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1272,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,43300,106400,44700" +st "spare : (1 TO 17)" +blo "94000,44500" +tm "WireNameMgr" +) +) +) +*104 (Net +uid 1279,0 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +declText (MLText +uid 1280,0 +va (VaSet +font "courier,8,0" +) +xt "65000,7200,85500,8100" +st "spare : std_ulogic_vector(1 TO 17)" +) +) +*105 (SaComponent +uid 1307,0 +optionalChildren [ +*106 (CptPort +uid 1295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1296,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,16625,51750,17375" +) +tg (CPTG +uid 1297,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1298,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39400,16200,51000,17600" +st "in1 : std_uLogic" +ju 2 +blo "51000,17400" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*107 (CptPort +uid 1299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1300,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,12625,51750,13375" +) +tg (CPTG +uid 1301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1302,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39400,12200,51000,13600" +st "in2 : std_uLogic" +ju 2 +blo "51000,13400" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*108 (CptPort +uid 1303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1304,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43300,14625,44050,15375" +) +tg (CPTG +uid 1305,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1306,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "44000,14250,56600,15650" +st "out1 : std_uLogic" +blo "44000,15450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 1308,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,12000,51000,18000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1309,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 1310,0 +va (VaSet +isHidden 1 +) +xt "46600,12700,48900,13700" +st "gates" +blo "46600,13500" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 1311,0 +va (VaSet +isHidden 1 +) +xt "46600,13700,48600,14700" +st "and2" +blo "46600,14500" +tm "CptNameMgr" +) +*111 (Text +uid 1312,0 +va (VaSet +) +xt "46600,13700,47600,14700" +st "I7" +blo "46600,14500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1313,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1314,0 +text (MLText +uid 1315,0 +va (VaSet +isHidden 1 +) +xt "44000,18400,59600,19400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*112 (Net +uid 1334,0 +decl (Decl +n "dataOE" +t "std_ulogic" +o 16 +suid 22,0 +) +declText (MLText +uid 1335,0 +va (VaSet +font "courier,8,0" +) +xt "65000,16400,81000,17300" +st "SIGNAL dataOE : std_ulogic" +) +) +*113 (PortIoIn +uid 1516,0 +shape (CompositeShape +uid 1517,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1518,0 +sl 0 +ro 270 +xt "17000,65625,18500,66375" +) +(Line +uid 1519,0 +sl 0 +ro 270 +xt "18500,66000,19000,66000" +pts [ +"18500,66000" +"19000,66000" +] +) +] +) +tg (WTG +uid 1520,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1521,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "6700,65500,16000,66900" +st "selSinCos_n" +ju 2 +blo "16000,66700" +tm "WireNameMgr" +) +) +) +*114 (Net +uid 1528,0 +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 23 +suid 23,0 +) +declText (MLText +uid 1529,0 +va (VaSet +font "courier,8,0" +) +xt "65000,4800,77500,5700" +st "selSinCos_n : std_ulogic" +) +) +*115 (PortIoOut +uid 1530,0 +shape (CompositeShape +uid 1531,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1532,0 +sl 0 +ro 270 +xt "91500,47625,93000,48375" +) +(Line +uid 1533,0 +sl 0 +ro 270 +xt "91000,48000,91500,48000" +pts [ +"91000,48000" +"91500,48000" +] +) +] +) +tg (WTG +uid 1534,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1535,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,47500,98000,48900" +st "LED1" +blo "94000,48700" +tm "WireNameMgr" +) +) +) +*116 (Net +uid 1542,0 +decl (Decl +n "LED1" +t "std_ulogic" +o 24 +suid 24,0 +) +declText (MLText +uid 1543,0 +va (VaSet +font "courier,8,0" +) +xt "65000,5600,77500,6500" +st "LED1 : std_ulogic" +) +) +*117 (PortIoOut +uid 1544,0 +shape (CompositeShape +uid 1545,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1546,0 +sl 0 +ro 270 +xt "91500,49625,93000,50375" +) +(Line +uid 1547,0 +sl 0 +ro 270 +xt "91000,50000,91500,50000" +pts [ +"91000,50000" +"91500,50000" +] +) +] +) +tg (WTG +uid 1548,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1549,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,49500,97600,50900" +st "txd0" +blo "94000,50700" +tm "WireNameMgr" +) +) +) +*118 (Net +uid 1556,0 +decl (Decl +n "txd0" +t "std_ulogic" +o 25 +suid 25,0 +) +declText (MLText +uid 1557,0 +va (VaSet +font "courier,8,0" +) +xt "65000,8000,77500,8900" +st "txd0 : std_ulogic" +) +) +*119 (PortIoIn +uid 1558,0 +shape (CompositeShape +uid 1559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1560,0 +sl 0 +ro 270 +xt "17000,67625,18500,68375" +) +(Line +uid 1561,0 +sl 0 +ro 270 +xt "18500,68000,19000,68000" +pts [ +"18500,68000" +"19000,68000" +] +) +] +) +tg (WTG +uid 1562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1563,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "12400,67500,16000,68900" +st "rxd0" +ju 2 +blo "16000,68700" +tm "WireNameMgr" +) +) +) +*120 (Net +uid 1570,0 +decl (Decl +n "rxd0" +t "std_ulogic" +o 26 +suid 26,0 +) +declText (MLText +uid 1571,0 +va (VaSet +font "courier,8,0" +) +xt "65000,4000,77500,4900" +st "rxd0 : std_ulogic" +) +) +*121 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "19000,43000,42250,58000" +pts [ +"19000,58000" +"39000,58000" +"39000,43000" +"42250,43000" +] +) +start &1 +end &87 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "19000,56600,22800,58000" +st "clock" +blo "19000,57800" +tm "WireNameMgr" +) +) +on &2 +) +*122 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "59750,27000,67000,27000" +pts [ +"67000,27000" +"59750,27000" +] +) +start &3 +end &91 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "62000,25600,65800,27000" +st "yOut" +blo "62000,26800" +tm "WireNameMgr" +) +) +on &27 +) +*123 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "19000,62000,24000,62000" +pts [ +"19000,62000" +"24000,62000" +] +) +start &4 +end &18 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,12,0" +) +xt "18000,60600,23800,62000" +st "reset_N" +blo "18000,61800" +tm "WireNameMgr" +) +) +on &24 +) +*124 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "29750,45000,42250,62000" +pts [ +"29750,62000" +"41000,62000" +"41000,45000" +"42250,45000" +] +) +start &19 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,12,0" +) +xt "31000,60600,35100,62000" +st "reset" +blo "31000,61800" +tm "WireNameMgr" +) +) +on &5 +) +*125 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "59750,25000,67000,25000" +pts [ +"67000,25000" +"59750,25000" +] +) +start &26 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "courier,12,0" +) +xt "62000,23600,65800,25000" +st "xOut" +blo "62000,24800" +tm "WireNameMgr" +) +) +on &25 +) +*126 (Wire +uid 900,0 +shape (OrthoPolyLine +uid 901,0 +va (VaSet +vasetType 3 +) +xt "29750,31000,42250,33000" +pts [ +"42250,33000" +"33000,33000" +"33000,31000" +"29750,31000" +] +) +start &93 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 903,0 +va (VaSet +font "courier,12,0" +) +xt "31000,29600,33100,31000" +st "rd" +blo "31000,30800" +tm "WireNameMgr" +) +) +on &56 +) +*127 (Wire +uid 906,0 +shape (OrthoPolyLine +uid 907,0 +va (VaSet +vasetType 3 +) +xt "29750,35000,42250,38000" +pts [ +"42250,35000" +"33000,35000" +"33000,38000" +"29750,38000" +] +) +start &94 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 908,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 909,0 +va (VaSet +font "courier,12,0" +) +xt "31000,36600,34300,38000" +st "wrH" +blo "31000,37800" +tm "WireNameMgr" +) +) +on &57 +) +*128 (Wire +uid 912,0 +shape (OrthoPolyLine +uid 913,0 +va (VaSet +vasetType 3 +) +xt "29750,37000,42250,46000" +pts [ +"42250,37000" +"35000,37000" +"35000,46000" +"29750,46000" +] +) +start &96 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 914,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 915,0 +va (VaSet +font "courier,12,0" +) +xt "31000,44600,34100,46000" +st "wrL" +blo "31000,45800" +tm "WireNameMgr" +) +) +on &58 +) +*129 (Wire +uid 918,0 +shape (OrthoPolyLine +uid 919,0 +va (VaSet +vasetType 3 +) +xt "29750,39000,42250,54000" +pts [ +"42250,39000" +"37000,39000" +"37000,54000" +"29750,54000" +] +) +start &95 +end &30 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 920,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 921,0 +va (VaSet +font "courier,12,0" +) +xt "31000,52600,33100,54000" +st "cs" +blo "31000,53800" +tm "WireNameMgr" +) +) +on &59 +) +*130 (Wire +uid 922,0 +shape (OrthoPolyLine +uid 923,0 +va (VaSet +vasetType 3 +) +xt "19000,46000,24000,46000" +pts [ +"24000,46000" +"19000,46000" +] +) +start &36 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 929,0 +va (VaSet +font "courier,12,0" +) +xt "19000,44600,23000,46000" +st "nwr0" +blo "19000,45800" +tm "WireNameMgr" +) +) +on &61 +) +*131 (Wire +uid 930,0 +shape (OrthoPolyLine +uid 931,0 +va (VaSet +vasetType 3 +) +xt "19000,31000,24000,31000" +pts [ +"24000,31000" +"19000,31000" +] +) +start &50 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 937,0 +va (VaSet +font "courier,12,0" +) +xt "19000,29600,21900,31000" +st "nrd" +blo "19000,30800" +tm "WireNameMgr" +) +) +on &63 +) +*132 (Wire +uid 938,0 +shape (OrthoPolyLine +uid 939,0 +va (VaSet +vasetType 3 +) +xt "19000,54000,24000,54000" +pts [ +"24000,54000" +"19000,54000" +] +) +start &29 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 945,0 +va (VaSet +font "courier,12,0" +) +xt "19000,52600,21900,54000" +st "ncs" +blo "19000,53800" +tm "WireNameMgr" +) +) +on &60 +) +*133 (Wire +uid 946,0 +shape (OrthoPolyLine +uid 947,0 +va (VaSet +vasetType 3 +) +xt "19000,38000,24000,38000" +pts [ +"24000,38000" +"19000,38000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +font "courier,12,0" +) +xt "19000,36600,23000,38000" +st "nwr1" +blo "19000,37800" +tm "WireNameMgr" +) +) +on &62 +) +*134 (Wire +uid 1027,0 +shape (OrthoPolyLine +uid 1028,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30750,21000,42250,29000" +pts [ +"42250,29000" +"35000,29000" +"35000,21000" +"30750,21000" +] +) +start &97 +end &66 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1030,0 +va (VaSet +font "courier,12,0" +) +xt "36250,27600,42250,29000" +st "dataOut" +blo "36250,28800" +tm "WireNameMgr" +) +) +on &71 +) +*135 (Wire +uid 1033,0 +shape (OrthoPolyLine +uid 1034,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,11000,42250,27000" +pts [ +"42250,27000" +"37000,27000" +"37000,11000" +"35000,11000" +] +) +start &92 +end &74 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1037,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1038,0 +va (VaSet +font "courier,12,0" +) +xt "37250,25600,42250,27000" +st "dataIn" +blo "37250,26800" +tm "WireNameMgr" +) +) +on &72 +) +*136 (Wire +uid 1041,0 +shape (OrthoPolyLine +uid 1042,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,5000,42250,25000" +pts [ +"42250,25000" +"38000,25000" +"38000,5000" +"19000,5000" +] +) +start &88 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1046,0 +va (VaSet +font "courier,12,0" +) +xt "19000,3600,22700,5000" +st "addr" +blo "19000,4800" +tm "WireNameMgr" +) +) +on &73 +) +*137 (Wire +uid 1062,0 +optionalChildren [ +*138 (BdJunction +uid 1084,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1085,0 +va (VaSet +vasetType 1 +) +xt "20600,15600,21400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1063,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,16000,23250,21000" +pts [ +"23250,21000" +"21000,21000" +"21000,16000" +"19000,16000" +] +) +start &65 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1068,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1069,0 +va (VaSet +font "courier,12,0" +) +xt "18000,13600,21700,15000" +st "data" +blo "18000,14800" +tm "WireNameMgr" +) +) +on &78 +) +*139 (Wire +uid 1078,0 +shape (OrthoPolyLine +uid 1079,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,11000,23000,16000" +pts [ +"21000,16000" +"21000,11000" +"23000,11000" +] +) +start &138 +end &74 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1082,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1083,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "19000,9600,22700,11000" +st "data" +blo "19000,10800" +tm "WireNameMgr" +) +) +on &78 +) +*140 (Wire +uid 1086,0 +shape (OrthoPolyLine +uid 1087,0 +va (VaSet +vasetType 3 +) +xt "51000,13000,55000,13000" +pts [ +"51000,13000" +"55000,13000" +] +) +start &107 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1092,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1093,0 +va (VaSet +font "courier,12,0" +) +xt "53000,11600,55100,13000" +st "rd" +blo "53000,12800" +tm "WireNameMgr" +) +) +on &56 +) +*141 (Wire +uid 1163,0 +shape (OrthoPolyLine +uid 1164,0 +va (VaSet +vasetType 3 +) +xt "83000,40000,91000,40000" +pts [ +"83000,40000" +"91000,40000" +] +) +start &82 +end &79 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1168,0 +va (VaSet +font "courier,12,0" +) +xt "88000,38600,92000,40000" +st "LED2" +blo "88000,39800" +tm "WireNameMgr" +) +) +on &80 +) +*142 (Wire +uid 1177,0 +shape (OrthoPolyLine +uid 1178,0 +va (VaSet +vasetType 3 +) +xt "83000,42000,91000,42000" +pts [ +"83000,42000" +"91000,42000" +] +) +start &82 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1182,0 +va (VaSet +font "courier,12,0" +) +xt "88000,40600,92000,42000" +st "LED4" +blo "88000,41800" +tm "WireNameMgr" +) +) +on &81 +) +*143 (Wire +uid 1261,0 +shape (OrthoPolyLine +uid 1262,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,43000,67000,43000" +pts [ +"59750,43000" +"67000,43000" +] +) +start &98 +end &82 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1265,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1266,0 +va (VaSet +font "courier,12,0" +) +xt "61750,41600,67350,43000" +st "testOut" +blo "61750,42800" +tm "WireNameMgr" +) +) +on &102 +) +*144 (Wire +uid 1273,0 +shape (OrthoPolyLine +uid 1274,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83000,44000,91000,44000" +pts [ +"83000,44000" +"91000,44000" +] +) +start &82 +end &103 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1278,0 +va (VaSet +font "courier,12,0" +) +xt "87000,42600,91400,44000" +st "spare" +blo "87000,43800" +tm "WireNameMgr" +) +) +on &104 +) +*145 (Wire +uid 1330,0 +shape (OrthoPolyLine +uid 1331,0 +va (VaSet +vasetType 3 +) +xt "27000,15000,44050,18250" +pts [ +"44050,15000" +"27000,15000" +"27000,18250" +] +) +start &108 +end &67 +sat 32 +eat 32 +sf 1 +si 0 +tg (WTG +uid 1332,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1333,0 +ro 270 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48600,-1050,50000,12950" +st "dataOE : std_ulogic" +blo "49800,12950" +tm "WireNameMgr" +) +) +on &112 +) +*146 (Wire +uid 1336,0 +shape (OrthoPolyLine +uid 1337,0 +va (VaSet +vasetType 3 +) +xt "51000,17000,55000,17000" +pts [ +"55000,17000" +"51000,17000" +] +) +end &106 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1343,0 +va (VaSet +font "courier,12,0" +) +xt "53000,15600,55100,17000" +st "cs" +blo "53000,16800" +tm "WireNameMgr" +) +) +on &59 +) +*147 (Wire +uid 1522,0 +shape (OrthoPolyLine +uid 1523,0 +va (VaSet +vasetType 3 +) +xt "19000,66000,29000,66000" +pts [ +"19000,66000" +"29000,66000" +] +) +start &113 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1526,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1527,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21000,64600,30300,66000" +st "selSinCos_n" +blo "21000,65800" +tm "WireNameMgr" +) +) +on &114 +) +*148 (Wire +uid 1536,0 +shape (OrthoPolyLine +uid 1537,0 +va (VaSet +vasetType 3 +) +xt "81000,48000,91000,48000" +pts [ +"81000,48000" +"91000,48000" +] +) +end &115 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1541,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "83000,46600,87000,48000" +st "LED1" +blo "83000,47800" +tm "WireNameMgr" +) +) +on &116 +) +*149 (Wire +uid 1550,0 +shape (OrthoPolyLine +uid 1551,0 +va (VaSet +vasetType 3 +) +xt "81000,50000,91000,50000" +pts [ +"81000,50000" +"91000,50000" +] +) +end &117 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1555,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "83000,48600,86600,50000" +st "txd0" +blo "83000,49800" +tm "WireNameMgr" +) +) +on &118 +) +*150 (Wire +uid 1564,0 +shape (OrthoPolyLine +uid 1565,0 +va (VaSet +vasetType 3 +) +xt "19000,68000,29000,68000" +pts [ +"19000,68000" +"29000,68000" +] +) +start &119 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1569,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21000,66600,24600,68000" +st "rxd0" +blo "21000,67800" +tm "WireNameMgr" +) +) +on &120 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *151 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*152 (Text +uid 85,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*153 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,15600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*154 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*155 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*156 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*157 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*158 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*159 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*160 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "29,29,922,729" +viewArea "-4864,-1468,96864,67668" +cachedDiagramExtent "-8700,-1050,106400,66600" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1636,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*161 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*162 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*163 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*165 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*166 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*167 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*168 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*169 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*171 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*172 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*174 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*175 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*176 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*177 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*179 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*181 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "63000,400,68400,1400" +st "Declarations" +blo "63000,1200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "63000,1400,65700,2400" +st "Ports:" +blo "63000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "63000,10400,66800,11400" +st "Pre User:" +blo "63000,11200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "65000,11400,85500,13000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "63000,11400,70100,12400" +st "Diagram Signals:" +blo "63000,12200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "63000,400,67700,1400" +st "Post User:" +blo "63000,1200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "63000,400,63000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *182 (LEmptyRow +) +uid 1437,0 +optionalChildren [ +*183 (RefLabelRowHdr +) +*184 (TitleRowHdr +) +*185 (FilterRowHdr +) +*186 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*187 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*188 (GroupColHdr +tm "GroupColHdrMgr" +) +*189 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*190 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*191 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*192 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*193 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*194 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*195 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1392,0 +) +*196 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 2,0 +) +) +uid 1394,0 +) +*197 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1396,0 +) +*198 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +) +uid 1398,0 +) +*199 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +uid 1400,0 +) +*200 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rd" +t "std_ulogic" +o 22 +suid 6,0 +) +) +uid 1402,0 +) +*201 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrH" +t "std_ulogic" +o 25 +suid 7,0 +) +) +uid 1404,0 +) +*202 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrL" +t "std_ulogic" +o 26 +suid 8,0 +) +) +uid 1406,0 +) +*203 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cs" +t "std_ulogic" +o 13 +suid 9,0 +) +) +uid 1408,0 +) +*204 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ncs" +t "std_ulogic" +o 18 +suid 10,0 +) +) +uid 1410,0 +) +*205 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nwr0" +t "std_ulogic" +o 20 +suid 11,0 +) +) +uid 1412,0 +) +*206 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nwr1" +t "std_ulogic" +o 21 +suid 12,0 +) +) +uid 1414,0 +) +*207 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nrd" +t "std_ulogic" +o 19 +suid 13,0 +) +) +uid 1416,0 +) +*208 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 14,0 +) +) +uid 1418,0 +) +*209 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 15,0 +) +) +uid 1420,0 +) +*210 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 16,0 +) +) +uid 1422,0 +) +*211 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "data" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 14 +suid 17,0 +) +) +uid 1424,0 +) +*212 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 18,0 +) +) +uid 1426,0 +) +*213 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "LED4" +t "std_ulogic" +o 11 +suid 19,0 +) +) +uid 1428,0 +) +*214 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 24 +suid 20,0 +) +) +uid 1430,0 +) +*215 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +) +uid 1432,0 +) +*216 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOE" +t "std_ulogic" +o 16 +suid 22,0 +) +) +uid 1434,0 +) +*217 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 23,0 +) +) +uid 1509,0 +) +*218 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 24,0 +) +) +uid 1511,0 +) +*219 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 25,0 +) +) +uid 1513,0 +) +*220 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 26,0 +) +) +uid 1515,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1450,0 +optionalChildren [ +*221 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *222 (MRCItem +litem &182 +pos 3 +dimension 20 +) +uid 1452,0 +optionalChildren [ +*223 (MRCItem +litem &183 +pos 0 +dimension 20 +uid 1453,0 +) +*224 (MRCItem +litem &184 +pos 1 +dimension 23 +uid 1454,0 +) +*225 (MRCItem +litem &185 +pos 2 +hidden 1 +dimension 20 +uid 1455,0 +) +*226 (MRCItem +litem &195 +pos 0 +dimension 20 +uid 1393,0 +) +*227 (MRCItem +litem &196 +pos 10 +dimension 20 +uid 1395,0 +) +*228 (MRCItem +litem &197 +pos 1 +dimension 20 +uid 1397,0 +) +*229 (MRCItem +litem &198 +pos 2 +dimension 20 +uid 1399,0 +) +*230 (MRCItem +litem &199 +pos 3 +dimension 20 +uid 1401,0 +) +*231 (MRCItem +litem &200 +pos 11 +dimension 20 +uid 1403,0 +) +*232 (MRCItem +litem &201 +pos 12 +dimension 20 +uid 1405,0 +) +*233 (MRCItem +litem &202 +pos 13 +dimension 20 +uid 1407,0 +) +*234 (MRCItem +litem &203 +pos 14 +dimension 20 +uid 1409,0 +) +*235 (MRCItem +litem &204 +pos 15 +dimension 20 +uid 1411,0 +) +*236 (MRCItem +litem &205 +pos 16 +dimension 20 +uid 1413,0 +) +*237 (MRCItem +litem &206 +pos 17 +dimension 20 +uid 1415,0 +) +*238 (MRCItem +litem &207 +pos 18 +dimension 20 +uid 1417,0 +) +*239 (MRCItem +litem &208 +pos 19 +dimension 20 +uid 1419,0 +) +*240 (MRCItem +litem &209 +pos 20 +dimension 20 +uid 1421,0 +) +*241 (MRCItem +litem &210 +pos 21 +dimension 20 +uid 1423,0 +) +*242 (MRCItem +litem &211 +pos 22 +dimension 20 +uid 1425,0 +) +*243 (MRCItem +litem &212 +pos 7 +dimension 20 +uid 1427,0 +) +*244 (MRCItem +litem &213 +pos 23 +dimension 20 +uid 1429,0 +) +*245 (MRCItem +litem &214 +pos 24 +dimension 20 +uid 1431,0 +) +*246 (MRCItem +litem &215 +pos 4 +dimension 20 +uid 1433,0 +) +*247 (MRCItem +litem &216 +pos 25 +dimension 20 +uid 1435,0 +) +*248 (MRCItem +litem &217 +pos 5 +dimension 20 +uid 1508,0 +) +*249 (MRCItem +litem &218 +pos 6 +dimension 20 +uid 1510,0 +) +*250 (MRCItem +litem &219 +pos 8 +dimension 20 +uid 1512,0 +) +*251 (MRCItem +litem &220 +pos 9 +dimension 20 +uid 1514,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 1456,0 +optionalChildren [ +*252 (MRCItem +litem &186 +pos 0 +dimension 20 +uid 1457,0 +) +*253 (MRCItem +litem &188 +pos 1 +dimension 50 +uid 1458,0 +) +*254 (MRCItem +litem &189 +pos 2 +dimension 100 +uid 1459,0 +) +*255 (MRCItem +litem &190 +pos 3 +dimension 50 +uid 1460,0 +) +*256 (MRCItem +litem &191 +pos 4 +dimension 100 +uid 1461,0 +) +*257 (MRCItem +litem &192 +pos 5 +dimension 100 +uid 1462,0 +) +*258 (MRCItem +litem &193 +pos 6 +dimension 50 +uid 1463,0 +) +*259 (MRCItem +litem &194 +pos 7 +dimension 80 +uid 1464,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1451,0 +vaOverrides [ +] +) +] +) +uid 1436,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *260 (LEmptyRow +) +uid 1466,0 +optionalChildren [ +*261 (RefLabelRowHdr +) +*262 (TitleRowHdr +) +*263 (FilterRowHdr +) +*264 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*265 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*266 (GroupColHdr +tm "GroupColHdrMgr" +) +*267 (NameColHdr +tm "GenericNameColHdrMgr" +) +*268 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*269 (InitColHdr +tm "GenericValueColHdrMgr" +) +*270 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*271 (EolColHdr +tm "GenericEolColHdrMgr" +) +*272 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 1573,0 +) +*273 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 1575,0 +) +] +) +pdm (PhysicalDM +uid 1478,0 +optionalChildren [ +*274 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *275 (MRCItem +litem &260 +pos 3 +dimension 20 +) +uid 1480,0 +optionalChildren [ +*276 (MRCItem +litem &261 +pos 0 +dimension 20 +uid 1481,0 +) +*277 (MRCItem +litem &262 +pos 1 +dimension 23 +uid 1482,0 +) +*278 (MRCItem +litem &263 +pos 2 +hidden 1 +dimension 20 +uid 1483,0 +) +*279 (MRCItem +litem &272 +pos 1 +dimension 20 +uid 1572,0 +) +*280 (MRCItem +litem &273 +pos 0 +dimension 20 +uid 1574,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 1484,0 +optionalChildren [ +*281 (MRCItem +litem &264 +pos 0 +dimension 20 +uid 1485,0 +) +*282 (MRCItem +litem &266 +pos 1 +dimension 50 +uid 1486,0 +) +*283 (MRCItem +litem &267 +pos 2 +dimension 100 +uid 1487,0 +) +*284 (MRCItem +litem &268 +pos 3 +dimension 100 +uid 1488,0 +) +*285 (MRCItem +litem &269 +pos 4 +dimension 50 +uid 1489,0 +) +*286 (MRCItem +litem &270 +pos 5 +dimension 50 +uid 1490,0 +) +*287 (MRCItem +litem &271 +pos 6 +dimension 80 +uid 1491,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1479,0 +vaOverrides [ +] +) +] +) +uid 1465,0 +type 1 +) +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/symbol.sb new file mode 100644 index 0000000..3629eac --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@f@p@g@a_beamer/symbol.sb @@ -0,0 +1,1994 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2041,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 241,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 242,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 245,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 3,0 +) +) +uid 246,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 4,0 +) +) +uid 248,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 13,0 +) +) +uid 249,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 256,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 2019,0 +) +) +uid 424,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 2020,0 +) +) +uid 426,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 2040,0 +) +) +uid 824,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 2041,0 +) +) +uid 826,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 257,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 25 +dimension 20 +) +uid 170,0 +optionalChildren [ +*26 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 173,0 +) +*27 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 175,0 +) +*28 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 177,0 +) +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 196,0 +) +*30 (MRCItem +litem &3 +pos 7 +dimension 20 +uid 199,0 +) +*31 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 200,0 +) +*32 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 202,0 +) +*33 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 203,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 210,0 +) +*35 (MRCItem +litem &20 +pos 5 +dimension 20 +uid 423,0 +) +*36 (MRCItem +litem &21 +pos 6 +dimension 20 +uid 425,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 823,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 825,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 171,0 +optionalChildren [ +*39 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 179,0 +) +*40 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 183,0 +) +*41 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 185,0 +) +*42 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 187,0 +) +*43 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 189,0 +) +*44 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 191,0 +) +*45 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 193,0 +) +*46 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 195,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 169,0 +vaOverrides [ +] +) +] +) +uid 240,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 259,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 236,0 +) +*60 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 237,0 +) +] +) +pdm (PhysicalDM +uid 260,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 212,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 215,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 217,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 219,0 +) +*66 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 238,0 +) +*67 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 239,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 221,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 225,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 227,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 229,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 231,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 233,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 235,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 211,0 +vaOverrides [ +] +) +] +) +uid 258,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer" +) +(vvPair +variable "date" +value "04/15/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "04/15/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:26:55" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:26:55" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "35000,25400,38400,26600" +st "clock" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19500,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*77 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,27625,34000,28375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "35000,27400,39700,28600" +st "reset_N" +blo "35000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19500,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,9625,52750,10375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "47800,9400,51000,10600" +st "xOut" +ju 2 +blo "51000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,19500,19100" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 3,0 +) +) +) +*79 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,11625,52750,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "47800,11400,51000,12600" +st "yOut" +ju 2 +blo "51000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,18500,20000" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 4,0 +) +) +) +*80 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,19625,52750,20375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +font "courier,9,0" +) +xt "47500,19400,51000,20600" +st "LED2" +ju 2 +blo "51000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,19500,16400" +st "LED2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*81 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,9,0" +) +xt "47500,23400,51000,24600" +st "spare" +ju 2 +blo "51000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 147,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,27500,17300" +st "spare : OUT std_ulogic_vector (1 TO 17) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 13,0 +) +) +) +*82 (CptPort +uid 427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,28625,34000,29375" +) +tg (CPTG +uid 429,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 430,0 +va (VaSet +font "courier,9,0" +) +xt "35000,28400,42700,29600" +st "selSinCos_n" +blo "35000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,19500,14600" +st "selSinCos_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 2019,0 +) +) +) +*83 (CptPort +uid 432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,26625,52750,27375" +) +tg (CPTG +uid 434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 435,0 +va (VaSet +font "courier,9,0" +) +xt "47500,26400,51000,27600" +st "LED1" +ju 2 +blo "51000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,19500,15500" +st "LED1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 2020,0 +) +) +) +*84 (CptPort +uid 827,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 828,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,27625,52750,28375" +) +tg (CPTG +uid 829,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 830,0 +va (VaSet +font "courier,9,0" +) +xt "48000,27400,51000,28600" +st "txd0" +ju 2 +blo "51000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 831,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,19500,18200" +st "txd0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 2040,0 +) +) +) +*85 (CptPort +uid 832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 833,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,29625,34000,30375" +) +tg (CPTG +uid 834,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 835,0 +va (VaSet +font "courier,9,0" +) +xt "35000,29400,38000,30600" +st "rxd0" +blo "35000,30400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 836,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19500,13700" +st "rxd0 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 2041,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,6000,52000,40000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "34600,29800,37100,30700" +st "Board" +blo "34600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "34600,30700,40600,31600" +st "FPGA_beamer" +blo "34600,31400" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,33600,49000,37200" +st "Generic Declarations + +memAddressBitNb positive 24 +memDataBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +(GiElement +name "memDataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "20,35,1257,877" +viewArea "-1081,-1081,74584,50948" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 882,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/struct.bd b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/struct.bd new file mode 100644 index 0000000..6e60617 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/struct.bd @@ -0,0 +1,6067 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 2944,0 +) +(Instance +name "I12" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 3013,0 +) +(Instance +name "I7" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 3775,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 3795,0 +) +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 3968,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 4904,0 +) +(Instance +name "I_top" +duLibraryName "SystemOnChip" +duName "beamerSoc" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 5675,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\FPGA_beamer" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:00:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@f@p@g@a_beamer\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\FPGA_beamer\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:00:12" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "26000,70625,27500,71375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "27500,71000,28000,71000" +pts [ +"27500,71000" +"28000,71000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21200,70300,25000,71700" +st "clock" +ju 2 +blo "25000,71500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,7600,10200,8600" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "116500,48625,118000,49375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "116000,49000,116500,49000" +pts [ +"116000,49000" +"116500,49000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,48300,122800,49700" +st "yOut" +blo "119000,49500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "26000,82625,27500,83375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "27500,83000,28000,83000" +pts [ +"27500,83000" +"28000,83000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "19200,82300,25000,83700" +st "reset_N" +ju 2 +blo "25000,83500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 13 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22900,13400,23900" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,98000,124000,99000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,98500,107200,98500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,94000,128000,95000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,94500,124200,94500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,96000,124000,97000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,96500,107200,96500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,96000,107000,97000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,96500,103200,96500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,95000,144000,99000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,95200,138300,96400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "128000,94000,144000,95000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "128200,94500,128200,94500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,94000,124000,96000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "108350,94400,118650,95600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,97000,107000,98000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,97500,103200,97500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "103000,98000,107000,99000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "103200,98500,103200,98500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "107000,97000,124000,98000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "107200,97500,107200,97500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "103000,94000,144000,99000" +) +oxt "14000,66000,55000,71000" +) +*17 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,8500,10700,9500" +st "reset_N : std_ulogic" +) +) +*18 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,14800,10400,15800" +st "xOut : std_ulogic" +) +) +*19 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "116500,46625,118000,47375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "116000,47000,116500,47000" +pts [ +"116000,47000" +"116500,47000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,46300,122800,47700" +st "xOut" +blo "119000,47500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,15700,10400,16700" +st "yOut : std_ulogic" +) +) +*21 (PortIoIn +uid 954,0 +shape (CompositeShape +uid 955,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 956,0 +sl 0 +ro 270 +xt "26000,40625,27500,41375" +) +(Line +uid 957,0 +sl 0 +ro 270 +xt "27500,41000,28000,41000" +pts [ +"27500,41000" +"28000,41000" +] +) +] +) +tg (WTG +uid 958,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 959,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21400,40300,25000,41700" +st "rxd0" +ju 2 +blo "25000,41500" +tm "WireNameMgr" +) +) +) +*22 (PortIoOut +uid 1157,0 +shape (CompositeShape +uid 1158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1159,0 +sl 0 +ro 270 +xt "104500,12625,106000,13375" +) +(Line +uid 1160,0 +sl 0 +ro 270 +xt "104000,13000,104500,13000" +pts [ +"104000,13000" +"104500,13000" +] +) +] +) +tg (WTG +uid 1161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1162,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,12300,111000,13700" +st "LED1" +blo "107000,13500" +tm "WireNameMgr" +) +) +) +*23 (PortIoOut +uid 1171,0 +shape (CompositeShape +uid 1172,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1173,0 +sl 0 +ro 270 +xt "104500,14625,106000,15375" +) +(Line +uid 1174,0 +sl 0 +ro 270 +xt "104000,15000,104500,15000" +pts [ +"104000,15000" +"104500,15000" +] +) +] +) +tg (WTG +uid 1175,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1176,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,14300,111000,15700" +st "LED2" +blo "107000,15500" +tm "WireNameMgr" +) +) +) +*24 (HdlText +uid 1185,0 +optionalChildren [ +*25 (EmbeddedText +uid 1190,0 +commentText (CommentText +uid 1191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "80000,12000,96000,18000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1193,0 +va (VaSet +) +xt "80200,12200,94900,18200" +st " +LED1 <= testOut(1); +LED2 <= testOut(2); +spare(testOut'range) <= testOut; +spare(testOut'high+1 to spare'high) <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1186,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "80000,11000,96000,19000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1187,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 1188,0 +va (VaSet +) +xt "80400,19000,83000,20200" +st "eb2" +blo "80400,20000" +tm "HdlTextNameMgr" +) +*27 (Text +uid 1189,0 +va (VaSet +) +xt "80400,20000,81800,21200" +st "2" +blo "80400,21000" +tm "HdlTextNumberMgr" +) +] +) +) +*28 (PortIoOut +uid 1267,0 +shape (CompositeShape +uid 1268,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1269,0 +sl 0 +ro 270 +xt "104500,16625,106000,17375" +) +(Line +uid 1270,0 +sl 0 +ro 270 +xt "104000,17000,104500,17000" +pts [ +"104000,17000" +"104500,17000" +] +) +] +) +tg (WTG +uid 1271,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1272,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "107000,16300,119400,17700" +st "spare : (1 TO 17)" +blo "107000,17500" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 1279,0 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +declText (MLText +uid 1280,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13000,17900,14000" +st "spare : std_ulogic_vector(1 TO 17)" +) +) +*30 (HdlText +uid 1748,0 +optionalChildren [ +*31 (EmbeddedText +uid 1753,0 +commentText (CommentText +uid 1754,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1755,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "33000,74000,39000,76000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1756,0 +va (VaSet +) +xt "33200,74200,38700,75400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 1749,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "32000,73000,40000,77000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1750,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1751,0 +va (VaSet +) +xt "32400,77000,35000,78200" +st "eb3" +blo "32400,78000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1752,0 +va (VaSet +) +xt "32400,78000,33800,79200" +st "3" +blo "32400,79000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (Net +uid 1765,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 12 +suid 28,0 +) +declText (MLText +uid 1766,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,22000,13600,23000" +st "SIGNAL logic1 : std_uLogic" +) +) +*35 (SaComponent +uid 2944,0 +optionalChildren [ +*36 (CptPort +uid 2935,0 +optionalChildren [ +*37 (Circle +uid 2939,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32092,82546,33000,83454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2936,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "31342,82625,32092,83375" +) +tg (CPTG +uid 2937,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2938,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,82500,35700,83900" +st "in1" +blo "33000,83700" +) +s (Text +uid 2953,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "33000,83900,33000,83900" +blo "33000,83900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*38 (CptPort +uid 2940,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2941,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38000,82625,38750,83375" +) +tg (CPTG +uid 2942,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2943,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34050,82500,37750,83900" +st "out1" +ju 2 +blo "37750,83700" +) +s (Text +uid 2954,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37750,83900,37750,83900" +ju 2 +blo "37750,83900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2945,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,80000,38000,86000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2946,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 2947,0 +va (VaSet +isHidden 1 +) +xt "33910,78700,37510,79900" +st "Board" +blo "33910,79700" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 2948,0 +va (VaSet +isHidden 1 +) +xt "33910,79700,40310,80900" +st "inverterIn" +blo "33910,80700" +tm "CptNameMgr" +) +*41 (Text +uid 2949,0 +va (VaSet +) +xt "33910,79700,35810,80900" +st "I2" +blo "33910,80700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2950,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2951,0 +text (MLText +uid 2952,0 +va (VaSet +) +xt "10000,76000,10000,76000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 3013,0 +optionalChildren [ +*43 (CptPort +uid 3022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3023,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,74625,45000,75375" +) +tg (CPTG +uid 3024,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3025,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,74300,47700,75700" +st "D" +blo "46000,75500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*44 (CptPort +uid 3026,0 +optionalChildren [ +*45 (FFT +pts [ +"45750,79000" +"45000,79375" +"45000,78625" +] +uid 3030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,78625,45750,79375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3027,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,78625,45000,79375" +) +tg (CPTG +uid 3028,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3029,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,78400,49200,79800" +st "CLK" +blo "46000,79600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*46 (CptPort +uid 3031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3032,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47625,81000,48375,81750" +) +tg (CPTG +uid 3033,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3034,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,79600,50200,81000" +st "CLR" +blo "47000,80800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*47 (CptPort +uid 3035,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3036,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,74625,51750,75375" +) +tg (CPTG +uid 3037,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3038,0 +va (VaSet +font "Verdana,12,0" +) +xt "48200,74300,50000,75700" +st "Q" +ju 2 +blo "50000,75500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3014,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,73000,51000,81000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3015,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 3016,0 +va (VaSet +) +xt "51600,78700,55200,79900" +st "Board" +blo "51600,79700" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 3017,0 +va (VaSet +) +xt "51600,79700,54300,80900" +st "DFF" +blo "51600,80700" +tm "CptNameMgr" +) +*50 (Text +uid 3018,0 +va (VaSet +) +xt "51600,80700,54200,81900" +st "I12" +blo "51600,81700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3019,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3020,0 +text (MLText +uid 3021,0 +va (VaSet +) +xt "22000,70000,22000,70000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*51 (PortIoOut +uid 3747,0 +shape (CompositeShape +uid 3748,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3749,0 +sl 0 +ro 90 +xt "26000,36625,27500,37375" +) +(Line +uid 3750,0 +sl 0 +ro 90 +xt "27500,37000,28000,37000" +pts [ +"28000,37000" +"27500,37000" +] +) +] +) +tg (WTG +uid 3751,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3752,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21400,36300,25000,37700" +st "txd0" +ju 2 +blo "25000,37500" +tm "WireNameMgr" +) +) +) +*52 (PortIoIn +uid 3769,0 +shape (CompositeShape +uid 3770,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3771,0 +sl 0 +ro 90 +xt "116500,52625,118000,53375" +) +(Line +uid 3772,0 +sl 0 +ro 90 +xt "116000,53000,116500,53000" +pts [ +"116500,53000" +"116000,53000" +] +) +] +) +tg (WTG +uid 3773,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3774,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "119000,52300,128300,53700" +st "selSinCos_n" +blo "119000,53500" +tm "WireNameMgr" +) +) +) +*53 (SaComponent +uid 3775,0 +optionalChildren [ +*54 (CptPort +uid 3784,0 +optionalChildren [ +*55 (Circle +uid 3789,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "111000,52546,111908,53454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3785,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "111908,52625,112658,53375" +) +tg (CPTG +uid 3786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3787,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "368566,52500,371266,53900" +st "in1" +ju 2 +blo "371266,53700" +) +s (Text +uid 3788,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "371266,53900,371266,53900" +ju 2 +blo "371266,53900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*56 (CptPort +uid 3790,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3791,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "105250,52625,106000,53375" +) +tg (CPTG +uid 3792,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3793,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "356300,52500,360000,53900" +st "out1" +blo "356300,53700" +) +s (Text +uid 3794,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "356300,53900,356300,53900" +blo "356300,53900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3776,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "106000,50000,111000,56000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3777,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 3778,0 +va (VaSet +isHidden 1 +) +xt "106910,48700,110510,49900" +st "Board" +blo "106910,49700" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 3779,0 +va (VaSet +isHidden 1 +) +xt "106910,49700,113310,50900" +st "inverterIn" +blo "106910,50700" +tm "CptNameMgr" +) +*59 (Text +uid 3780,0 +va (VaSet +) +xt "106910,49700,108810,50900" +st "I7" +blo "106910,50700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3781,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3782,0 +text (MLText +uid 3783,0 +va (VaSet +) +xt "83000,46000,83000,46000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*60 (SaComponent +uid 3795,0 +optionalChildren [ +*61 (CptPort +uid 3804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3805,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99000,52625,99750,53375" +) +tg (CPTG +uid 3806,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3807,0 +va (VaSet +font "Verdana,12,0" +) +xt "96300,52300,98000,53700" +st "D" +ju 2 +blo "98000,53500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*62 (CptPort +uid 3808,0 +optionalChildren [ +*63 (FFT +pts [ +"98250,57000" +"99000,56625" +"99000,57375" +] +uid 3812,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,56625,99000,57375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3809,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99000,56625,99750,57375" +) +tg (CPTG +uid 3810,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3811,0 +va (VaSet +font "Verdana,12,0" +) +xt "94800,56400,98000,57800" +st "CLK" +ju 2 +blo "98000,57600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*64 (CptPort +uid 3813,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3814,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "95625,59000,96375,59750" +) +tg (CPTG +uid 3815,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3816,0 +va (VaSet +font "Verdana,12,0" +) +xt "93800,57600,97000,59000" +st "CLR" +blo "93800,58800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*65 (CptPort +uid 3817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3818,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "92250,52625,93000,53375" +) +tg (CPTG +uid 3819,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "94000,52300,95800,53700" +st "Q" +blo "94000,53500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3796,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,51000,99000,59000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3797,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 3798,0 +va (VaSet +) +xt "99600,56700,103200,57900" +st "Board" +blo "99600,57700" +tm "BdLibraryNameMgr" +) +*67 (Text +uid 3799,0 +va (VaSet +) +xt "99600,57700,102300,58900" +st "DFF" +blo "99600,58700" +tm "CptNameMgr" +) +*68 (Text +uid 3800,0 +va (VaSet +) +xt "99600,58700,101500,59900" +st "I9" +blo "99600,59700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3801,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3802,0 +text (MLText +uid 3803,0 +va (VaSet +) +xt "70000,48000,70000,48000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*69 (Net +uid 3962,0 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 18 +suid 45,0 +) +declText (MLText +uid 3963,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,27400,14900,28400" +st "SIGNAL selSinCosSynch : std_ulogic" +) +) +*70 (Net +uid 3964,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 46,0 +) +declText (MLText +uid 3965,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,26500,14000,27500" +st "SIGNAL selSinCos : std_ulogic" +) +) +*71 (Net +uid 3966,0 +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 47,0 +) +declText (MLText +uid 3967,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,10300,11200,11300" +st "selSinCos_n : std_ulogic" +) +) +*72 (SaComponent +uid 3968,0 +optionalChildren [ +*73 (CptPort +uid 3977,0 +optionalChildren [ +*74 (Circle +uid 3982,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56092,74546,57000,75454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3978,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55342,74625,56092,75375" +) +tg (CPTG +uid 3979,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3980,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57000,74500,59700,75900" +st "in1" +blo "57000,75700" +) +s (Text +uid 3981,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57000,75900,57000,75900" +blo "57000,75900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*75 (CptPort +uid 3983,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3984,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "62000,74625,62750,75375" +) +tg (CPTG +uid 3985,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3986,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "58050,74500,61750,75900" +st "out1" +ju 2 +blo "61750,75700" +) +s (Text +uid 3987,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "61750,75900,61750,75900" +ju 2 +blo "61750,75900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3969,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,72000,62000,78000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3970,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 3971,0 +va (VaSet +isHidden 1 +) +xt "57910,70700,61510,71900" +st "Board" +blo "57910,71700" +tm "BdLibraryNameMgr" +) +*77 (Text +uid 3972,0 +va (VaSet +isHidden 1 +) +xt "57910,71700,64310,72900" +st "inverterIn" +blo "57910,72700" +tm "CptNameMgr" +) +*78 (Text +uid 3973,0 +va (VaSet +) +xt "57910,71700,59810,72900" +st "I3" +blo "57910,72700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3974,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3975,0 +text (MLText +uid 3976,0 +va (VaSet +) +xt "34000,68000,34000,68000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*79 (Net +uid 4010,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 19 +suid 49,0 +) +declText (MLText +uid 4011,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,28300,25200,29300" +st "SIGNAL testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*80 (Net +uid 4012,0 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 50,0 +) +declText (MLText +uid 4013,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,11200,10500,12200" +st "LED1 : std_ulogic" +) +) +*81 (Net +uid 4014,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 4015,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,12100,10500,13100" +st "LED2 : std_ulogic" +) +) +*82 (Net +uid 4405,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 14 +suid 72,0 +) +declText (MLText +uid 4406,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,23800,14300,24800" +st "SIGNAL resetSynch : std_ulogic" +) +) +*83 (Net +uid 4407,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 15 +suid 73,0 +) +declText (MLText +uid 4408,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,24700,14800,25700" +st "SIGNAL resetSynch_N : std_ulogic" +) +) +*84 (Net +uid 4885,0 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 16 +suid 76,0 +) +declText (MLText +uid 4886,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,25600,14200,26600" +st "SIGNAL rxdSynch : std_ulogic" +) +) +*85 (SaComponent +uid 4904,0 +optionalChildren [ +*86 (CptPort +uid 4887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4888,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,40625,45000,41375" +) +tg (CPTG +uid 4889,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4890,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,40300,47700,41700" +st "D" +blo "46000,41500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*87 (CptPort +uid 4891,0 +optionalChildren [ +*88 (FFT +pts [ +"45750,45000" +"45000,45375" +"45000,44625" +] +uid 4895,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,44625,45750,45375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4892,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44250,44625,45000,45375" +) +tg (CPTG +uid 4893,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4894,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,44400,49200,45800" +st "CLK" +blo "46000,45600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*89 (CptPort +uid 4896,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4897,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47625,47000,48375,47750" +) +tg (CPTG +uid 4898,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4899,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,45600,50200,47000" +st "CLR" +blo "47000,46800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*90 (CptPort +uid 4900,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4901,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,40625,51750,41375" +) +tg (CPTG +uid 4902,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4903,0 +va (VaSet +font "Verdana,12,0" +) +xt "48200,40300,50000,41700" +st "Q" +ju 2 +blo "50000,41500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 4905,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,39000,51000,47000" +) +showPorts 0 +oxt "23000,3000,29000,11000" +ttg (MlTextGroup +uid 4906,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 4907,0 +va (VaSet +) +xt "49600,46700,53200,47900" +st "Board" +blo "49600,47700" +tm "BdLibraryNameMgr" +) +*92 (Text +uid 4908,0 +va (VaSet +) +xt "49600,47700,52300,48900" +st "DFF" +blo "49600,48700" +tm "CptNameMgr" +) +*93 (Text +uid 4909,0 +va (VaSet +) +xt "49600,48700,51500,49900" +st "I8" +blo "49600,49700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4910,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4911,0 +text (MLText +uid 4912,0 +va (VaSet +) +xt "52000,46400,52000,46400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*94 (Net +uid 5011,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 77,0 +) +declText (MLText +uid 5012,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,21100,25000,22100" +st "SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0)" +) +) +*95 (Net +uid 5239,0 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 80,0 +) +declText (MLText +uid 5240,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,13900,10300,14900" +st "txd0 : std_ulogic" +) +) +*96 (Net +uid 5241,0 +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 81,0 +) +declText (MLText +uid 5242,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,9400,10300,10400" +st "rxd0 : std_ulogic" +) +) +*97 (SaComponent +uid 5675,0 +optionalChildren [ +*98 (CptPort +uid 5631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,50625,68000,51375" +) +tg (CPTG +uid 5633,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5634,0 +va (VaSet +) +xt "69000,50400,72400,51600" +st "clock" +blo "69000,51400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*99 (CptPort +uid 5635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,46625,84750,47375" +) +tg (CPTG +uid 5637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5638,0 +va (VaSet +) +xt "80001,46400,83001,47600" +st "outX" +ju 2 +blo "83001,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*100 (CptPort +uid 5639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,48625,84750,49375" +) +tg (CPTG +uid 5641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5642,0 +va (VaSet +) +xt "80001,48400,83001,49600" +st "outY" +ju 2 +blo "83001,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*101 (CptPort +uid 5643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5644,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,50625,84750,51375" +) +tg (CPTG +uid 5645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5646,0 +va (VaSet +) +xt "77201,50400,83001,51600" +st "selSinCos" +ju 2 +blo "83001,51400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*102 (CptPort +uid 5647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5648,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,52625,68000,53375" +) +tg (CPTG +uid 5649,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5650,0 +va (VaSet +) +xt "69000,52400,72300,53600" +st "reset" +blo "69000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 2017,0 +) +) +) +*103 (CptPort +uid 5651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5652,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,38625,68000,39375" +) +tg (CPTG +uid 5653,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5654,0 +va (VaSet +) +xt "69000,38400,71800,39600" +st "TxD" +blo "69000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 2018,0 +) +) +) +*104 (CptPort +uid 5655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,40625,68000,41375" +) +tg (CPTG +uid 5657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5658,0 +va (VaSet +) +xt "69000,40400,71800,41600" +st "RxD" +blo "69000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 2019,0 +) +) +) +*105 (CptPort +uid 5659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5660,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,38625,84750,39375" +) +tg (CPTG +uid 5661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5662,0 +va (VaSet +) +xt "80100,38400,83000,39600" +st "ioEn" +ju 2 +blo "83000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 2020,0 +) +) +) +*106 (CptPort +uid 5663,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5664,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,40625,84750,41375" +) +tg (CPTG +uid 5665,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5666,0 +va (VaSet +) +xt "79500,40400,83000,41600" +st "ioOut" +ju 2 +blo "83000,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 2021,0 +) +) +) +*107 (CptPort +uid 5667,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5668,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,42625,84750,43375" +) +tg (CPTG +uid 5669,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5670,0 +va (VaSet +) +xt "80300,42400,83000,43600" +st "ioIn" +ju 2 +blo "83000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2022,0 +) +) +) +*108 (CptPort +uid 5671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5672,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,34250,76375,35000" +) +tg (CPTG +uid 5673,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5674,0 +va (VaSet +) +xt "74000,36000,78600,37200" +st "testOut" +ju 2 +blo "78600,37000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 2024,0 +) +) +) +] +shape (Rectangle +uid 5676,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,35000,84000,55000" +) +oxt "36000,10000,52000,30000" +ttg (MlTextGroup +uid 5677,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 5678,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,54800,77000,56000" +st "SystemOnChip" +blo "68600,55800" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 5679,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,55700,74600,56900" +st "beamerSoc" +blo "68600,56700" +tm "CptNameMgr" +) +*111 (Text +uid 5680,0 +va (VaSet +font "Verdana,9,1" +) +xt "68600,56600,72000,57800" +st "I_top" +blo "68600,57600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5681,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5682,0 +text (MLText +uid 5683,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,58600,93800,61600" +st "ioNb = ioNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*112 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "28000,51000,67250,71000" +pts [ +"28000,71000" +"64000,71000" +"64000,51000" +"67250,51000" +] +) +start &1 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,69600,31800,71000" +st "clock" +blo "28000,70800" +tm "WireNameMgr" +) +) +on &2 +) +*113 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "84750,49000,116000,49000" +pts [ +"116000,49000" +"84750,49000" +] +) +start &3 +end &100 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,47600,115800,49000" +st "yOut" +blo "112000,48800" +tm "WireNameMgr" +) +) +on &20 +) +*114 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "28000,83000,32092,83000" +pts [ +"28000,83000" +"32092,83000" +] +) +start &4 +end &36 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,81600,32800,83000" +st "reset_N" +blo "27000,82800" +tm "WireNameMgr" +) +) +on &17 +) +*115 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "84750,47000,116000,47000" +pts [ +"116000,47000" +"84750,47000" +] +) +start &19 +end &99 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,45600,115800,47000" +st "xOut" +blo "112000,46800" +tm "WireNameMgr" +) +) +on &18 +) +*116 (Wire +uid 900,0 +shape (OrthoPolyLine +uid 901,0 +va (VaSet +vasetType 3 +) +xt "51000,41000,67250,41000" +pts [ +"67250,41000" +"51000,41000" +] +) +start &104 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 903,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,39600,58500,41000" +st "rxdSynch" +blo "52000,40800" +tm "WireNameMgr" +) +) +on &84 +) +*117 (Wire +uid 946,0 +shape (OrthoPolyLine +uid 947,0 +va (VaSet +vasetType 3 +) +xt "28000,37000,67250,39000" +pts [ +"67250,39000" +"56000,39000" +"56000,37000" +"28000,37000" +] +) +start &103 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,35600,31600,37000" +st "txd0" +blo "28000,36800" +tm "WireNameMgr" +) +) +on &95 +) +*118 (Wire +uid 1163,0 +shape (OrthoPolyLine +uid 1164,0 +va (VaSet +vasetType 3 +) +xt "96000,13000,104000,13000" +pts [ +"96000,13000" +"104000,13000" +] +) +start &24 +end &22 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1168,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,11600,105000,13000" +st "LED1" +blo "101000,12800" +tm "WireNameMgr" +) +) +on &80 +) +*119 (Wire +uid 1177,0 +shape (OrthoPolyLine +uid 1178,0 +va (VaSet +vasetType 3 +) +xt "96000,15000,104000,15000" +pts [ +"96000,15000" +"104000,15000" +] +) +start &24 +end &23 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1182,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,13600,105000,15000" +st "LED2" +blo "101000,14800" +tm "WireNameMgr" +) +) +on &81 +) +*120 (Wire +uid 1273,0 +shape (OrthoPolyLine +uid 1274,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "96000,17000,104000,17000" +pts [ +"96000,17000" +"104000,17000" +] +) +start &24 +end &28 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1278,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,15600,104400,17000" +st "spare" +blo "100000,16800" +tm "WireNameMgr" +) +) +on &29 +) +*121 (Wire +uid 1403,0 +shape (OrthoPolyLine +uid 1404,0 +va (VaSet +vasetType 3 +) +xt "28000,41000,45000,41000" +pts [ +"45000,41000" +"28000,41000" +] +) +start &86 +end &21 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1410,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,39600,31600,41000" +st "rxd0" +blo "28000,40800" +tm "WireNameMgr" +) +) +on &96 +) +*122 (Wire +uid 1413,0 +shape (OrthoPolyLine +uid 1414,0 +va (VaSet +vasetType 3 +) +xt "42000,47000,48000,49000" +pts [ +"42000,49000" +"48000,49000" +"48000,47000" +] +) +end &89 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1419,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1420,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,47600,49600,49000" +st "resetSynch" +blo "41000,48800" +tm "WireNameMgr" +) +) +on &82 +) +*123 (Wire +uid 1421,0 +shape (OrthoPolyLine +uid 1422,0 +va (VaSet +vasetType 3 +) +xt "42000,45000,45000,45000" +pts [ +"42000,45000" +"45000,45000" +] +) +end &87 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1428,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,43600,44800,45000" +st "clock" +blo "41000,44800" +tm "WireNameMgr" +) +) +on &2 +) +*124 (Wire +uid 1716,0 +shape (OrthoPolyLine +uid 1717,0 +va (VaSet +vasetType 3 +) +xt "38000,81000,48000,83000" +pts [ +"38000,83000" +"48000,83000" +"48000,81000" +] +) +start &38 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1721,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,81600,43100,83000" +st "reset" +blo "39000,82800" +tm "WireNameMgr" +) +) +on &5 +) +*125 (Wire +uid 1722,0 +shape (OrthoPolyLine +uid 1723,0 +va (VaSet +vasetType 3 +) +xt "43000,79000,45000,79000" +pts [ +"43000,79000" +"45000,79000" +] +) +end &44 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1727,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,77600,44800,79000" +st "clock" +blo "41000,78800" +tm "WireNameMgr" +) +) +on &2 +) +*126 (Wire +uid 1759,0 +shape (OrthoPolyLine +uid 1760,0 +va (VaSet +vasetType 3 +) +xt "40000,75000,45000,75000" +pts [ +"45000,75000" +"40000,75000" +] +) +start &43 +end &30 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1763,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1764,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,73600,45400,75000" +st "logic1" +blo "41000,74800" +tm "WireNameMgr" +) +) +on &34 +) +*127 (Wire +uid 3821,0 +shape (OrthoPolyLine +uid 3822,0 +va (VaSet +vasetType 3 +) +xt "99000,57000,102000,57000" +pts [ +"102000,57000" +"99000,57000" +] +) +end &62 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3826,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,55600,104800,57000" +st "clock" +blo "101000,56800" +tm "WireNameMgr" +) +) +on &2 +) +*128 (Wire +uid 3827,0 +shape (OrthoPolyLine +uid 3828,0 +va (VaSet +vasetType 3 +) +xt "111908,53000,116000,53000" +pts [ +"111908,53000" +"116000,53000" +] +) +start &54 +end &52 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3830,0 +va (VaSet +font "Verdana,12,0" +) +xt "113000,51600,122300,53000" +st "selSinCos_n" +blo "113000,52800" +tm "WireNameMgr" +) +) +on &71 +) +*129 (Wire +uid 3831,0 +shape (OrthoPolyLine +uid 3832,0 +va (VaSet +vasetType 3 +) +xt "84750,51000,93000,53000" +pts [ +"84750,51000" +"88000,51000" +"88000,53000" +"93000,53000" +] +) +start &101 +end &65 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3835,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3836,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,51600,97400,53000" +st "selSinCosSynch" +blo "86000,52800" +tm "WireNameMgr" +) +) +on &69 +) +*130 (Wire +uid 3837,0 +shape (OrthoPolyLine +uid 3838,0 +va (VaSet +vasetType 3 +) +xt "99000,53000,106000,53000" +pts [ +"99000,53000" +"106000,53000" +] +) +start &61 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3840,0 +va (VaSet +font "Verdana,12,0" +) +xt "98000,51600,104900,53000" +st "selSinCos" +blo "98000,52800" +tm "WireNameMgr" +) +) +on &70 +) +*131 (Wire +uid 3988,0 +shape (OrthoPolyLine +uid 3989,0 +va (VaSet +vasetType 3 +) +xt "51000,75000,56092,75000" +pts [ +"51000,75000" +"56092,75000" +] +) +start &47 +end &73 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3991,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,73600,58300,75000" +st "resetSynch_N" +blo "48000,74800" +tm "WireNameMgr" +) +) +on &83 +) +*132 (Wire +uid 3994,0 +shape (OrthoPolyLine +uid 3995,0 +va (VaSet +vasetType 3 +) +xt "62000,53000,67250,75000" +pts [ +"67250,53000" +"66000,53000" +"66000,75000" +"62000,75000" +] +) +start &102 +end &75 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4001,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,73600,71600,75000" +st "resetSynch" +blo "63000,74800" +tm "WireNameMgr" +) +) +on &82 +) +*133 (Wire +uid 4004,0 +shape (OrthoPolyLine +uid 4005,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,15000,80000,34250" +pts [ +"76000,34250" +"76000,15000" +"80000,15000" +] +) +start &108 +end &24 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4009,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,13600,77600,15000" +st "testOut" +blo "72000,14800" +tm "WireNameMgr" +) +) +on &79 +) +*134 (Wire +uid 4397,0 +shape (OrthoPolyLine +uid 4398,0 +va (VaSet +vasetType 3 +) +xt "96000,59000,102000,61000" +pts [ +"102000,61000" +"96000,61000" +"96000,59000" +] +) +end &64 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4404,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,59600,105600,61000" +st "resetSynch" +blo "97000,60800" +tm "WireNameMgr" +) +) +on &82 +) +*135 (Wire +uid 5013,0 +shape (OrthoPolyLine +uid 5014,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "84750,43000,92000,43000" +pts [ +"84750,43000" +"92000,43000" +] +) +start &107 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5017,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5018,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,41600,92200,43000" +st "ioIn" +blo "89000,42800" +tm "WireNameMgr" +) +) +on &94 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *136 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*138 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*140 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*141 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*142 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*143 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*144 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*145 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-5145,-2144,185548,101465" +cachedDiagramExtent "-3000,0,371266,99000" +pageSetupInfo (PageSetupInfo +ptrCmd "HP LaserJet P3005 PCL 6 (A303),winspool," +fileName "\\\\SUN\\PREA309_HPLJ4050.PRINTERS.SYSTEM.SION.HEVs" +toPrinter 1 +colour 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 5818,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*147 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*148 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*150 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*151 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*152 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*153 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*154 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*156 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*157 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*159 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*160 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*161 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*162 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*163 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*164 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*165 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*166 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,5800,4000,6800" +st "Declarations" +blo "-3000,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6700,400,7700" +st "Ports:" +blo "-3000,7500" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,16600,1800,17600" +st "Pre User:" +blo "-3000,17400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-1000,17500,19200,20500" +st "constant ioNb: positive := 8; +constant testOutBitNb: positive := 16; +constant patternAddressBitNb: positive := 9;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,20200,6000,21200" +st "Diagram Signals:" +blo "-3000,21000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5800,3000,6800" +st "Post User:" +blo "-3000,6600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-3000,5800,-3000,5800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 81,0 +usingSuid 1 +emptyRow *167 (LEmptyRow +) +uid 3228,0 +optionalChildren [ +*168 (RefLabelRowHdr +) +*169 (TitleRowHdr +) +*170 (FilterRowHdr +) +*171 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*172 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*173 (GroupColHdr +tm "GroupColHdrMgr" +) +*174 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*175 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*176 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*177 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*178 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*179 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*180 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 3159,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 13 +suid 2,0 +) +) +uid 3161,0 +) +*182 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 3163,0 +) +*183 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +) +uid 3165,0 +) +*184 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +uid 3167,0 +) +*185 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +) +uid 3199,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 12 +suid 28,0 +) +) +uid 3213,0 +) +*187 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 18 +suid 45,0 +) +) +uid 4032,0 +) +*188 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 46,0 +) +) +uid 4034,0 +) +*189 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 47,0 +) +) +uid 4036,0 +) +*190 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 19 +suid 49,0 +) +) +uid 4038,0 +) +*191 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 50,0 +) +) +uid 4040,0 +) +*192 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 4042,0 +) +*193 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 14 +suid 72,0 +) +) +uid 4409,0 +) +*194 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 15 +suid 73,0 +) +) +uid 4411,0 +) +*195 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 16 +suid 76,0 +) +) +uid 5023,0 +) +*196 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 11 +suid 77,0 +) +) +uid 5025,0 +) +*197 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 80,0 +) +) +uid 5243,0 +) +*198 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 81,0 +) +) +uid 5245,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3241,0 +optionalChildren [ +*199 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *200 (MRCItem +litem &167 +pos 19 +dimension 20 +) +uid 3243,0 +optionalChildren [ +*201 (MRCItem +litem &168 +pos 0 +dimension 20 +uid 3244,0 +) +*202 (MRCItem +litem &169 +pos 1 +dimension 23 +uid 3245,0 +) +*203 (MRCItem +litem &170 +pos 2 +hidden 1 +dimension 20 +uid 3246,0 +) +*204 (MRCItem +litem &180 +pos 0 +dimension 20 +uid 3160,0 +) +*205 (MRCItem +litem &181 +pos 10 +dimension 20 +uid 3162,0 +) +*206 (MRCItem +litem &182 +pos 1 +dimension 20 +uid 3164,0 +) +*207 (MRCItem +litem &183 +pos 2 +dimension 20 +uid 3166,0 +) +*208 (MRCItem +litem &184 +pos 3 +dimension 20 +uid 3168,0 +) +*209 (MRCItem +litem &185 +pos 4 +dimension 20 +uid 3200,0 +) +*210 (MRCItem +litem &186 +pos 11 +dimension 20 +uid 3214,0 +) +*211 (MRCItem +litem &187 +pos 12 +dimension 20 +uid 4033,0 +) +*212 (MRCItem +litem &188 +pos 13 +dimension 20 +uid 4035,0 +) +*213 (MRCItem +litem &189 +pos 5 +dimension 20 +uid 4037,0 +) +*214 (MRCItem +litem &190 +pos 14 +dimension 20 +uid 4039,0 +) +*215 (MRCItem +litem &191 +pos 6 +dimension 20 +uid 4041,0 +) +*216 (MRCItem +litem &192 +pos 7 +dimension 20 +uid 4043,0 +) +*217 (MRCItem +litem &193 +pos 15 +dimension 20 +uid 4410,0 +) +*218 (MRCItem +litem &194 +pos 16 +dimension 20 +uid 4412,0 +) +*219 (MRCItem +litem &195 +pos 17 +dimension 20 +uid 5024,0 +) +*220 (MRCItem +litem &196 +pos 18 +dimension 20 +uid 5026,0 +) +*221 (MRCItem +litem &197 +pos 8 +dimension 20 +uid 5244,0 +) +*222 (MRCItem +litem &198 +pos 9 +dimension 20 +uid 5246,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3247,0 +optionalChildren [ +*223 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 3248,0 +) +*224 (MRCItem +litem &173 +pos 1 +dimension 50 +uid 3249,0 +) +*225 (MRCItem +litem &174 +pos 2 +dimension 100 +uid 3250,0 +) +*226 (MRCItem +litem &175 +pos 3 +dimension 50 +uid 3251,0 +) +*227 (MRCItem +litem &176 +pos 4 +dimension 100 +uid 3252,0 +) +*228 (MRCItem +litem &177 +pos 5 +dimension 100 +uid 3253,0 +) +*229 (MRCItem +litem &178 +pos 6 +dimension 50 +uid 3254,0 +) +*230 (MRCItem +litem &179 +pos 7 +dimension 80 +uid 3255,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3242,0 +vaOverrides [ +] +) +] +) +uid 3227,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *231 (LEmptyRow +) +uid 3257,0 +optionalChildren [ +*232 (RefLabelRowHdr +) +*233 (TitleRowHdr +) +*234 (FilterRowHdr +) +*235 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*236 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*237 (GroupColHdr +tm "GroupColHdrMgr" +) +*238 (NameColHdr +tm "GenericNameColHdrMgr" +) +*239 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*240 (InitColHdr +tm "GenericValueColHdrMgr" +) +*241 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*242 (EolColHdr +tm "GenericEolColHdrMgr" +) +*243 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 4414,0 +) +*244 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 4416,0 +) +] +) +pdm (PhysicalDM +uid 3269,0 +optionalChildren [ +*245 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *246 (MRCItem +litem &231 +pos 2 +dimension 20 +) +uid 3271,0 +optionalChildren [ +*247 (MRCItem +litem &232 +pos 0 +dimension 20 +uid 3272,0 +) +*248 (MRCItem +litem &233 +pos 1 +dimension 23 +uid 3273,0 +) +*249 (MRCItem +litem &234 +pos 2 +hidden 1 +dimension 20 +uid 3274,0 +) +*250 (MRCItem +litem &243 +pos 1 +dimension 20 +uid 4413,0 +) +*251 (MRCItem +litem &244 +pos 0 +dimension 20 +uid 4415,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3275,0 +optionalChildren [ +*252 (MRCItem +litem &235 +pos 0 +dimension 20 +uid 3276,0 +) +*253 (MRCItem +litem &237 +pos 1 +dimension 50 +uid 3277,0 +) +*254 (MRCItem +litem &238 +pos 2 +dimension 100 +uid 3278,0 +) +*255 (MRCItem +litem &239 +pos 3 +dimension 100 +uid 3279,0 +) +*256 (MRCItem +litem &240 +pos 4 +dimension 50 +uid 3280,0 +) +*257 (MRCItem +litem &241 +pos 5 +dimension 50 +uid 3281,0 +) +*258 (MRCItem +litem &242 +pos 6 +dimension 80 +uid 3282,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3270,0 +vaOverrides [ +] +) +] +) +uid 3256,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/student@version.bd b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/student@version.bd new file mode 100644 index 0000000..4440cd9 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/student@version.bd @@ -0,0 +1,6671 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 217,0 +) +(Instance +name "I3" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 812,0 +) +(Instance +name "I4" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 832,0 +) +(Instance +name "I5" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 852,0 +) +(Instance +name "I6" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 872,0 +) +(Instance +name "I1" +duLibraryName "io" +duName "triBuffLogicV" +elements [ +(GiElement +name "nbBits" +type "positive" +value "dataBitNb" +) +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1001,0 +) +(Instance +name "I0" +duLibraryName "Curves" +duName "beamerPeriph" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 1250,0 +) +(Instance +name "I7" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1307,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer" +) +(vvPair +variable "date" +value "04/15/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "04/15/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:26:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/student@version.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer/studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "14:26:56" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "17000,57625,18500,58375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "18500,58000,19000,58000" +pts [ +"18500,58000" +"19000,58000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "12200,57300,16000,58700" +st "clock" +ju 2 +blo "16000,58500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "65000,2400,77500,3300" +st "clock : std_ulogic" +) +) +*3 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "67500,26625,69000,27375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "67000,27000,67500,27000" +pts [ +"67000,27000" +"67500,27000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,26300,73800,27700" +st "yOut" +blo "70000,27500" +tm "WireNameMgr" +) +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "17000,61625,18500,62375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "18500,62000,19000,62000" +pts [ +"18500,62000" +"19000,62000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "10200,61300,16000,62700" +st "reset_N" +ju 2 +blo "16000,62500" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "65000,22000,81000,22900" +st "SIGNAL reset : std_ulogic" +) +) +*6 (Grouping +uid 51,0 +optionalChildren [ +*7 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,74000,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*12 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,68600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*17 (SaComponent +uid 217,0 +optionalChildren [ +*18 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 209,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,61625,24000,62375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,61500,26700,62900" +st "in1" +blo "24000,62700" +) +s (Text +uid 226,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,62900,24000,62900" +blo "24000,62900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 212,0 +optionalChildren [ +*20 (Circle +uid 216,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,61625,29750,62375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,61625,30500,62375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,61500,28750,62900" +st "out1" +ju 2 +blo "28750,62700" +) +s (Text +uid 227,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,62900,28750,62900" +ju 2 +blo "28750,62900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,59000,29000,65000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 219,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 220,0 +va (VaSet +isHidden 1 +) +xt "24910,57700,27210,58700" +st "gates" +blo "24910,58500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 221,0 +va (VaSet +isHidden 1 +) +xt "24910,58700,27810,59700" +st "inverter" +blo "24910,59500" +tm "CptNameMgr" +) +*23 (Text +uid 222,0 +va (VaSet +) +xt "24910,58700,25910,59700" +st "I2" +blo "24910,59500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 223,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 224,0 +text (MLText +uid 225,0 +va (VaSet +isHidden 1 +) +xt "24000,65400,39600,66400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +font "courier,8,0" +) +xt "65000,3200,77500,4100" +st "reset_N : std_ulogic" +) +) +*25 (Net +uid 476,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +declText (MLText +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "65000,8800,77500,9700" +st "xOut : std_ulogic" +) +) +*26 (PortIoOut +uid 569,0 +shape (CompositeShape +uid 570,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 571,0 +sl 0 +ro 270 +xt "67500,24625,69000,25375" +) +(Line +uid 572,0 +sl 0 +ro 270 +xt "67000,25000,67500,25000" +pts [ +"67000,25000" +"67500,25000" +] +) +] +) +tg (WTG +uid 573,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 574,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,24300,73800,25700" +st "xOut" +blo "70000,25500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 611,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "courier,8,0" +) +xt "65000,9600,77500,10500" +st "yOut : std_ulogic" +) +) +*28 (SaComponent +uid 812,0 +optionalChildren [ +*29 (CptPort +uid 821,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 822,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,53625,24000,54375" +) +tg (CPTG +uid 823,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 824,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,53500,26700,54900" +st "in1" +blo "24000,54700" +) +s (Text +uid 825,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,54900,24000,54900" +blo "24000,54900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*30 (CptPort +uid 826,0 +optionalChildren [ +*31 (Circle +uid 831,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,53625,29750,54375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,53625,30500,54375" +) +tg (CPTG +uid 828,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 829,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,53500,28750,54900" +st "out1" +ju 2 +blo "28750,54700" +) +s (Text +uid 830,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,54900,28750,54900" +ju 2 +blo "28750,54900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,51000,29000,57000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 814,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 815,0 +va (VaSet +isHidden 1 +) +xt "24910,49700,27210,50700" +st "gates" +blo "24910,50500" +tm "BdLibraryNameMgr" +) +*33 (Text +uid 816,0 +va (VaSet +isHidden 1 +) +xt "24910,50700,27810,51700" +st "inverter" +blo "24910,51500" +tm "CptNameMgr" +) +*34 (Text +uid 817,0 +va (VaSet +) +xt "24910,50700,25910,51700" +st "I3" +blo "24910,51500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 818,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 819,0 +text (MLText +uid 820,0 +va (VaSet +isHidden 1 +) +xt "24000,57400,39600,58400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*35 (SaComponent +uid 832,0 +optionalChildren [ +*36 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,45625,24000,46375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,45500,26700,46900" +st "in1" +blo "24000,46700" +) +s (Text +uid 845,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,46900,24000,46900" +blo "24000,46900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*37 (CptPort +uid 846,0 +optionalChildren [ +*38 (Circle +uid 851,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,45625,29750,46375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 847,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,45625,30500,46375" +) +tg (CPTG +uid 848,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 849,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,45500,28750,46900" +st "out1" +ju 2 +blo "28750,46700" +) +s (Text +uid 850,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,46900,28750,46900" +ju 2 +blo "28750,46900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 833,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,43000,29000,49000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 834,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 835,0 +va (VaSet +isHidden 1 +) +xt "24910,41700,27210,42700" +st "gates" +blo "24910,42500" +tm "BdLibraryNameMgr" +) +*40 (Text +uid 836,0 +va (VaSet +isHidden 1 +) +xt "24910,42700,27810,43700" +st "inverter" +blo "24910,43500" +tm "CptNameMgr" +) +*41 (Text +uid 837,0 +va (VaSet +) +xt "24910,42700,25910,43700" +st "I4" +blo "24910,43500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 838,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 839,0 +text (MLText +uid 840,0 +va (VaSet +isHidden 1 +) +xt "24000,49400,39600,50400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*42 (SaComponent +uid 852,0 +optionalChildren [ +*43 (CptPort +uid 861,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 862,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,37625,24000,38375" +) +tg (CPTG +uid 863,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 864,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,37500,26700,38900" +st "in1" +blo "24000,38700" +) +s (Text +uid 865,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,38900,24000,38900" +blo "24000,38900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*44 (CptPort +uid 866,0 +optionalChildren [ +*45 (Circle +uid 871,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,37625,29750,38375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 867,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,37625,30500,38375" +) +tg (CPTG +uid 868,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 869,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,37500,28750,38900" +st "out1" +ju 2 +blo "28750,38700" +) +s (Text +uid 870,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,38900,28750,38900" +ju 2 +blo "28750,38900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 853,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,35000,29000,41000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 854,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 855,0 +va (VaSet +isHidden 1 +) +xt "24910,33700,27210,34700" +st "gates" +blo "24910,34500" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 856,0 +va (VaSet +isHidden 1 +) +xt "24910,34700,27810,35700" +st "inverter" +blo "24910,35500" +tm "CptNameMgr" +) +*48 (Text +uid 857,0 +va (VaSet +) +xt "24910,34700,25910,35700" +st "I5" +blo "24910,35500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 858,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 859,0 +text (MLText +uid 860,0 +va (VaSet +isHidden 1 +) +xt "24000,41400,39600,42400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*49 (SaComponent +uid 872,0 +optionalChildren [ +*50 (CptPort +uid 881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "23250,30625,24000,31375" +) +tg (CPTG +uid 883,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 884,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,30500,26700,31900" +st "in1" +blo "24000,31700" +) +s (Text +uid 885,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24000,31900,24000,31900" +blo "24000,31900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*51 (CptPort +uid 886,0 +optionalChildren [ +*52 (Circle +uid 891,0 +va (VaSet +fg "0,65535,0" +) +xt "29000,30625,29750,31375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 887,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "29750,30625,30500,31375" +) +tg (CPTG +uid 888,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 889,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "25050,30500,28750,31900" +st "out1" +ju 2 +blo "28750,31700" +) +s (Text +uid 890,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28750,31900,28750,31900" +ju 2 +blo "28750,31900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 873,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,28000,29000,34000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 874,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 875,0 +va (VaSet +isHidden 1 +) +xt "24910,26700,27210,27700" +st "gates" +blo "24910,27500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 876,0 +va (VaSet +isHidden 1 +) +xt "24910,27700,27810,28700" +st "inverter" +blo "24910,28500" +tm "CptNameMgr" +) +*55 (Text +uid 877,0 +va (VaSet +) +xt "24910,27700,25910,28700" +st "I6" +blo "24910,28500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 878,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 879,0 +text (MLText +uid 880,0 +va (VaSet +isHidden 1 +) +xt "24000,34400,39600,35400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (Net +uid 898,0 +decl (Decl +n "rd" +t "std_ulogic" +o 22 +suid 6,0 +) +declText (MLText +uid 899,0 +va (VaSet +font "courier,8,0" +) +xt "65000,21200,81000,22100" +st "SIGNAL rd : std_ulogic" +) +) +*57 (Net +uid 904,0 +decl (Decl +n "wrH" +t "std_ulogic" +o 25 +suid 7,0 +) +declText (MLText +uid 905,0 +va (VaSet +font "courier,8,0" +) +xt "65000,23600,81000,24500" +st "SIGNAL wrH : std_ulogic" +) +) +*58 (Net +uid 910,0 +decl (Decl +n "wrL" +t "std_ulogic" +o 26 +suid 8,0 +) +declText (MLText +uid 911,0 +va (VaSet +font "courier,8,0" +) +xt "65000,24400,81000,25300" +st "SIGNAL wrL : std_ulogic" +) +) +*59 (Net +uid 916,0 +decl (Decl +n "cs" +t "std_ulogic" +o 13 +suid 9,0 +) +declText (MLText +uid 917,0 +va (VaSet +font "courier,8,0" +) +xt "65000,14000,81000,14900" +st "SIGNAL cs : std_ulogic" +) +) +*60 (Net +uid 978,0 +decl (Decl +n "ncs" +t "std_ulogic" +o 18 +suid 10,0 +) +declText (MLText +uid 979,0 +va (VaSet +font "courier,8,0" +) +xt "65000,18000,81000,18900" +st "SIGNAL ncs : std_ulogic" +) +) +*61 (Net +uid 980,0 +decl (Decl +n "nwr0" +t "std_ulogic" +o 20 +suid 11,0 +) +declText (MLText +uid 981,0 +va (VaSet +font "courier,8,0" +) +xt "65000,19600,81000,20500" +st "SIGNAL nwr0 : std_ulogic" +) +) +*62 (Net +uid 982,0 +decl (Decl +n "nwr1" +t "std_ulogic" +o 21 +suid 12,0 +) +declText (MLText +uid 983,0 +va (VaSet +font "courier,8,0" +) +xt "65000,20400,81000,21300" +st "SIGNAL nwr1 : std_ulogic" +) +) +*63 (Net +uid 984,0 +decl (Decl +n "nrd" +t "std_ulogic" +o 19 +suid 13,0 +) +declText (MLText +uid 985,0 +va (VaSet +font "courier,8,0" +) +xt "65000,18800,81000,19700" +st "SIGNAL nrd : std_ulogic" +) +) +*64 (SaComponent +uid 1001,0 +optionalChildren [ +*65 (CptPort +uid 986,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 987,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,20625,24000,21375" +) +tg (CPTG +uid 988,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 989,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23250,20750,26950,22150" +st "out1" +blo "23250,21950" +) +s (Text +uid 990,0 +va (VaSet +isHidden 1 +) +xt "23250,22150,33950,23150" +blo "23250,22950" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(nbBits-1 DOWNTO 0)" +o 16 +) +) +) +*66 (CptPort +uid 991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 992,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,20625,30750,21375" +) +tg (CPTG +uid 993,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 994,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "27950,21250,30650,22650" +st "in1" +ju 2 +blo "30650,22450" +) +s (Text +uid 995,0 +va (VaSet +isHidden 1 +) +xt "19950,22650,30650,23650" +ju 2 +blo "30650,23450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(nbBits-1 DOWNTO 0)" +o 12 +) +) +) +*67 (CptPort +uid 996,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 997,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,18250,27375,19000" +) +tg (CPTG +uid 998,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 999,0 +va (VaSet +font "courier,12,0" +) +xt "26200,19100,28600,20500" +st "oe" +blo "26200,20300" +) +s (Text +uid 1000,0 +va (VaSet +isHidden 1 +) +xt "26200,20500,30500,21500" +blo "26200,21300" +) +) +thePort (LogicalPort +decl (Decl +n "oe" +t "std_ulogic" +o 3 +) +) +) +] +shape (Buf +uid 1002,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17000,30000,25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1003,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 1004,0 +va (VaSet +isHidden 1 +) +xt "24750,21400,25750,22400" +st "io" +blo "24750,22200" +tm "BdLibraryNameMgr" +) +*69 (Text +uid 1005,0 +va (VaSet +isHidden 1 +) +xt "24750,22400,29950,23400" +st "triBuffLogicV" +blo "24750,23200" +tm "CptNameMgr" +) +*70 (Text +uid 1006,0 +va (VaSet +) +xt "24750,22400,25750,23400" +st "I1" +blo "24750,23200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1007,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1008,0 +text (MLText +uid 1009,0 +va (VaSet +font "courier,9,0" +) +xt "21000,23600,39000,25400" +st "nbBits = dataBitNb ( positive ) +delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "nbBits" +type "positive" +value "dataBitNb" +) +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +connectByName 1 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*71 (Net +uid 1025,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 14,0 +) +declText (MLText +uid 1026,0 +va (VaSet +font "courier,8,0" +) +xt "65000,17200,95000,18100" +st "SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*72 (Net +uid 1031,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 15,0 +) +declText (MLText +uid 1032,0 +va (VaSet +font "courier,8,0" +) +xt "65000,15600,95500,16500" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*73 (Net +uid 1039,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 16,0 +) +declText (MLText +uid 1040,0 +va (VaSet +font "courier,8,0" +) +xt "65000,13200,92500,14100" +st "SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*74 (HdlText +uid 1053,0 +optionalChildren [ +*75 (EmbeddedText +uid 1058,0 +commentText (CommentText +uid 1059,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1060,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "24000,10000,34000,12000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1061,0 +va (VaSet +) +xt "24200,10200,33800,12200" +st " +dataIn <= std_ulogic_vector(data); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 10000 +) +) +) +] +shape (Rectangle +uid 1054,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "23000,9000,35000,13000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1055,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 1056,0 +va (VaSet +) +xt "22400,13000,24000,14000" +st "eb1" +blo "22400,13800" +tm "HdlTextNameMgr" +) +*77 (Text +uid 1057,0 +va (VaSet +) +xt "22400,14000,23200,15000" +st "1" +blo "22400,14800" +tm "HdlTextNumberMgr" +) +] +) +) +*78 (Net +uid 1070,0 +decl (Decl +n "data" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 14 +suid 17,0 +) +declText (MLText +uid 1071,0 +va (VaSet +font "courier,8,0" +) +xt "65000,14800,95000,15700" +st "SIGNAL data : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*79 (PortIoOut +uid 1157,0 +shape (CompositeShape +uid 1158,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1159,0 +sl 0 +ro 270 +xt "91500,39625,93000,40375" +) +(Line +uid 1160,0 +sl 0 +ro 270 +xt "91000,40000,91500,40000" +pts [ +"91000,40000" +"91500,40000" +] +) +] +) +tg (WTG +uid 1161,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1162,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,39300,98000,40700" +st "LED2" +blo "94000,40500" +tm "WireNameMgr" +) +) +) +*80 (Net +uid 1169,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 18,0 +) +declText (MLText +uid 1170,0 +va (VaSet +font "courier,8,0" +) +xt "65000,6400,77500,7300" +st "LED2 : std_ulogic" +) +) +*81 (Net +uid 1183,0 +decl (Decl +n "LED4" +t "std_ulogic" +o 11 +suid 19,0 +) +declText (MLText +uid 1184,0 +va (VaSet +font "courier,8,0" +) +xt "65000,12400,81000,13300" +st "SIGNAL LED4 : std_ulogic" +) +) +*82 (HdlText +uid 1185,0 +optionalChildren [ +*83 (EmbeddedText +uid 1190,0 +commentText (CommentText +uid 1191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "68000,40000,82000,45000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1193,0 +va (VaSet +) +xt "68200,40200,81400,44200" +st " +LED2 <= '0'; +LED4 <= '0'; +spare <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 5000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 1186,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "67000,39000,83000,47000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1187,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 1188,0 +va (VaSet +) +xt "67400,47000,69000,48000" +st "eb2" +blo "67400,47800" +tm "HdlTextNameMgr" +) +*85 (Text +uid 1189,0 +va (VaSet +) +xt "67400,48000,68200,49000" +st "2" +blo "67400,48800" +tm "HdlTextNumberMgr" +) +] +) +) +*86 (SaComponent +uid 1250,0 +optionalChildren [ +*87 (CptPort +uid 1202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,42625,43000,43375" +) +tg (CPTG +uid 1204,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1205,0 +va (VaSet +font "courier,9,0" +) +xt "44000,42400,47400,43600" +st "clock" +blo "44000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*88 (CptPort +uid 1206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,24625,43000,25375" +) +tg (CPTG +uid 1208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1209,0 +va (VaSet +font "courier,9,0" +) +xt "44000,24400,46900,25600" +st "addr" +blo "44000,25400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*89 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,24625,59750,25375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "courier,9,0" +) +xt "55001,24400,58001,25600" +st "outX" +ju 2 +blo "58001,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +) +) +) +*90 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,44625,43000,45375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "courier,9,0" +) +xt "44000,44400,47300,45600" +st "reset" +blo "44000,45400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*91 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,26625,59750,27375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "courier,9,0" +) +xt "55001,26400,58001,27600" +st "outY" +ju 2 +blo "58001,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +) +) +) +*92 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,26625,43000,27375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "courier,9,0" +) +xt "44000,26400,48000,27600" +st "dataIn" +blo "44000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*93 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,32625,43000,33375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "courier,9,0" +) +xt "44000,32400,45700,33600" +st "rd" +blo "44000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +) +) +) +*94 (CptPort +uid 1230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,34625,43000,35375" +) +tg (CPTG +uid 1232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1233,0 +va (VaSet +font "courier,9,0" +) +xt "44000,34400,46600,35600" +st "wrH" +blo "44000,35400" +) +) +thePort (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +) +) +) +*95 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,38625,43000,39375" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1237,0 +va (VaSet +font "courier,9,0" +) +xt "44000,38400,45900,39600" +st "cs" +blo "44000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +) +) +) +*96 (CptPort +uid 1238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,36625,43000,37375" +) +tg (CPTG +uid 1240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1241,0 +va (VaSet +font "courier,9,0" +) +xt "44000,36400,46400,37600" +st "wrL" +blo "44000,37400" +) +) +thePort (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +) +) +) +*97 (CptPort +uid 1242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1243,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,28625,43000,29375" +) +tg (CPTG +uid 1244,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1245,0 +va (VaSet +font "courier,9,0" +) +xt "44000,28400,48800,29600" +st "dataOut" +blo "44000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +) +) +) +*98 (CptPort +uid 1246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,42625,59750,43375" +) +tg (CPTG +uid 1248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1249,0 +va (VaSet +font "courier,9,0" +) +xt "53401,42400,58001,43600" +st "testOut" +ju 2 +blo "58001,43400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +) +) +) +] +shape (Rectangle +uid 1251,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,21000,59000,47000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1252,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 1253,0 +va (VaSet +) +xt "43600,46800,46400,47800" +st "Curves" +blo "43600,47600" +tm "BdLibraryNameMgr" +) +*100 (Text +uid 1254,0 +va (VaSet +) +xt "43600,47800,49100,48800" +st "beamerPeriph" +blo "43600,48600" +tm "CptNameMgr" +) +*101 (Text +uid 1255,0 +va (VaSet +) +xt "43600,48800,44600,49800" +st "I0" +blo "43600,49600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1256,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1257,0 +text (MLText +uid 1258,0 +va (VaSet +font "courier,8,0" +) +xt "43000,50600,65500,52400" +st "dataBitNb = dataBitNb ( positive ) +addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*102 (Net +uid 1259,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 24 +suid 20,0 +) +declText (MLText +uid 1260,0 +va (VaSet +font "courier,8,0" +) +xt "65000,22800,89000,23700" +st "SIGNAL testOut : std_ulogic_vector(1 TO 16)" +) +) +*103 (PortIoOut +uid 1267,0 +shape (CompositeShape +uid 1268,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1269,0 +sl 0 +ro 270 +xt "91500,43625,93000,44375" +) +(Line +uid 1270,0 +sl 0 +ro 270 +xt "91000,44000,91500,44000" +pts [ +"91000,44000" +"91500,44000" +] +) +] +) +tg (WTG +uid 1271,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1272,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,43300,106400,44700" +st "spare : (1 TO 17)" +blo "94000,44500" +tm "WireNameMgr" +) +) +) +*104 (Net +uid 1279,0 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +declText (MLText +uid 1280,0 +va (VaSet +font "courier,8,0" +) +xt "65000,7200,85500,8100" +st "spare : std_ulogic_vector(1 TO 17)" +) +) +*105 (SaComponent +uid 1307,0 +optionalChildren [ +*106 (CptPort +uid 1295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1296,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,16625,51750,17375" +) +tg (CPTG +uid 1297,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1298,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39400,16200,51000,17600" +st "in1 : std_uLogic" +ju 2 +blo "51000,17400" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*107 (CptPort +uid 1299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1300,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "51000,12625,51750,13375" +) +tg (CPTG +uid 1301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1302,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39400,12200,51000,13600" +st "in2 : std_uLogic" +ju 2 +blo "51000,13400" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*108 (CptPort +uid 1303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1304,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43300,14625,44050,15375" +) +tg (CPTG +uid 1305,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1306,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "44000,14250,56600,15650" +st "out1 : std_uLogic" +blo "44000,15450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 1308,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,12000,51000,18000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1309,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +uid 1310,0 +va (VaSet +isHidden 1 +) +xt "46600,12700,48900,13700" +st "gates" +blo "46600,13500" +tm "BdLibraryNameMgr" +) +*110 (Text +uid 1311,0 +va (VaSet +isHidden 1 +) +xt "46600,13700,48600,14700" +st "and2" +blo "46600,14500" +tm "CptNameMgr" +) +*111 (Text +uid 1312,0 +va (VaSet +) +xt "46600,13700,47600,14700" +st "I7" +blo "46600,14500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1313,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1314,0 +text (MLText +uid 1315,0 +va (VaSet +isHidden 1 +) +xt "44000,18400,59600,19400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*112 (Net +uid 1334,0 +decl (Decl +n "dataOE" +t "std_ulogic" +o 16 +suid 22,0 +) +declText (MLText +uid 1335,0 +va (VaSet +font "courier,8,0" +) +xt "65000,16400,81000,17300" +st "SIGNAL dataOE : std_ulogic" +) +) +*113 (PortIoIn +uid 1516,0 +shape (CompositeShape +uid 1517,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1518,0 +sl 0 +ro 270 +xt "17000,65625,18500,66375" +) +(Line +uid 1519,0 +sl 0 +ro 270 +xt "18500,66000,19000,66000" +pts [ +"18500,66000" +"19000,66000" +] +) +] +) +tg (WTG +uid 1520,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1521,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "6700,65500,16000,66900" +st "selSinCos_n" +ju 2 +blo "16000,66700" +tm "WireNameMgr" +) +) +) +*114 (Net +uid 1528,0 +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 23 +suid 23,0 +) +declText (MLText +uid 1529,0 +va (VaSet +font "courier,8,0" +) +xt "65000,4800,77500,5700" +st "selSinCos_n : std_ulogic" +) +) +*115 (PortIoOut +uid 1530,0 +shape (CompositeShape +uid 1531,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1532,0 +sl 0 +ro 270 +xt "91500,47625,93000,48375" +) +(Line +uid 1533,0 +sl 0 +ro 270 +xt "91000,48000,91500,48000" +pts [ +"91000,48000" +"91500,48000" +] +) +] +) +tg (WTG +uid 1534,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1535,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,47500,98000,48900" +st "LED1" +blo "94000,48700" +tm "WireNameMgr" +) +) +) +*116 (Net +uid 1542,0 +decl (Decl +n "LED1" +t "std_ulogic" +o 24 +suid 24,0 +) +declText (MLText +uid 1543,0 +va (VaSet +font "courier,8,0" +) +xt "65000,5600,77500,6500" +st "LED1 : std_ulogic" +) +) +*117 (PortIoOut +uid 1544,0 +shape (CompositeShape +uid 1545,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1546,0 +sl 0 +ro 270 +xt "91500,49625,93000,50375" +) +(Line +uid 1547,0 +sl 0 +ro 270 +xt "91000,50000,91500,50000" +pts [ +"91000,50000" +"91500,50000" +] +) +] +) +tg (WTG +uid 1548,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1549,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "94000,49500,97600,50900" +st "txd0" +blo "94000,50700" +tm "WireNameMgr" +) +) +) +*118 (Net +uid 1556,0 +decl (Decl +n "txd0" +t "std_ulogic" +o 25 +suid 25,0 +) +declText (MLText +uid 1557,0 +va (VaSet +font "courier,8,0" +) +xt "65000,8000,77500,8900" +st "txd0 : std_ulogic" +) +) +*119 (PortIoIn +uid 1558,0 +shape (CompositeShape +uid 1559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1560,0 +sl 0 +ro 270 +xt "17000,67625,18500,68375" +) +(Line +uid 1561,0 +sl 0 +ro 270 +xt "18500,68000,19000,68000" +pts [ +"18500,68000" +"19000,68000" +] +) +] +) +tg (WTG +uid 1562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1563,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "12400,67500,16000,68900" +st "rxd0" +ju 2 +blo "16000,68700" +tm "WireNameMgr" +) +) +) +*120 (Net +uid 1570,0 +decl (Decl +n "rxd0" +t "std_ulogic" +o 26 +suid 26,0 +) +declText (MLText +uid 1571,0 +va (VaSet +font "courier,8,0" +) +xt "65000,4000,77500,4900" +st "rxd0 : std_ulogic" +) +) +*121 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "19000,43000,42250,58000" +pts [ +"19000,58000" +"39000,58000" +"39000,43000" +"42250,43000" +] +) +start &1 +end &87 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "19000,56600,22800,58000" +st "clock" +blo "19000,57800" +tm "WireNameMgr" +) +) +on &2 +) +*122 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "59750,27000,67000,27000" +pts [ +"67000,27000" +"59750,27000" +] +) +start &3 +end &91 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "62000,25600,65800,27000" +st "yOut" +blo "62000,26800" +tm "WireNameMgr" +) +) +on &27 +) +*123 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "19000,62000,24000,62000" +pts [ +"19000,62000" +"24000,62000" +] +) +start &4 +end &18 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,12,0" +) +xt "18000,60600,23800,62000" +st "reset_N" +blo "18000,61800" +tm "WireNameMgr" +) +) +on &24 +) +*124 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "29750,45000,42250,62000" +pts [ +"29750,62000" +"41000,62000" +"41000,45000" +"42250,45000" +] +) +start &19 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "courier,12,0" +) +xt "31000,60600,35100,62000" +st "reset" +blo "31000,61800" +tm "WireNameMgr" +) +) +on &5 +) +*125 (Wire +uid 575,0 +shape (OrthoPolyLine +uid 576,0 +va (VaSet +vasetType 3 +) +xt "59750,25000,67000,25000" +pts [ +"67000,25000" +"59750,25000" +] +) +start &26 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "courier,12,0" +) +xt "62000,23600,65800,25000" +st "xOut" +blo "62000,24800" +tm "WireNameMgr" +) +) +on &25 +) +*126 (Wire +uid 900,0 +shape (OrthoPolyLine +uid 901,0 +va (VaSet +vasetType 3 +) +xt "29750,31000,42250,33000" +pts [ +"42250,33000" +"33000,33000" +"33000,31000" +"29750,31000" +] +) +start &93 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 903,0 +va (VaSet +font "courier,12,0" +) +xt "31000,29600,33100,31000" +st "rd" +blo "31000,30800" +tm "WireNameMgr" +) +) +on &56 +) +*127 (Wire +uid 906,0 +shape (OrthoPolyLine +uid 907,0 +va (VaSet +vasetType 3 +) +xt "29750,35000,42250,38000" +pts [ +"42250,35000" +"33000,35000" +"33000,38000" +"29750,38000" +] +) +start &94 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 908,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 909,0 +va (VaSet +font "courier,12,0" +) +xt "31000,36600,34300,38000" +st "wrH" +blo "31000,37800" +tm "WireNameMgr" +) +) +on &57 +) +*128 (Wire +uid 912,0 +shape (OrthoPolyLine +uid 913,0 +va (VaSet +vasetType 3 +) +xt "29750,37000,42250,46000" +pts [ +"42250,37000" +"35000,37000" +"35000,46000" +"29750,46000" +] +) +start &96 +end &37 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 914,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 915,0 +va (VaSet +font "courier,12,0" +) +xt "31000,44600,34100,46000" +st "wrL" +blo "31000,45800" +tm "WireNameMgr" +) +) +on &58 +) +*129 (Wire +uid 918,0 +shape (OrthoPolyLine +uid 919,0 +va (VaSet +vasetType 3 +) +xt "29750,39000,42250,54000" +pts [ +"42250,39000" +"37000,39000" +"37000,54000" +"29750,54000" +] +) +start &95 +end &30 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 920,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 921,0 +va (VaSet +font "courier,12,0" +) +xt "31000,52600,33100,54000" +st "cs" +blo "31000,53800" +tm "WireNameMgr" +) +) +on &59 +) +*130 (Wire +uid 922,0 +shape (OrthoPolyLine +uid 923,0 +va (VaSet +vasetType 3 +) +xt "19000,46000,24000,46000" +pts [ +"24000,46000" +"19000,46000" +] +) +start &36 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 929,0 +va (VaSet +font "courier,12,0" +) +xt "19000,44600,23000,46000" +st "nwr0" +blo "19000,45800" +tm "WireNameMgr" +) +) +on &61 +) +*131 (Wire +uid 930,0 +shape (OrthoPolyLine +uid 931,0 +va (VaSet +vasetType 3 +) +xt "19000,31000,24000,31000" +pts [ +"24000,31000" +"19000,31000" +] +) +start &50 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 937,0 +va (VaSet +font "courier,12,0" +) +xt "19000,29600,21900,31000" +st "nrd" +blo "19000,30800" +tm "WireNameMgr" +) +) +on &63 +) +*132 (Wire +uid 938,0 +shape (OrthoPolyLine +uid 939,0 +va (VaSet +vasetType 3 +) +xt "19000,54000,24000,54000" +pts [ +"24000,54000" +"19000,54000" +] +) +start &29 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 945,0 +va (VaSet +font "courier,12,0" +) +xt "19000,52600,21900,54000" +st "ncs" +blo "19000,53800" +tm "WireNameMgr" +) +) +on &60 +) +*133 (Wire +uid 946,0 +shape (OrthoPolyLine +uid 947,0 +va (VaSet +vasetType 3 +) +xt "19000,38000,24000,38000" +pts [ +"24000,38000" +"19000,38000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +font "courier,12,0" +) +xt "19000,36600,23000,38000" +st "nwr1" +blo "19000,37800" +tm "WireNameMgr" +) +) +on &62 +) +*134 (Wire +uid 1027,0 +shape (OrthoPolyLine +uid 1028,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30750,21000,42250,29000" +pts [ +"42250,29000" +"35000,29000" +"35000,21000" +"30750,21000" +] +) +start &97 +end &66 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1030,0 +va (VaSet +font "courier,12,0" +) +xt "36250,27600,42250,29000" +st "dataOut" +blo "36250,28800" +tm "WireNameMgr" +) +) +on &71 +) +*135 (Wire +uid 1033,0 +shape (OrthoPolyLine +uid 1034,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,11000,42250,27000" +pts [ +"42250,27000" +"37000,27000" +"37000,11000" +"35000,11000" +] +) +start &92 +end &74 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1037,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1038,0 +va (VaSet +font "courier,12,0" +) +xt "37250,25600,42250,27000" +st "dataIn" +blo "37250,26800" +tm "WireNameMgr" +) +) +on &72 +) +*136 (Wire +uid 1041,0 +shape (OrthoPolyLine +uid 1042,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,5000,42250,25000" +pts [ +"42250,25000" +"38000,25000" +"38000,5000" +"19000,5000" +] +) +start &88 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1046,0 +va (VaSet +font "courier,12,0" +) +xt "19000,3600,22700,5000" +st "addr" +blo "19000,4800" +tm "WireNameMgr" +) +) +on &73 +) +*137 (Wire +uid 1062,0 +optionalChildren [ +*138 (BdJunction +uid 1084,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1085,0 +va (VaSet +vasetType 1 +) +xt "20600,15600,21400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1063,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,16000,23250,21000" +pts [ +"23250,21000" +"21000,21000" +"21000,16000" +"19000,16000" +] +) +start &65 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1068,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1069,0 +va (VaSet +font "courier,12,0" +) +xt "18000,13600,21700,15000" +st "data" +blo "18000,14800" +tm "WireNameMgr" +) +) +on &78 +) +*139 (Wire +uid 1078,0 +shape (OrthoPolyLine +uid 1079,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,11000,23000,16000" +pts [ +"21000,16000" +"21000,11000" +"23000,11000" +] +) +start &138 +end &74 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1082,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1083,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "19000,9600,22700,11000" +st "data" +blo "19000,10800" +tm "WireNameMgr" +) +) +on &78 +) +*140 (Wire +uid 1086,0 +shape (OrthoPolyLine +uid 1087,0 +va (VaSet +vasetType 3 +) +xt "51000,13000,55000,13000" +pts [ +"51000,13000" +"55000,13000" +] +) +start &107 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1092,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1093,0 +va (VaSet +font "courier,12,0" +) +xt "53000,11600,55100,13000" +st "rd" +blo "53000,12800" +tm "WireNameMgr" +) +) +on &56 +) +*141 (Wire +uid 1163,0 +shape (OrthoPolyLine +uid 1164,0 +va (VaSet +vasetType 3 +) +xt "83000,40000,91000,40000" +pts [ +"83000,40000" +"91000,40000" +] +) +start &82 +end &79 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1168,0 +va (VaSet +font "courier,12,0" +) +xt "88000,38600,92000,40000" +st "LED2" +blo "88000,39800" +tm "WireNameMgr" +) +) +on &80 +) +*142 (Wire +uid 1177,0 +shape (OrthoPolyLine +uid 1178,0 +va (VaSet +vasetType 3 +) +xt "83000,42000,91000,42000" +pts [ +"83000,42000" +"91000,42000" +] +) +start &82 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1181,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1182,0 +va (VaSet +font "courier,12,0" +) +xt "88000,40600,92000,42000" +st "LED4" +blo "88000,41800" +tm "WireNameMgr" +) +) +on &81 +) +*143 (Wire +uid 1261,0 +shape (OrthoPolyLine +uid 1262,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59750,43000,67000,43000" +pts [ +"59750,43000" +"67000,43000" +] +) +start &98 +end &82 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1265,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1266,0 +va (VaSet +font "courier,12,0" +) +xt "61750,41600,67350,43000" +st "testOut" +blo "61750,42800" +tm "WireNameMgr" +) +) +on &102 +) +*144 (Wire +uid 1273,0 +shape (OrthoPolyLine +uid 1274,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "83000,44000,91000,44000" +pts [ +"83000,44000" +"91000,44000" +] +) +start &82 +end &103 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1278,0 +va (VaSet +font "courier,12,0" +) +xt "87000,42600,91400,44000" +st "spare" +blo "87000,43800" +tm "WireNameMgr" +) +) +on &104 +) +*145 (Wire +uid 1330,0 +shape (OrthoPolyLine +uid 1331,0 +va (VaSet +vasetType 3 +) +xt "27000,15000,44050,18250" +pts [ +"44050,15000" +"27000,15000" +"27000,18250" +] +) +start &108 +end &67 +sat 32 +eat 32 +sf 1 +si 0 +tg (WTG +uid 1332,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1333,0 +ro 270 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48600,-1050,50000,12950" +st "dataOE : std_ulogic" +blo "49800,12950" +tm "WireNameMgr" +) +) +on &112 +) +*146 (Wire +uid 1336,0 +shape (OrthoPolyLine +uid 1337,0 +va (VaSet +vasetType 3 +) +xt "51000,17000,55000,17000" +pts [ +"55000,17000" +"51000,17000" +] +) +end &106 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1343,0 +va (VaSet +font "courier,12,0" +) +xt "53000,15600,55100,17000" +st "cs" +blo "53000,16800" +tm "WireNameMgr" +) +) +on &59 +) +*147 (Wire +uid 1522,0 +shape (OrthoPolyLine +uid 1523,0 +va (VaSet +vasetType 3 +) +xt "19000,66000,29000,66000" +pts [ +"19000,66000" +"29000,66000" +] +) +start &113 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1526,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1527,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21000,64600,30300,66000" +st "selSinCos_n" +blo "21000,65800" +tm "WireNameMgr" +) +) +on &114 +) +*148 (Wire +uid 1536,0 +shape (OrthoPolyLine +uid 1537,0 +va (VaSet +vasetType 3 +) +xt "81000,48000,91000,48000" +pts [ +"81000,48000" +"91000,48000" +] +) +end &115 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1541,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "83000,46600,87000,48000" +st "LED1" +blo "83000,47800" +tm "WireNameMgr" +) +) +on &116 +) +*149 (Wire +uid 1550,0 +shape (OrthoPolyLine +uid 1551,0 +va (VaSet +vasetType 3 +) +xt "81000,50000,91000,50000" +pts [ +"81000,50000" +"91000,50000" +] +) +end &117 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1555,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "83000,48600,86600,50000" +st "txd0" +blo "83000,49800" +tm "WireNameMgr" +) +) +on &118 +) +*150 (Wire +uid 1564,0 +shape (OrthoPolyLine +uid 1565,0 +va (VaSet +vasetType 3 +) +xt "19000,68000,29000,68000" +pts [ +"19000,68000" +"29000,68000" +] +) +start &119 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1569,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21000,66600,24600,68000" +st "rxd0" +blo "21000,67800" +tm "WireNameMgr" +) +) +on &120 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *151 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*152 (Text +uid 85,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,2400,1000" +st "Package List" +blo "-3000,800" +) +*153 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,15600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*154 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*155 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*156 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*157 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*158 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*159 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*160 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "29,29,922,729" +viewArea "-4864,-1468,96864,67668" +cachedDiagramExtent "-8700,-1050,106400,66600" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1636,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*161 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*162 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*163 (Text +va (VaSet +font "courier,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*165 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*166 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*167 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*168 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*169 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*170 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*171 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*172 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*174 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*175 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*176 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*177 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*179 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*181 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "63000,400,68400,1400" +st "Declarations" +blo "63000,1200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "63000,1400,65700,2400" +st "Ports:" +blo "63000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "63000,10400,66800,11400" +st "Pre User:" +blo "63000,11200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "65000,11400,85500,13000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "63000,11400,70100,12400" +st "Diagram Signals:" +blo "63000,12200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "63000,400,67700,1400" +st "Post User:" +blo "63000,1200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "63000,400,63000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *182 (LEmptyRow +) +uid 1437,0 +optionalChildren [ +*183 (RefLabelRowHdr +) +*184 (TitleRowHdr +) +*185 (FilterRowHdr +) +*186 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*187 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*188 (GroupColHdr +tm "GroupColHdrMgr" +) +*189 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*190 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*191 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*192 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*193 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*194 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*195 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1392,0 +) +*196 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 2,0 +) +) +uid 1394,0 +) +*197 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1396,0 +) +*198 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 4,0 +) +) +uid 1398,0 +) +*199 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +uid 1400,0 +) +*200 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rd" +t "std_ulogic" +o 22 +suid 6,0 +) +) +uid 1402,0 +) +*201 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrH" +t "std_ulogic" +o 25 +suid 7,0 +) +) +uid 1404,0 +) +*202 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrL" +t "std_ulogic" +o 26 +suid 8,0 +) +) +uid 1406,0 +) +*203 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cs" +t "std_ulogic" +o 13 +suid 9,0 +) +) +uid 1408,0 +) +*204 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ncs" +t "std_ulogic" +o 18 +suid 10,0 +) +) +uid 1410,0 +) +*205 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nwr0" +t "std_ulogic" +o 20 +suid 11,0 +) +) +uid 1412,0 +) +*206 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nwr1" +t "std_ulogic" +o 21 +suid 12,0 +) +) +uid 1414,0 +) +*207 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "nrd" +t "std_ulogic" +o 19 +suid 13,0 +) +) +uid 1416,0 +) +*208 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 14,0 +) +) +uid 1418,0 +) +*209 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 15,0 +) +) +uid 1420,0 +) +*210 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 16,0 +) +) +uid 1422,0 +) +*211 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "data" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 14 +suid 17,0 +) +) +uid 1424,0 +) +*212 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 18,0 +) +) +uid 1426,0 +) +*213 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "LED4" +t "std_ulogic" +o 11 +suid 19,0 +) +) +uid 1428,0 +) +*214 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 24 +suid 20,0 +) +) +uid 1430,0 +) +*215 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 21,0 +) +) +uid 1432,0 +) +*216 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOE" +t "std_ulogic" +o 16 +suid 22,0 +) +) +uid 1434,0 +) +*217 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 23,0 +) +) +uid 1509,0 +) +*218 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 24,0 +) +) +uid 1511,0 +) +*219 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 25,0 +) +) +uid 1513,0 +) +*220 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 26,0 +) +) +uid 1515,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1450,0 +optionalChildren [ +*221 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *222 (MRCItem +litem &182 +pos 3 +dimension 20 +) +uid 1452,0 +optionalChildren [ +*223 (MRCItem +litem &183 +pos 0 +dimension 20 +uid 1453,0 +) +*224 (MRCItem +litem &184 +pos 1 +dimension 23 +uid 1454,0 +) +*225 (MRCItem +litem &185 +pos 2 +hidden 1 +dimension 20 +uid 1455,0 +) +*226 (MRCItem +litem &195 +pos 0 +dimension 20 +uid 1393,0 +) +*227 (MRCItem +litem &196 +pos 10 +dimension 20 +uid 1395,0 +) +*228 (MRCItem +litem &197 +pos 1 +dimension 20 +uid 1397,0 +) +*229 (MRCItem +litem &198 +pos 2 +dimension 20 +uid 1399,0 +) +*230 (MRCItem +litem &199 +pos 3 +dimension 20 +uid 1401,0 +) +*231 (MRCItem +litem &200 +pos 11 +dimension 20 +uid 1403,0 +) +*232 (MRCItem +litem &201 +pos 12 +dimension 20 +uid 1405,0 +) +*233 (MRCItem +litem &202 +pos 13 +dimension 20 +uid 1407,0 +) +*234 (MRCItem +litem &203 +pos 14 +dimension 20 +uid 1409,0 +) +*235 (MRCItem +litem &204 +pos 15 +dimension 20 +uid 1411,0 +) +*236 (MRCItem +litem &205 +pos 16 +dimension 20 +uid 1413,0 +) +*237 (MRCItem +litem &206 +pos 17 +dimension 20 +uid 1415,0 +) +*238 (MRCItem +litem &207 +pos 18 +dimension 20 +uid 1417,0 +) +*239 (MRCItem +litem &208 +pos 19 +dimension 20 +uid 1419,0 +) +*240 (MRCItem +litem &209 +pos 20 +dimension 20 +uid 1421,0 +) +*241 (MRCItem +litem &210 +pos 21 +dimension 20 +uid 1423,0 +) +*242 (MRCItem +litem &211 +pos 22 +dimension 20 +uid 1425,0 +) +*243 (MRCItem +litem &212 +pos 7 +dimension 20 +uid 1427,0 +) +*244 (MRCItem +litem &213 +pos 23 +dimension 20 +uid 1429,0 +) +*245 (MRCItem +litem &214 +pos 24 +dimension 20 +uid 1431,0 +) +*246 (MRCItem +litem &215 +pos 4 +dimension 20 +uid 1433,0 +) +*247 (MRCItem +litem &216 +pos 25 +dimension 20 +uid 1435,0 +) +*248 (MRCItem +litem &217 +pos 5 +dimension 20 +uid 1508,0 +) +*249 (MRCItem +litem &218 +pos 6 +dimension 20 +uid 1510,0 +) +*250 (MRCItem +litem &219 +pos 8 +dimension 20 +uid 1512,0 +) +*251 (MRCItem +litem &220 +pos 9 +dimension 20 +uid 1514,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 1456,0 +optionalChildren [ +*252 (MRCItem +litem &186 +pos 0 +dimension 20 +uid 1457,0 +) +*253 (MRCItem +litem &188 +pos 1 +dimension 50 +uid 1458,0 +) +*254 (MRCItem +litem &189 +pos 2 +dimension 100 +uid 1459,0 +) +*255 (MRCItem +litem &190 +pos 3 +dimension 50 +uid 1460,0 +) +*256 (MRCItem +litem &191 +pos 4 +dimension 100 +uid 1461,0 +) +*257 (MRCItem +litem &192 +pos 5 +dimension 100 +uid 1462,0 +) +*258 (MRCItem +litem &193 +pos 6 +dimension 50 +uid 1463,0 +) +*259 (MRCItem +litem &194 +pos 7 +dimension 80 +uid 1464,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1451,0 +vaOverrides [ +] +) +] +) +uid 1436,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *260 (LEmptyRow +) +uid 1466,0 +optionalChildren [ +*261 (RefLabelRowHdr +) +*262 (TitleRowHdr +) +*263 (FilterRowHdr +) +*264 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*265 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*266 (GroupColHdr +tm "GroupColHdrMgr" +) +*267 (NameColHdr +tm "GenericNameColHdrMgr" +) +*268 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*269 (InitColHdr +tm "GenericValueColHdrMgr" +) +*270 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*271 (EolColHdr +tm "GenericEolColHdrMgr" +) +*272 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 1573,0 +) +*273 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 1575,0 +) +] +) +pdm (PhysicalDM +uid 1478,0 +optionalChildren [ +*274 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *275 (MRCItem +litem &260 +pos 3 +dimension 20 +) +uid 1480,0 +optionalChildren [ +*276 (MRCItem +litem &261 +pos 0 +dimension 20 +uid 1481,0 +) +*277 (MRCItem +litem &262 +pos 1 +dimension 23 +uid 1482,0 +) +*278 (MRCItem +litem &263 +pos 2 +hidden 1 +dimension 20 +uid 1483,0 +) +*279 (MRCItem +litem &272 +pos 1 +dimension 20 +uid 1572,0 +) +*280 (MRCItem +litem &273 +pos 0 +dimension 20 +uid 1574,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 1484,0 +optionalChildren [ +*281 (MRCItem +litem &264 +pos 0 +dimension 20 +uid 1485,0 +) +*282 (MRCItem +litem &266 +pos 1 +dimension 50 +uid 1486,0 +) +*283 (MRCItem +litem &267 +pos 2 +dimension 100 +uid 1487,0 +) +*284 (MRCItem +litem &268 +pos 3 +dimension 100 +uid 1488,0 +) +*285 (MRCItem +litem &269 +pos 4 +dimension 50 +uid 1489,0 +) +*286 (MRCItem +litem &270 +pos 5 +dimension 50 +uid 1490,0 +) +*287 (MRCItem +litem &271 +pos 6 +dimension 80 +uid 1491,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1479,0 +vaOverrides [ +] +) +] +) +uid 1465,0 +type 1 +) +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/symbol.sb new file mode 100644 index 0000000..3629eac --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs2/symbol.sb @@ -0,0 +1,1994 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2041,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 241,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 242,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 245,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 3,0 +) +) +uid 246,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 4,0 +) +) +uid 248,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 13,0 +) +) +uid 249,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 256,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 2019,0 +) +) +uid 424,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 2020,0 +) +) +uid 426,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 2040,0 +) +) +uid 824,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 2041,0 +) +) +uid 826,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 257,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 25 +dimension 20 +) +uid 170,0 +optionalChildren [ +*26 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 173,0 +) +*27 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 175,0 +) +*28 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 177,0 +) +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 196,0 +) +*30 (MRCItem +litem &3 +pos 7 +dimension 20 +uid 199,0 +) +*31 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 200,0 +) +*32 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 202,0 +) +*33 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 203,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 210,0 +) +*35 (MRCItem +litem &20 +pos 5 +dimension 20 +uid 423,0 +) +*36 (MRCItem +litem &21 +pos 6 +dimension 20 +uid 425,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 823,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 825,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 171,0 +optionalChildren [ +*39 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 179,0 +) +*40 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 183,0 +) +*41 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 185,0 +) +*42 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 187,0 +) +*43 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 189,0 +) +*44 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 191,0 +) +*45 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 193,0 +) +*46 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 195,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 169,0 +vaOverrides [ +] +) +] +) +uid 240,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 259,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "memDataBitNb" +type "positive" +value "16" +) +uid 236,0 +) +*60 (LogGeneric +generic (GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +uid 237,0 +) +] +) +pdm (PhysicalDM +uid 260,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 212,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 215,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 217,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 219,0 +) +*66 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 238,0 +) +*67 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 239,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 213,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 221,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 225,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 227,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 229,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 231,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 233,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 235,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 211,0 +vaOverrides [ +] +) +] +) +uid 258,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer" +) +(vvPair +variable "date" +value "04/15/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "15" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_beamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "04/15/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:26:55" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FPGA_beamer" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/@f@p@g@a_beamer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../Board/hds/FPGA_beamer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:26:55" +) +(vvPair +variable "unit" +value "FPGA_beamer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "35000,25400,38400,26600" +st "clock" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,19500,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*77 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,27625,34000,28375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "35000,27400,39700,28600" +st "reset_N" +blo "35000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,19500,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 93,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 94,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,9625,52750,10375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 96,0 +va (VaSet +font "courier,9,0" +) +xt "47800,9400,51000,10600" +st "xOut" +ju 2 +blo "51000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,19500,19100" +st "xOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 3,0 +) +) +) +*79 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,11625,52750,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +font "courier,9,0" +) +xt "47800,11400,51000,12600" +st "yOut" +ju 2 +blo "51000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,18500,20000" +st "yOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 4,0 +) +) +) +*80 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,19625,52750,20375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +font "courier,9,0" +) +xt "47500,19400,51000,20600" +st "LED2" +ju 2 +blo "51000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,19500,16400" +st "LED2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*81 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,9,0" +) +xt "47500,23400,51000,24600" +st "spare" +ju 2 +blo "51000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 147,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,27500,17300" +st "spare : OUT std_ulogic_vector (1 TO 17) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 7 +suid 13,0 +) +) +) +*82 (CptPort +uid 427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,28625,34000,29375" +) +tg (CPTG +uid 429,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 430,0 +va (VaSet +font "courier,9,0" +) +xt "35000,28400,42700,29600" +st "selSinCos_n" +blo "35000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,19500,14600" +st "selSinCos_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 2019,0 +) +) +) +*83 (CptPort +uid 432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,26625,52750,27375" +) +tg (CPTG +uid 434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 435,0 +va (VaSet +font "courier,9,0" +) +xt "47500,26400,51000,27600" +st "LED1" +ju 2 +blo "51000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,19500,15500" +st "LED1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 2020,0 +) +) +) +*84 (CptPort +uid 827,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 828,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,27625,52750,28375" +) +tg (CPTG +uid 829,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 830,0 +va (VaSet +font "courier,9,0" +) +xt "48000,27400,51000,28600" +st "txd0" +ju 2 +blo "51000,28400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 831,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,19500,18200" +st "txd0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txd0" +t "std_ulogic" +o 8 +suid 2040,0 +) +) +) +*85 (CptPort +uid 832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 833,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,29625,34000,30375" +) +tg (CPTG +uid 834,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 835,0 +va (VaSet +font "courier,9,0" +) +xt "35000,29400,38000,30600" +st "rxd0" +blo "35000,30400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 836,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,19500,13700" +st "rxd0 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxd0" +t "std_ulogic" +o 3 +suid 2041,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,6000,52000,40000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "34600,29800,37100,30700" +st "Board" +blo "34600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "34600,30700,40600,31600" +st "FPGA_beamer" +blo "34600,31400" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,33600,49000,37200" +st "Generic Declarations + +memAddressBitNb positive 24 +memDataBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "memAddressBitNb" +type "positive" +value "24" +) +(GiElement +name "memDataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "20,35,1257,877" +viewArea "-1081,-1081,74584,50948" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 882,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/struct.bd b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/struct.bd new file mode 100644 index 0000000..f2e06af --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/struct.bd @@ -0,0 +1,6806 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 133,0 +) +(Instance +name "I8" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 162,0 +) +(Instance +name "I12" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 188,0 +) +(Instance +name "I3" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 214,0 +) +(Instance +name "I_top" +duLibraryName "SystemOnChip" +duName "beamerSoc" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 234,0 +) +(Instance +name "I9" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 296,0 +) +(Instance +name "I7" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 340,0 +) +(Instance +name "I_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 653,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\SoC_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "SoC_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:21:25" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "SoC_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\SoC_ebs3\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:21:25" +) +(vvPair +variable "unit" +value "SoC_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,4000,53000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,4000,47600,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,0,57000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,0,56200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,2000,53000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,2000,46200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,2000,36000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,2000,34300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,1000,73000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,1200,62600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,0,73000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,0,58800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,0,53000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,400,47650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,3000,36000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,3000,34300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,4000,36000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,4000,34900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,3000,53000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,3000,45200,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,0,73000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "37000,72625,38500,73375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "38500,73000,39000,73000" +pts [ +"38500,73000" +"39000,73000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "30200,72300,36000,73700" +st "reset_N" +ju 2 +blo "36000,73500" +tm "WireNameMgr" +) +) +) +*13 (PortIoOut +uid 115,0 +shape (CompositeShape +uid 116,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 117,0 +sl 0 +ro 90 +xt "37000,26625,38500,27375" +) +(Line +uid 118,0 +sl 0 +ro 90 +xt "38500,27000,39000,27000" +pts [ +"39000,27000" +"38500,27000" +] +) +] +) +tg (WTG +uid 119,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32900,26300,36000,27700" +st "TxD" +ju 2 +blo "36000,27500" +tm "WireNameMgr" +) +) +) +*14 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "37000,30625,38500,31375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "38500,31000,39000,31000" +pts [ +"38500,31000" +"39000,31000" +] +) +] +) +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32800,30300,36000,31700" +st "RxD" +ju 2 +blo "36000,31500" +tm "WireNameMgr" +) +) +) +*15 (PortIoIn +uid 127,0 +shape (CompositeShape +uid 128,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 129,0 +sl 0 +ro 270 +xt "37000,47625,38500,48375" +) +(Line +uid 130,0 +sl 0 +ro 270 +xt "38500,48000,39000,48000" +pts [ +"38500,48000" +"39000,48000" +] +) +] +) +tg (WTG +uid 131,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32200,47300,36000,48700" +st "clock" +ju 2 +blo "36000,48500" +tm "WireNameMgr" +) +) +) +*16 (SaComponent +uid 133,0 +optionalChildren [ +*17 (CptPort +uid 142,0 +optionalChildren [ +*18 (Circle +uid 147,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43092,72546,44000,73454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 143,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42342,72625,43092,73375" +) +tg (CPTG +uid 144,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 145,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "44000,72500,46700,73900" +st "in1" +blo "44000,73700" +) +s (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "44000,73900,44000,73900" +blo "44000,73900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49000,72625,49750,73375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45050,72500,48750,73900" +st "out1" +ju 2 +blo "48750,73700" +) +s (Text +uid 152,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "48750,73900,48750,73900" +ju 2 +blo "48750,73900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,70000,49000,76000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 135,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 136,0 +va (VaSet +isHidden 1 +) +xt "44910,68700,48510,69900" +st "Board" +blo "44910,69700" +tm "BdLibraryNameMgr" +) +*21 (Text +uid 137,0 +va (VaSet +isHidden 1 +) +xt "44910,69700,51310,70900" +st "inverterIn" +blo "44910,70700" +tm "CptNameMgr" +) +*22 (Text +uid 138,0 +va (VaSet +) +xt "44910,69700,46810,70900" +st "I2" +blo "44910,70700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 139,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 140,0 +text (MLText +uid 141,0 +va (VaSet +) +xt "21000,66000,21000,66000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*23 (HdlText +uid 153,0 +optionalChildren [ +*24 (EmbeddedText +uid 158,0 +commentText (CommentText +uid 159,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 160,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "44000,64000,50000,66000" +) +oxt "0,0,18000,5000" +text (MLText +uid 161,0 +va (VaSet +) +xt "44200,64200,49700,65400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 154,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "43000,63000,51000,67000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 155,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 156,0 +va (VaSet +) +xt "43400,67000,46000,68200" +st "eb4" +blo "43400,68000" +tm "HdlTextNameMgr" +) +*26 (Text +uid 157,0 +va (VaSet +) +xt "43400,68000,44800,69200" +st "4" +blo "43400,69000" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (SaComponent +uid 162,0 +optionalChildren [ +*28 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55250,30625,56000,31375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,30300,58700,31700" +st "D" +blo "57000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*29 (CptPort +uid 175,0 +optionalChildren [ +*30 (FFT +pts [ +"56750,35000" +"56000,35375" +"56000,34625" +] +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,34625,56750,35375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55250,34625,56000,35375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,34400,60200,35800" +st "CLK" +blo "57000,35600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*31 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 181,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58625,37000,59375,37750" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +font "Verdana,12,0" +) +xt "58000,35600,61200,37000" +st "CLR" +blo "58000,36800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*32 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "62000,30625,62750,31375" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 187,0 +va (VaSet +font "Verdana,12,0" +) +xt "59200,30300,61000,31700" +st "Q" +ju 2 +blo "61000,31500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56000,29000,62000,37000" +) +showPorts 0 +oxt "23000,3000,29000,11000" +ttg (MlTextGroup +uid 164,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 165,0 +va (VaSet +) +xt "60600,36700,64200,37900" +st "Board" +blo "60600,37700" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 166,0 +va (VaSet +) +xt "60600,37700,63300,38900" +st "DFF" +blo "60600,38700" +tm "CptNameMgr" +) +*35 (Text +uid 167,0 +va (VaSet +) +xt "60600,38700,62500,39900" +st "I8" +blo "60600,39700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 168,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 169,0 +text (MLText +uid 170,0 +va (VaSet +) +xt "63000,36400,63000,36400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 188,0 +optionalChildren [ +*37 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55250,64625,56000,65375" +) +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 200,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,64300,58700,65700" +st "D" +blo "57000,65500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*38 (CptPort +uid 201,0 +optionalChildren [ +*39 (FFT +pts [ +"56750,69000" +"56000,69375" +"56000,68625" +] +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,68625,56750,69375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 202,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55250,68625,56000,69375" +) +tg (CPTG +uid 203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 204,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,68400,60200,69800" +st "CLK" +blo "57000,69600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*40 (CptPort +uid 206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58625,71000,59375,71750" +) +tg (CPTG +uid 208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 209,0 +va (VaSet +font "Verdana,12,0" +) +xt "58000,69600,61200,71000" +st "CLR" +blo "58000,70800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*41 (CptPort +uid 210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 211,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "62000,64625,62750,65375" +) +tg (CPTG +uid 212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 213,0 +va (VaSet +font "Verdana,12,0" +) +xt "59200,64300,61000,65700" +st "Q" +ju 2 +blo "61000,65500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "56000,63000,62000,71000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 190,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 191,0 +va (VaSet +) +xt "62600,68700,66200,69900" +st "Board" +blo "62600,69700" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 192,0 +va (VaSet +) +xt "62600,69700,65300,70900" +st "DFF" +blo "62600,70700" +tm "CptNameMgr" +) +*44 (Text +uid 193,0 +va (VaSet +) +xt "62600,70700,65200,71900" +st "I12" +blo "62600,71700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 194,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 195,0 +text (MLText +uid 196,0 +va (VaSet +) +xt "33000,60000,33000,60000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*45 (SaComponent +uid 214,0 +optionalChildren [ +*46 (CptPort +uid 223,0 +optionalChildren [ +*47 (Circle +uid 228,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "67092,64546,68000,65454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 224,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "66342,64625,67092,65375" +) +tg (CPTG +uid 225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 226,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "68000,64500,70700,65900" +st "in1" +blo "68000,65700" +) +s (Text +uid 227,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "68000,65900,68000,65900" +blo "68000,65900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*48 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "73000,64625,73750,65375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "69050,64500,72750,65900" +st "out1" +ju 2 +blo "72750,65700" +) +s (Text +uid 233,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "72750,65900,72750,65900" +ju 2 +blo "72750,65900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,62000,73000,68000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 216,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 217,0 +va (VaSet +isHidden 1 +) +xt "68910,60700,72510,61900" +st "Board" +blo "68910,61700" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 218,0 +va (VaSet +isHidden 1 +) +xt "68910,61700,75310,62900" +st "inverterIn" +blo "68910,62700" +tm "CptNameMgr" +) +*51 (Text +uid 219,0 +va (VaSet +) +xt "68910,61700,70810,62900" +st "I3" +blo "68910,62700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 220,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 221,0 +text (MLText +uid 222,0 +va (VaSet +) +xt "45000,58000,45000,58000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 234,0 +optionalChildren [ +*53 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78250,40625,79000,41375" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 246,0 +va (VaSet +) +xt "80000,40400,83400,41600" +st "clock" +blo "80000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +) +) +) +*54 (CptPort +uid 247,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 248,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,36625,95750,37375" +) +tg (CPTG +uid 249,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 250,0 +va (VaSet +) +xt "91001,36400,94001,37600" +st "outX" +ju 2 +blo "94001,37400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +) +) +) +*55 (CptPort +uid 251,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 252,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,38625,95750,39375" +) +tg (CPTG +uid 253,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 254,0 +va (VaSet +) +xt "91001,38400,94001,39600" +st "outY" +ju 2 +blo "94001,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +) +) +) +*56 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,40625,95750,41375" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 258,0 +va (VaSet +) +xt "88201,40400,94001,41600" +st "selSinCos" +ju 2 +blo "94001,41400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +) +) +) +*57 (CptPort +uid 259,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78250,42625,79000,43375" +) +tg (CPTG +uid 261,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 262,0 +va (VaSet +) +xt "80000,42400,83300,43600" +st "reset" +blo "80000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +) +) +) +*58 (CptPort +uid 263,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 264,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78250,28625,79000,29375" +) +tg (CPTG +uid 265,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 266,0 +va (VaSet +) +xt "80000,28400,82800,29600" +st "TxD" +blo "80000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +) +) +) +*59 (CptPort +uid 267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 268,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78250,30625,79000,31375" +) +tg (CPTG +uid 269,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 270,0 +va (VaSet +) +xt "80000,30400,82800,31600" +st "RxD" +blo "80000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +) +) +) +*60 (CptPort +uid 271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 272,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,28625,95750,29375" +) +tg (CPTG +uid 273,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 274,0 +va (VaSet +) +xt "91100,28400,94000,29600" +st "ioEn" +ju 2 +blo "94000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +) +) +) +*61 (CptPort +uid 275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 276,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,30625,95750,31375" +) +tg (CPTG +uid 277,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 278,0 +va (VaSet +) +xt "90500,30400,94000,31600" +st "ioOut" +ju 2 +blo "94000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +) +) +) +*62 (CptPort +uid 279,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 280,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95000,32625,95750,33375" +) +tg (CPTG +uid 281,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 282,0 +va (VaSet +) +xt "91300,32400,94000,33600" +st "ioIn" +ju 2 +blo "94000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +) +) +) +*63 (CptPort +uid 283,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 284,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86625,24250,87375,25000" +) +tg (CPTG +uid 285,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 286,0 +va (VaSet +) +xt "85000,26000,89600,27200" +st "testOut" +ju 2 +blo "89600,27000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +) +) +) +] +shape (Rectangle +uid 235,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "79000,25000,95000,45000" +) +oxt "36000,10000,52000,30000" +ttg (MlTextGroup +uid 236,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 237,0 +va (VaSet +font "Verdana,9,1" +) +xt "79600,44800,88000,46000" +st "SystemOnChip" +blo "79600,45800" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 238,0 +va (VaSet +font "Verdana,9,1" +) +xt "79600,45700,85600,46900" +st "beamerSoc" +blo "79600,46700" +tm "CptNameMgr" +) +*66 (Text +uid 239,0 +va (VaSet +font "Verdana,9,1" +) +xt "79600,46600,83000,47800" +st "I_top" +blo "79600,47600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 240,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 241,0 +text (MLText +uid 242,0 +va (VaSet +font "Verdana,8,0" +) +xt "79000,48600,104800,51600" +st "ioNb = ioNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*67 (HdlText +uid 287,0 +optionalChildren [ +*68 (EmbeddedText +uid 292,0 +commentText (CommentText +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "91000,2000,107000,8000" +) +oxt "0,0,18000,5000" +text (MLText +uid 295,0 +va (VaSet +) +xt "91200,2200,105900,8200" +st " +LED1 <= testOut(1); +LED2 <= testOut(2); +spare(testOut'range) <= testOut; +spare(testOut'high+1 to spare'high) <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 288,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "91000,1000,107000,9000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 289,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 290,0 +va (VaSet +) +xt "91400,9000,94000,10200" +st "eb3" +blo "91400,10000" +tm "HdlTextNameMgr" +) +*70 (Text +uid 291,0 +va (VaSet +) +xt "91400,10000,92800,11200" +st "3" +blo "91400,11000" +tm "HdlTextNumberMgr" +) +] +) +) +*71 (SaComponent +uid 296,0 +optionalChildren [ +*72 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "110000,42625,110750,43375" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 308,0 +va (VaSet +font "Verdana,12,0" +) +xt "107300,42300,109000,43700" +st "D" +ju 2 +blo "109000,43500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*73 (CptPort +uid 309,0 +optionalChildren [ +*74 (FFT +pts [ +"109250,47000" +"110000,46625" +"110000,47375" +] +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,46625,110000,47375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 310,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "110000,46625,110750,47375" +) +tg (CPTG +uid 311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 312,0 +va (VaSet +font "Verdana,12,0" +) +xt "105800,46400,109000,47800" +st "CLK" +ju 2 +blo "109000,47600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*75 (CptPort +uid 314,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 315,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "106625,49000,107375,49750" +) +tg (CPTG +uid 316,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 317,0 +va (VaSet +font "Verdana,12,0" +) +xt "104800,47600,108000,49000" +st "CLR" +blo "104800,48800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*76 (CptPort +uid 318,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 319,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103250,42625,104000,43375" +) +tg (CPTG +uid 320,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 321,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,42300,106800,43700" +st "Q" +blo "105000,43500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 297,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "104000,41000,110000,49000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 298,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 299,0 +va (VaSet +) +xt "110600,46700,114200,47900" +st "Board" +blo "110600,47700" +tm "BdLibraryNameMgr" +) +*78 (Text +uid 300,0 +va (VaSet +) +xt "110600,47700,113300,48900" +st "DFF" +blo "110600,48700" +tm "CptNameMgr" +) +*79 (Text +uid 301,0 +va (VaSet +) +xt "110600,48700,112500,49900" +st "I9" +blo "110600,49700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 302,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 303,0 +text (MLText +uid 304,0 +va (VaSet +) +xt "81000,38000,81000,38000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*80 (PortIoOut +uid 322,0 +shape (CompositeShape +uid 323,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 324,0 +sl 0 +ro 270 +xt "115500,2625,117000,3375" +) +(Line +uid 325,0 +sl 0 +ro 270 +xt "115000,3000,115500,3000" +pts [ +"115000,3000" +"115500,3000" +] +) +] +) +tg (WTG +uid 326,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "118000,2300,122000,3700" +st "LED1" +blo "118000,3500" +tm "WireNameMgr" +) +) +) +*81 (PortIoOut +uid 328,0 +shape (CompositeShape +uid 329,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 330,0 +sl 0 +ro 270 +xt "115500,4625,117000,5375" +) +(Line +uid 331,0 +sl 0 +ro 270 +xt "115000,5000,115500,5000" +pts [ +"115000,5000" +"115500,5000" +] +) +] +) +tg (WTG +uid 332,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 333,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "118000,4300,122000,5700" +st "LED2" +blo "118000,5500" +tm "WireNameMgr" +) +) +) +*82 (PortIoOut +uid 334,0 +shape (CompositeShape +uid 335,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 336,0 +sl 0 +ro 270 +xt "115500,6625,117000,7375" +) +(Line +uid 337,0 +sl 0 +ro 270 +xt "115000,7000,115500,7000" +pts [ +"115000,7000" +"115500,7000" +] +) +] +) +tg (WTG +uid 338,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 339,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "118000,6300,130400,7700" +st "spare : (1 TO 17)" +blo "118000,7500" +tm "WireNameMgr" +) +) +) +*83 (SaComponent +uid 340,0 +optionalChildren [ +*84 (CptPort +uid 349,0 +optionalChildren [ +*85 (Circle +uid 354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "122000,42546,122908,43454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "122908,42625,123658,43375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "379566,42500,382266,43900" +st "in1" +ju 2 +blo "382266,43700" +) +s (Text +uid 353,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "382266,43900,382266,43900" +ju 2 +blo "382266,43900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*86 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "116250,42625,117000,43375" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 358,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "367300,42500,371000,43900" +st "out1" +blo "367300,43700" +) +s (Text +uid 359,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "367300,43900,367300,43900" +blo "367300,43900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 341,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "117000,40000,122000,46000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 342,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 343,0 +va (VaSet +isHidden 1 +) +xt "117910,38700,121510,39900" +st "Board" +blo "117910,39700" +tm "BdLibraryNameMgr" +) +*88 (Text +uid 344,0 +va (VaSet +isHidden 1 +) +xt "117910,39700,124310,40900" +st "inverterIn" +blo "117910,40700" +tm "CptNameMgr" +) +*89 (Text +uid 345,0 +va (VaSet +) +xt "117910,39700,119810,40900" +st "I7" +blo "117910,40700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 346,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 347,0 +text (MLText +uid 348,0 +va (VaSet +) +xt "94000,36000,94000,36000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*90 (PortIoIn +uid 360,0 +shape (CompositeShape +uid 361,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 362,0 +sl 0 +ro 90 +xt "127500,42625,129000,43375" +) +(Line +uid 363,0 +sl 0 +ro 90 +xt "127000,43000,127500,43000" +pts [ +"127500,43000" +"127000,43000" +] +) +] +) +tg (WTG +uid 364,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 365,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "130000,42300,139300,43700" +st "selSinCos_n" +blo "130000,43500" +tm "WireNameMgr" +) +) +) +*91 (PortIoOut +uid 366,0 +shape (CompositeShape +uid 367,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 368,0 +sl 0 +ro 270 +xt "127500,36625,129000,37375" +) +(Line +uid 369,0 +sl 0 +ro 270 +xt "127000,37000,127500,37000" +pts [ +"127000,37000" +"127500,37000" +] +) +] +) +tg (WTG +uid 370,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 371,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "130000,36300,133800,37700" +st "xOut" +blo "130000,37500" +tm "WireNameMgr" +) +) +) +*92 (PortIoOut +uid 372,0 +shape (CompositeShape +uid 373,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 374,0 +sl 0 +ro 270 +xt "127500,38625,129000,39375" +) +(Line +uid 375,0 +sl 0 +ro 270 +xt "127000,39000,127500,39000" +pts [ +"127000,39000" +"127500,39000" +] +) +] +) +tg (WTG +uid 376,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 377,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "130000,38300,133800,39700" +st "yOut" +blo "130000,39500" +tm "WireNameMgr" +) +) +) +*93 (Net +uid 496,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 497,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,32200,17000,33200" +st "SIGNAL selSinCos : std_ulogic +" +) +) +*94 (Net +uid 498,0 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 499,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33200,17900,34200" +st "SIGNAL selSinCosSynch : std_ulogic +" +) +) +*95 (Net +uid 500,0 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 501,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30200,17800,31200" +st "SIGNAL resetSynch_N : std_ulogic +" +) +) +*96 (Net +uid 502,0 +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 503,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,14200,14000" +st "selSinCos_n : std_ulogic +" +) +) +*97 (Net +uid 504,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 505,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29200,17300,30200" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*98 (Net +uid 506,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +declText (MLText +uid 507,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,25400,28000,26400" +st "SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*99 (Net +uid 508,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 509,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,13200,12000" +st "clock : std_ulogic +" +) +) +*100 (Net +uid 510,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 8,0 +) +declText (MLText +uid 511,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,34200,28200,35200" +st "SIGNAL testOut : std_ulogic_vector(1 TO testOutBitNb) +" +) +) +*101 (Net +uid 512,0 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 9 +suid 9,0 +) +declText (MLText +uid 513,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16800,20900,17800" +st "spare : std_ulogic_vector(1 TO 17) +" +) +) +*102 (Net +uid 516,0 +decl (Decl +n "yOut" +t "std_ulogic" +o 11 +suid 11,0 +) +declText (MLText +uid 517,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18800,13400,19800" +st "yOut : std_ulogic +" +) +) +*103 (Net +uid 518,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 12 +suid 12,0 +) +declText (MLText +uid 519,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12000,13700,13000" +st "reset_N : std_ulogic +" +) +) +*104 (Net +uid 520,0 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 13 +suid 13,0 +) +declText (MLText +uid 521,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31200,17200,32200" +st "SIGNAL rxdSynch : std_ulogic +" +) +) +*105 (Net +uid 524,0 +decl (Decl +n "LED1" +t "std_ulogic" +o 15 +suid 15,0 +) +declText (MLText +uid 525,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14000,13500,15000" +st "LED1 : std_ulogic +" +) +) +*106 (Net +uid 526,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 16 +suid 16,0 +) +declText (MLText +uid 527,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15000,13500,16000" +st "LED2 : std_ulogic +" +) +) +*107 (Net +uid 528,0 +decl (Decl +n "xOut" +t "std_ulogic" +o 17 +suid 17,0 +) +declText (MLText +uid 529,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17800,13400,18800" +st "xOut : std_ulogic +" +) +) +*108 (Net +uid 530,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 18 +suid 18,0 +) +declText (MLText +uid 531,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,27200,16600,28200" +st "SIGNAL logic1 : std_uLogic +" +) +) +*109 (Net +uid 532,0 +decl (Decl +n "reset" +t "std_ulogic" +o 19 +suid 19,0 +) +declText (MLText +uid 533,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,28200,16400,29200" +st "SIGNAL reset : std_ulogic +" +) +) +*110 (SaComponent +uid 653,0 +optionalChildren [ +*111 (CptPort +uid 617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 618,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,51625,62750,52375" +) +tg (CPTG +uid 619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 620,0 +va (VaSet +font "Verdana,8,0" +) +xt "56700,51500,61000,52500" +st "clk10MHz" +ju 2 +blo "61000,52300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*112 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,50625,62750,51375" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 624,0 +va (VaSet +font "Verdana,8,0" +) +xt "56700,50500,61000,51500" +st "clk50MHz" +ju 2 +blo "61000,51300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*113 (CptPort +uid 625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,47625,62750,48375" +) +tg (CPTG +uid 627,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 628,0 +va (VaSet +font "Verdana,8,0" +) +xt "56700,47500,61000,48500" +st "clk60MHz" +ju 2 +blo "61000,48300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +) +*114 (CptPort +uid 629,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 630,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,49625,62750,50375" +) +tg (CPTG +uid 631,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 632,0 +va (VaSet +font "Verdana,8,0" +) +xt "56700,49500,61000,50500" +st "clk75MHz" +ju 2 +blo "61000,50300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*115 (CptPort +uid 633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 634,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,51625,50000,52375" +) +tg (CPTG +uid 635,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 636,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,51500,54200,52500" +st "en10M" +blo "51000,52300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*116 (CptPort +uid 637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 638,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,50625,50000,51375" +) +tg (CPTG +uid 639,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 640,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,50500,54200,51500" +st "en50M" +blo "51000,51300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*117 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,49625,50000,50375" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 644,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,49500,54200,50500" +st "en75M" +blo "51000,50300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*118 (CptPort +uid 645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,53625,62750,54375" +) +tg (CPTG +uid 647,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 648,0 +va (VaSet +font "Verdana,8,0" +) +xt "56800,53500,61000,54500" +st "pllLocked" +ju 2 +blo "61000,54300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*119 (CptPort +uid 649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 650,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49250,47625,50000,48375" +) +tg (CPTG +uid 651,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 652,0 +va (VaSet +font "Verdana,8,0" +) +xt "51000,47500,55600,48500" +st "clkIn100M" +blo "51000,48300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 654,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "50000,47000,62000,55000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 655,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 656,0 +va (VaSet +font "Verdana,8,1" +) +xt "50400,57000,54100,58000" +st "Lattice" +blo "50400,57800" +tm "BdLibraryNameMgr" +) +*121 (Text +uid 657,0 +va (VaSet +font "Verdana,8,1" +) +xt "50400,58000,52200,59000" +st "pll" +blo "50400,58800" +tm "CptNameMgr" +) +*122 (Text +uid 658,0 +va (VaSet +font "Verdana,8,1" +) +xt "50400,59000,53200,60000" +st "I_pll" +blo "50400,59800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 659,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 660,0 +text (MLText +uid 661,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-22200,26000,-22200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 662,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "50250,53250,51750,54750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*123 (Net +uid 669,0 +lang 11 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 20 +suid 21,0 +) +declText (MLText +uid 670,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,24600,20500,25400" +st "SIGNAL clk_sys : std_ulogic +" +) +) +*124 (HdlText +uid 671,0 +optionalChildren [ +*125 (EmbeddedText +uid 676,0 +commentText (CommentText +uid 677,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 678,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "34000,51000,40000,53000" +) +oxt "0,0,18000,5000" +text (MLText +uid 679,0 +va (VaSet +) +xt "34200,51200,39700,52400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 672,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "33000,50000,41000,54000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 673,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*126 (Text +uid 674,0 +va (VaSet +) +xt "33400,54000,36000,55200" +st "eb5" +blo "33400,55000" +tm "HdlTextNameMgr" +) +*127 (Text +uid 675,0 +va (VaSet +) +xt "33400,55000,34800,56200" +st "5" +blo "33400,56000" +tm "HdlTextNumberMgr" +) +] +) +) +*128 (Net +uid 700,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 21 +suid 23,0 +) +declText (MLText +uid 701,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26400,20500,27200" +st "SIGNAL logic0 : std_ulogic +" +) +) +*129 (Net +uid 753,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 24,0 +) +declText (MLText +uid 754,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,10200,17000,11000" +st "RxD : std_ulogic +" +) +) +*130 (Net +uid 755,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 14 +suid 25,0 +) +declText (MLText +uid 756,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16000,17000,16800" +st "TxD : std_ulogic +" +) +) +*131 (Wire +uid 378,0 +shape (OrthoPolyLine +uid 379,0 +va (VaSet +vasetType 3 +) +xt "53000,35000,56000,35000" +pts [ +"53000,35000" +"56000,35000" +] +) +end &29 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 383,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,33600,57300,35000" +st "clk_sys" +blo "52000,34800" +tm "WireNameMgr" +) +) +on &123 +) +*132 (Wire +uid 384,0 +shape (OrthoPolyLine +uid 385,0 +va (VaSet +vasetType 3 +) +xt "49000,71000,59000,73000" +pts [ +"49000,73000" +"59000,73000" +"59000,71000" +] +) +start &19 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 387,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,71600,54100,73000" +st "reset" +blo "50000,72800" +tm "WireNameMgr" +) +) +on &109 +) +*133 (Wire +uid 388,0 +shape (OrthoPolyLine +uid 389,0 +va (VaSet +vasetType 3 +) +xt "54000,69000,56000,69000" +pts [ +"54000,69000" +"56000,69000" +] +) +end &38 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,67600,55800,69000" +st "clock" +blo "52000,68800" +tm "WireNameMgr" +) +) +on &99 +) +*134 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "110000,47000,113000,47000" +pts [ +"113000,47000" +"110000,47000" +] +) +end &73 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,45600,117300,47000" +st "clk_sys" +blo "112000,46800" +tm "WireNameMgr" +) +) +on &123 +) +*135 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +) +xt "122908,43000,127000,43000" +pts [ +"122908,43000" +"127000,43000" +] +) +start &84 +end &90 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Verdana,12,0" +) +xt "124000,41600,133300,43000" +st "selSinCos_n" +blo "124000,42800" +tm "WireNameMgr" +) +) +on &96 +) +*136 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +) +xt "51000,65000,56000,65000" +pts [ +"56000,65000" +"51000,65000" +] +) +start &37 +end &23 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 408,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 409,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,63600,56400,65000" +st "logic1" +blo "52000,64800" +tm "WireNameMgr" +) +) +on &108 +) +*137 (Wire +uid 410,0 +shape (OrthoPolyLine +uid 411,0 +va (VaSet +vasetType 3 +) +xt "110000,43000,117000,43000" +pts [ +"110000,43000" +"117000,43000" +] +) +start &72 +end &86 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 412,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 413,0 +va (VaSet +font "Verdana,12,0" +) +xt "109000,41600,115900,43000" +st "selSinCos" +blo "109000,42800" +tm "WireNameMgr" +) +) +on &93 +) +*138 (Wire +uid 414,0 +shape (OrthoPolyLine +uid 415,0 +va (VaSet +vasetType 3 +) +xt "62000,65000,67092,65000" +pts [ +"62000,65000" +"67092,65000" +] +) +start &41 +end &46 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 416,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 417,0 +va (VaSet +font "Verdana,12,0" +) +xt "59000,63600,69300,65000" +st "resetSynch_N" +blo "59000,64800" +tm "WireNameMgr" +) +) +on &95 +) +*139 (Wire +uid 418,0 +shape (OrthoPolyLine +uid 419,0 +va (VaSet +vasetType 3 +) +xt "95750,41000,104000,43000" +pts [ +"95750,41000" +"99000,41000" +"99000,43000" +"104000,43000" +] +) +start &56 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 420,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 421,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,41600,108400,43000" +st "selSinCosSynch" +blo "97000,42800" +tm "WireNameMgr" +) +) +on &94 +) +*140 (Wire +uid 422,0 +shape (OrthoPolyLine +uid 423,0 +va (VaSet +vasetType 3 +) +xt "39000,31000,56000,31000" +pts [ +"56000,31000" +"39000,31000" +] +) +start &28 +end &14 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 424,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 425,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,29600,42200,31000" +st "RxD" +blo "39000,30800" +tm "WireNameMgr" +) +) +on &129 +) +*141 (Wire +uid 426,0 +shape (OrthoPolyLine +uid 427,0 +va (VaSet +vasetType 3 +) +xt "53000,37000,59000,39000" +pts [ +"53000,39000" +"59000,39000" +"59000,37000" +] +) +end &31 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 430,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 431,0 +va (VaSet +font "Verdana,12,0" +) +xt "52000,37600,60600,39000" +st "resetSynch" +blo "52000,38800" +tm "WireNameMgr" +) +) +on &97 +) +*142 (Wire +uid 432,0 +shape (OrthoPolyLine +uid 433,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107000,7000,115000,7000" +pts [ +"107000,7000" +"115000,7000" +] +) +start &67 +end &82 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 436,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 437,0 +va (VaSet +font "Verdana,12,0" +) +xt "111000,5600,115400,7000" +st "spare" +blo "111000,6800" +tm "WireNameMgr" +) +) +on &101 +) +*143 (Wire +uid 438,0 +shape (OrthoPolyLine +uid 439,0 +va (VaSet +vasetType 3 +) +xt "73000,43000,78250,65000" +pts [ +"78250,43000" +"77000,43000" +"77000,65000" +"73000,65000" +] +) +start &57 +end &48 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 440,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 441,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,63600,82600,65000" +st "resetSynch" +blo "74000,64800" +tm "WireNameMgr" +) +) +on &97 +) +*144 (Wire +uid 442,0 +shape (OrthoPolyLine +uid 443,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "87000,5000,91000,24250" +pts [ +"87000,24250" +"87000,5000" +"91000,5000" +] +) +start &63 +end &67 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 447,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,3600,88600,5000" +st "testOut" +blo "83000,4800" +tm "WireNameMgr" +) +) +on &100 +) +*145 (Wire +uid 448,0 +shape (OrthoPolyLine +uid 449,0 +va (VaSet +vasetType 3 +) +xt "107000,49000,113000,51000" +pts [ +"113000,51000" +"107000,51000" +"107000,49000" +] +) +end &75 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 452,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 453,0 +va (VaSet +font "Verdana,12,0" +) +xt "108000,49600,116600,51000" +st "resetSynch" +blo "108000,50800" +tm "WireNameMgr" +) +) +on &97 +) +*146 (Wire +uid 454,0 +shape (OrthoPolyLine +uid 455,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "95750,33000,103000,33000" +pts [ +"95750,33000" +"103000,33000" +] +) +start &62 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 459,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,31600,103200,33000" +st "ioIn" +blo "100000,32800" +tm "WireNameMgr" +) +) +on &98 +) +*147 (Wire +uid 460,0 +shape (OrthoPolyLine +uid 461,0 +va (VaSet +vasetType 3 +) +xt "62000,31000,78250,31000" +pts [ +"78250,31000" +"62000,31000" +] +) +start &59 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 463,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,29600,69500,31000" +st "rxdSynch" +blo "63000,30800" +tm "WireNameMgr" +) +) +on &104 +) +*148 (Wire +uid 464,0 +shape (OrthoPolyLine +uid 465,0 +va (VaSet +vasetType 3 +) +xt "95750,39000,127000,39000" +pts [ +"127000,39000" +"95750,39000" +] +) +start &92 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 467,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,37600,126800,39000" +st "yOut" +blo "123000,38800" +tm "WireNameMgr" +) +) +on &102 +) +*149 (Wire +uid 468,0 +shape (OrthoPolyLine +uid 469,0 +va (VaSet +vasetType 3 +) +xt "39000,27000,78250,29000" +pts [ +"78250,29000" +"67000,29000" +"67000,27000" +"39000,27000" +] +) +start &58 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 471,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,25600,42100,27000" +st "TxD" +blo "39000,26800" +tm "WireNameMgr" +) +) +on &130 +) +*150 (Wire +uid 472,0 +shape (OrthoPolyLine +uid 473,0 +va (VaSet +vasetType 3 +) +xt "107000,5000,115000,5000" +pts [ +"107000,5000" +"115000,5000" +] +) +start &67 +end &81 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 477,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,3600,116000,5000" +st "LED2" +blo "112000,4800" +tm "WireNameMgr" +) +) +on &106 +) +*151 (Wire +uid 478,0 +shape (OrthoPolyLine +uid 479,0 +va (VaSet +vasetType 3 +) +xt "39000,48000,49250,48000" +pts [ +"39000,48000" +"49250,48000" +] +) +start &15 +end &119 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 480,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 481,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,46600,42800,48000" +st "clock" +blo "39000,47800" +tm "WireNameMgr" +) +) +on &99 +) +*152 (Wire +uid 482,0 +shape (OrthoPolyLine +uid 483,0 +va (VaSet +vasetType 3 +) +xt "95750,37000,127000,37000" +pts [ +"127000,37000" +"95750,37000" +] +) +start &91 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 485,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,35600,126800,37000" +st "xOut" +blo "123000,36800" +tm "WireNameMgr" +) +) +on &107 +) +*153 (Wire +uid 486,0 +shape (OrthoPolyLine +uid 487,0 +va (VaSet +vasetType 3 +) +xt "107000,3000,115000,3000" +pts [ +"107000,3000" +"115000,3000" +] +) +start &67 +end &80 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 490,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 491,0 +va (VaSet +font "Verdana,12,0" +) +xt "112000,1600,116000,3000" +st "LED1" +blo "112000,2800" +tm "WireNameMgr" +) +) +on &105 +) +*154 (Wire +uid 492,0 +shape (OrthoPolyLine +uid 493,0 +va (VaSet +vasetType 3 +) +xt "39000,73000,43092,73000" +pts [ +"39000,73000" +"43092,73000" +] +) +start &12 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 494,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 495,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,71600,43800,73000" +st "reset_N" +blo "38000,72800" +tm "WireNameMgr" +) +) +on &103 +) +*155 (Wire +uid 665,0 +shape (OrthoPolyLine +uid 666,0 +va (VaSet +vasetType 3 +) +xt "62750,41000,78250,48000" +pts [ +"62750,48000" +"70000,48000" +"70000,41000" +"78250,41000" +] +) +start &113 +end &53 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 667,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 668,0 +va (VaSet +) +xt "64750,46800,69350,48000" +st "clk_sys" +blo "64750,47800" +tm "WireNameMgr" +) +) +on &123 +) +*156 (Wire +uid 682,0 +optionalChildren [ +*157 (BdJunction +uid 692,0 +ps "OnConnectorStrategy" +shape (Circle +uid 693,0 +va (VaSet +vasetType 1 +) +xt "46600,50600,47400,51400" +radius 400 +) +) +*158 (BdJunction +uid 698,0 +ps "OnConnectorStrategy" +shape (Circle +uid 699,0 +va (VaSet +vasetType 1 +) +xt "46600,50600,47400,51400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 683,0 +va (VaSet +vasetType 3 +) +xt "41000,51000,49250,51000" +pts [ +"41000,51000" +"49250,51000" +] +) +start &124 +end &116 +sat 2 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 686,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 687,0 +va (VaSet +) +xt "43000,49800,46800,51000" +st "logic0" +blo "43000,50800" +tm "WireNameMgr" +) +) +on &128 +) +*159 (Wire +uid 688,0 +shape (OrthoPolyLine +uid 689,0 +va (VaSet +vasetType 3 +) +xt "47000,50000,49250,51000" +pts [ +"49250,50000" +"47000,50000" +"47000,51000" +] +) +start &117 +end &157 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 690,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 691,0 +va (VaSet +isHidden 1 +) +xt "44250,48800,48050,50000" +st "logic0" +blo "44250,49800" +tm "WireNameMgr" +) +) +on &128 +) +*160 (Wire +uid 694,0 +shape (OrthoPolyLine +uid 695,0 +va (VaSet +vasetType 3 +) +xt "47000,51000,49250,52000" +pts [ +"49250,52000" +"47000,52000" +"47000,51000" +] +) +start &115 +end &158 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 696,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 697,0 +va (VaSet +isHidden 1 +) +xt "44250,50800,48050,52000" +st "logic0" +blo "44250,51800" +tm "WireNameMgr" +) +) +on &128 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *161 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*163 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*165 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*166 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*167 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*168 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*169 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*170 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-2000,-2100,146984,79564" +cachedDiagramExtent "0,0,382266,76000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 760,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*172 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*173 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*174 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*175 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*176 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*177 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*178 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*179 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*181 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*182 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*183 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*184 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*185 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*187 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*188 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*189 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*190 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*191 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,7800,7400,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,9000,3700,10200" +st "Ports:" +blo "0,10000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,19800,5200,21000" +st "Pre User:" +blo "0,20800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21000,26000,23400" +st "constant ioNb: positive := 8; +constant testOutBitNb: positive := 16; +constant patternAddressBitNb: positive := 9;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,23400,9500,24600" +st "Diagram Signals:" +blo "0,24400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,7800,6400,9000" +st "Post User:" +blo "0,8800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,7800,0,7800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 25,0 +usingSuid 1 +emptyRow *192 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*193 (RefLabelRowHdr +) +*194 (TitleRowHdr +) +*195 (FilterRowHdr +) +*196 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*197 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*198 (GroupColHdr +tm "GroupColHdrMgr" +) +*199 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*200 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*201 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*202 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*203 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*204 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*205 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 534,0 +) +*206 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCosSynch" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 536,0 +) +*207 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_N" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 538,0 +) +*208 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 540,0 +) +*209 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 542,0 +) +*210 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 544,0 +) +*211 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 546,0 +) +*212 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 8,0 +) +) +uid 548,0 +) +*213 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 9 +suid 9,0 +) +) +uid 550,0 +) +*214 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 11 +suid 11,0 +) +) +uid 554,0 +) +*215 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 12 +suid 12,0 +) +) +uid 556,0 +) +*216 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxdSynch" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 558,0 +) +*217 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 15 +suid 15,0 +) +) +uid 562,0 +) +*218 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 16 +suid 16,0 +) +) +uid 564,0 +) +*219 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 17 +suid 17,0 +) +) +uid 566,0 +) +*220 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 18 +suid 18,0 +) +) +uid 568,0 +) +*221 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 19 +suid 19,0 +) +) +uid 570,0 +) +*222 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 20 +suid 21,0 +) +) +uid 702,0 +) +*223 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 21 +suid 23,0 +) +) +uid 704,0 +) +*224 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 24,0 +) +) +uid 757,0 +) +*225 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 14 +suid 25,0 +) +) +uid 759,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*226 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *227 (MRCItem +litem &192 +pos 21 +dimension 20 +) +uid 69,0 +optionalChildren [ +*228 (MRCItem +litem &193 +pos 0 +dimension 20 +uid 70,0 +) +*229 (MRCItem +litem &194 +pos 1 +dimension 23 +uid 71,0 +) +*230 (MRCItem +litem &195 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*231 (MRCItem +litem &205 +pos 0 +dimension 20 +uid 535,0 +) +*232 (MRCItem +litem &206 +pos 1 +dimension 20 +uid 537,0 +) +*233 (MRCItem +litem &207 +pos 2 +dimension 20 +uid 539,0 +) +*234 (MRCItem +litem &208 +pos 3 +dimension 20 +uid 541,0 +) +*235 (MRCItem +litem &209 +pos 4 +dimension 20 +uid 543,0 +) +*236 (MRCItem +litem &210 +pos 5 +dimension 20 +uid 545,0 +) +*237 (MRCItem +litem &211 +pos 6 +dimension 20 +uid 547,0 +) +*238 (MRCItem +litem &212 +pos 7 +dimension 20 +uid 549,0 +) +*239 (MRCItem +litem &213 +pos 8 +dimension 20 +uid 551,0 +) +*240 (MRCItem +litem &214 +pos 9 +dimension 20 +uid 555,0 +) +*241 (MRCItem +litem &215 +pos 10 +dimension 20 +uid 557,0 +) +*242 (MRCItem +litem &216 +pos 11 +dimension 20 +uid 559,0 +) +*243 (MRCItem +litem &217 +pos 12 +dimension 20 +uid 563,0 +) +*244 (MRCItem +litem &218 +pos 13 +dimension 20 +uid 565,0 +) +*245 (MRCItem +litem &219 +pos 14 +dimension 20 +uid 567,0 +) +*246 (MRCItem +litem &220 +pos 15 +dimension 20 +uid 569,0 +) +*247 (MRCItem +litem &221 +pos 16 +dimension 20 +uid 571,0 +) +*248 (MRCItem +litem &222 +pos 17 +dimension 20 +uid 703,0 +) +*249 (MRCItem +litem &223 +pos 18 +dimension 20 +uid 705,0 +) +*250 (MRCItem +litem &224 +pos 19 +dimension 20 +uid 758,0 +) +*251 (MRCItem +litem &225 +pos 20 +dimension 20 +uid 760,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*252 (MRCItem +litem &196 +pos 0 +dimension 20 +uid 74,0 +) +*253 (MRCItem +litem &198 +pos 1 +dimension 50 +uid 75,0 +) +*254 (MRCItem +litem &199 +pos 2 +dimension 100 +uid 76,0 +) +*255 (MRCItem +litem &200 +pos 3 +dimension 50 +uid 77,0 +) +*256 (MRCItem +litem &201 +pos 4 +dimension 100 +uid 78,0 +) +*257 (MRCItem +litem &202 +pos 5 +dimension 100 +uid 79,0 +) +*258 (MRCItem +litem &203 +pos 6 +dimension 50 +uid 80,0 +) +*259 (MRCItem +litem &204 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *260 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*261 (RefLabelRowHdr +) +*262 (TitleRowHdr +) +*263 (FilterRowHdr +) +*264 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*265 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*266 (GroupColHdr +tm "GroupColHdrMgr" +) +*267 (NameColHdr +tm "GenericNameColHdrMgr" +) +*268 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*269 (InitColHdr +tm "GenericValueColHdrMgr" +) +*270 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*271 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*272 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *273 (MRCItem +litem &260 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*274 (MRCItem +litem &261 +pos 0 +dimension 20 +uid 98,0 +) +*275 (MRCItem +litem &262 +pos 1 +dimension 23 +uid 99,0 +) +*276 (MRCItem +litem &263 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*277 (MRCItem +litem &264 +pos 0 +dimension 20 +uid 102,0 +) +*278 (MRCItem +litem &266 +pos 1 +dimension 50 +uid 103,0 +) +*279 (MRCItem +litem &267 +pos 2 +dimension 100 +uid 104,0 +) +*280 (MRCItem +litem &268 +pos 3 +dimension 100 +uid 105,0 +) +*281 (MRCItem +litem &269 +pos 4 +dimension 50 +uid 106,0 +) +*282 (MRCItem +litem &270 +pos 5 +dimension 50 +uid 107,0 +) +*283 (MRCItem +litem &271 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/symbol.sb new file mode 100644 index 0000000..153673a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/@so@c_ebs3/symbol.sb @@ -0,0 +1,1895 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 8 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 3 +suid 6,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 123,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 9,0 +) +) +uid 125,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 10,0 +) +) +uid 127,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 340,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 7 +suid 12,0 +) +) +uid 342,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 116,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 118,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 122,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 124,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 126,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 339,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 341,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *60 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*61 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 97,0 +) +*62 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 98,0 +) +*63 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*64 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 101,0 +) +*65 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 102,0 +) +*66 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 103,0 +) +*67 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 104,0 +) +*68 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 105,0 +) +*69 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 106,0 +) +*70 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\SoC_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "SoC_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:21:25" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "SoC_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\@so@c_ebs3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\Board\\hds\\SoC_ebs3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:21:25" +) +(vvPair +variable "unit" +value "SoC_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,25300,7700" +st "selSinCos_n" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62500,5600" +st "selSinCos_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "selSinCos_n" +t "std_ulogic" +o 4 +suid 1,0 +) +) +) +*73 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,19800,8700" +st "clock" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62500,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,6625,44750,7375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +font "Verdana,12,0" +) +xt "32600,6300,43000,7700" +st "spare : (1:17)" +ju 2 +blo "43000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,71000,8800" +st "spare : OUT std_ulogic_vector (1 TO 17) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spare" +t "std_ulogic_vector" +b "(1 TO 17)" +o 8 +suid 3,0 +) +) +) +*75 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,7625,44750,8375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,7300,43000,8700" +st "yOut" +ju 2 +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 152,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,61500,10400" +st "yOut : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "yOut" +t "std_ulogic" +o 10 +suid 5,0 +) +) +) +*76 (CptPort +uid 153,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 154,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 155,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 156,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,21800,10700" +st "reset_N" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 157,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,62500,4800" +st "reset_N : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 3 +suid 6,0 +) +) +) +*77 (CptPort +uid 163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,9625,44750,10375" +) +tg (CPTG +uid 165,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 166,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,9300,43000,10700" +st "LED1" +ju 2 +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 167,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,62500,6400" +st "LED1 : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +) +*78 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,10625,44750,11375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,10300,43000,11700" +st "LED2" +ju 2 +blo "43000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,62500,7200" +st "LED2 : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 6 +suid 9,0 +) +) +) +*79 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,11625,44750,12375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "Verdana,12,0" +) +xt "39200,11300,43000,12700" +st "xOut" +ju 2 +blo "43000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,62500,9600" +st "xOut : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "xOut" +t "std_ulogic" +o 9 +suid 10,0 +) +) +) +*80 (CptPort +uid 343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 344,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 345,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 346,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19200,11700" +st "RxD" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 347,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62500,3200" +st "RxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*81 (CptPort +uid 348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 349,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,12625,44750,13375" +) +tg (CPTG +uid 350,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 351,0 +va (VaSet +font "Verdana,12,0" +) +xt "39900,12300,43000,13700" +st "TxD" +ju 2 +blo "43000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 352,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,62500,8000" +st "TxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 7 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,30300,16000" +st "Board" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,32100,17200" +st "SoC_ebs3" +blo "26800,17000" +) +) +gi *82 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*83 (Grouping +uid 16,0 +optionalChildren [ +*84 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *94 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*96 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,10400,45200,11600" +st "User:" +blo "42000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,44000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 352,0 +activeModelName "Symbol:CDM" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/_buff._epf b/06-07-08-09-SystemOnChip/Board/hds/_buff._epf new file mode 100644 index 0000000..d74258b --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/_buff._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom buff_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/06-07-08-09-SystemOnChip/Board/hds/_fpga_beamer._epf b/06-07-08-09-SystemOnChip/Board/hds/_fpga_beamer._epf new file mode 100644 index 0000000..9f56918 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/_fpga_beamer._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@p@g@a_beamer/struct.bd +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/Board/hds/_lissajousgenerator_circuit._epf b/06-07-08-09-SystemOnChip/Board/hds/_lissajousgenerator_circuit._epf new file mode 100644 index 0000000..50730df --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/_lissajousgenerator_circuit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lissajous@generator_circuit/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs2._epf b/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs2._epf new file mode 100644 index 0000000..225d8cb --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs2._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom @so@c_ebs2/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs3._epf b/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs3._epf new file mode 100644 index 0000000..9430509 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/_soc_ebs3._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @so@c_ebs3/struct.bd +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/Board/hds/buff/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/buff/symbol.sb new file mode 100644 index 0000000..f9ad06a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/buff/symbol.sb @@ -0,0 +1,1107 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "buff" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "buff" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:01" +) +(vvPair +variable "unit" +value "buff" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,26310,5700" +st "buff" +blo "23910,5500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *59 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*61 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1352,888" +viewArea "-600,-3900,62412,40055" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *62 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *63 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 149,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/inverter/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/inverter/symbol.sb new file mode 100644 index 0000000..515ce1d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/inverter/symbol.sb @@ -0,0 +1,1092 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:09" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:09" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +optionalChildren [ +*58 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28750,6625,29500,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,28710,5700" +st "inverter" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/06-07-08-09-SystemOnChip/Board/hds/inverter@in/symbol.sb b/06-07-08-09-SystemOnChip/Board/hds/inverter@in/symbol.sb new file mode 100644 index 0000000..85e0913 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/hds/inverter@in/symbol.sb @@ -0,0 +1,1095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 98,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 101,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 44,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 47,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 49,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 51,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 70,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 45,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 53,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 57,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 59,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 61,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 63,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 65,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 67,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 43,0 +vaOverrides [ +] +) +] +) +uid 97,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 73,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 76,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 78,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 80,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 74,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 82,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 86,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 88,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 90,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 92,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 94,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 72,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverterIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverterIn" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:14" +) +(vvPair +variable "unit" +value "inverterIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +optionalChildren [ +*57 (Circle +uid 42,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,6546,23000,7454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21342,6625,22092,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,30510,5700" +st "inverterIn" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 127,0 +) diff --git a/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.bit b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.bit new file mode 100644 index 0000000..8ef7492 Binary files /dev/null and b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.bit differ diff --git a/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.mcs b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.mcs new file mode 100644 index 0000000..d8f4f37 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S1200E.mcs @@ -0,0 +1,30019 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C8006800000003E0C80048000008CA74D +:100020000C800380804307C90C000380000000009F +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A802BC21E +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000002000000000008A5 +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000400000000B8 +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000A00A000000000000000000001E +:1008300000000000000000000000000000000000B8 +:100840000000000000000031005100000000000026 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000A00000001200000000000000000086 +:1008C00000000000200800002200000000000000DE +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000002000000000000000000026 +:100AB0000000000000000000000100000000000035 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C00000000540118000000000000000000000077 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000001001000000000000000043 +:100CA0000000000000001001000000000000000033 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000010010000000051 +:100E90000000000000000000000010010000000041 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D00000000000000000200000000000000000ED +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000004000000000000000B9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000100000006 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000002000000000000A2 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E3000000000000000000000000000A002000000 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000020000000000000000000000000BB +:1026000000000000000000000000000000000000CA +:10261000000000000000800000000000000000003A +:102620000001000000000000210000000000800008 +:102630000000000000000000000201000000000097 +:10264000012000020000000080020000800200045F +:102650000102002281008000000000020902010046 +:102660000401000000008000080280000048010012 +:1026700040000101000200000001002000200001D4 +:102680000000010000020000000000000022000025 +:102690000000800000020000000080000000000038 +:1026A0000000000001000000000000000100000028 +:1026B0000000000000000000000000000000000119 +:1026C00000000000000000100000000000000000FA +:1026D00000000000000000000000000000000001F9 +:1026E0000000000000000000804000000001000029 +:1026F00000420000000100008042010004010001CE +:102700000142000000010000000200000000000083 +:1027100000000000000400000000000000040000B1 +:102720000000002000000008000000000000000081 +:102730000000000000020000000000000000000097 +:102740000401000000000000000000000000000183 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:102810000000000000000000000000000050000068 +:10282000B0000000000000000000000000000000F8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000C00000000000000000000000850000014 +:10286000900C0000040000000000000000000000C8 +:10287000000000000000000000B000000000200088 +:1028800000000000B0000000000000000000000098 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B00000000000000000000000003000000000E8 +:1028C00000000000000000000000000000100000F8 +:1028D00000000000000000000000000000000000F8 +:1028E00000000010900000000050000000000000F8 +:1028F0000050000090000000005000100000000098 +:102900000050000090000000000020000000800047 +:1029100000000000000000000000000800000400AB +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000020000077 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C00000000000000000000040000000000000C7 +:1029D00000000000000000000000001000000000E7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000001000C7 +:102A00000000000000000000500C00000E0000005C +:102A1000180000000000300000500000000000001E +:102A2000000C0C50000000000C30000800000000FA +:102A3000105C00501008000C080C00183800100042 +:102A40000000000C080808000C7C00000E0030009C +:102A5000000C105000300800310008040A0C00007F +:102A6000000800300010000800000C00000C0006F8 +:102A7000060080000038000000001000000C00007C +:102A80000000100000000000000000000C0000002A +:102A9000000000000C00000000000000000000002A +:102AA000000000000000000C00000000000000001A +:102AB0000000000000000000000000000000000016 +:102AC0000080000000000A08000000000000000074 +:102AD0001E100000000C0080001C0000000C000014 +:102AE0001E1C0C000C0C000C081C0000000C0080CC +:102AF0000E0C000000000E0000000E50000D8000C3 +:102B000000000606000C000000000036060000066B +:102B1000000001000600000000000600060800009A +:102B200000000000000600000C0C06060600000075 +:102B30000080000000000004000000000000000011 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF0000000000000000000000080000000000054 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D20000080000000000000000000000000000023 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000300000000000000000000000000000B3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000001000000000000000000000000000A2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000001000D2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000002000000000000000000000D1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000020000000000000000000A0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000400000000000000000000000000020 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:1031400000000000000000000000FFFFFFFF000083 +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B00000000000000047477722000000000000E8 +:1031C0000000000000005353FFFF5353F5055F500C +:1031D00035352727BB1133B35353FFFFFFFFFF7F65 +:1031E000C3C6C9C3C9C3C9C3C9C3C9C3A9A5A9A5FE +:1031F000C9C3A9A5A9A5A9A5A9A5A9A5A9A5A9A521 +:10320000A9A5C9C3C9C3C9C3A9A559A999A599A500 +:1032100039C939C999A539C939C98787778887874D +:10322000878787878787778877888787878787874C +:10323000778887878787878787877788878787873C +:1032400087877788878787878787FF80FF8055D51F +:1032500055D5FF8055D50F8F33B30F8F33B30F8FF5 +:10326000FF800F8FFF80FF80FF80FFFF7722FF80AE +:10327000FF800000000000000000FFFFFEFFFFFFD6 +:10328000FFFFFFFFFEFFFFFFFFFFF30335355F0A80 +:103290005F0A0000000033557744FF7F4BF095A68E +:1032A00095A665A965A995A695A665A965A995A6FA +:1032B00065A965A965A965A995A665A965A965A971 +:1032C00065A965A965A963C965A965A963C963C934 +:1032D00063C965A963C965A939C959A999C399A5DC +:1032E00059A959A999A539C959A959A98787778889 +:1032F000878777888787878777887788878777889A +:10330000878787877788FFFF0F55FFFF550F0000DE +:1033100000000000000000000800000000000000A5 +:10332000000000000000000000000000000000009D +:103330000000000000000000000000000000800409 +:103340000C00000000000000000000000000000071 +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A0000000000000000000000090241E0000004B +:1033B0000000000000000000000090040C009024B9 +:1033C0001E0000000C0090241E0090241E0080248B +:1033D0000E0000A01E28DCA45EB8DCA45EB8DCA44D +:1033E0005EB9DDA45EB8DDA45EB9DDA45EB9DDA47E +:1033F0005EB9DDA45EB9DCA45EB8DCA45EB9DDA470 +:103400005EB9DDA45EB8DCA45EB9DCA45EB8DAA463 +:103410003EB8DAA43EB8DAA43EB8DAA43EB8DAA4DC +:103420003EB8DAA43EB8DAA43EB8DAA43EB8DAA4CC +:103430003EB8DAA43EB8DAA43EB8DAA43EB090248E +:103440001E0090241E0090241E0090241E00902434 +:103450001E0090241E0090241E0090241E000000D8 +:103460000C0090241E00000000000000000000007E +:103470000C0080240E0000000C0080240E000000D0 +:103480000C0000000C000000000000000C0000A078 +:103490001E28DDA45EB9DDA45EB9DDA45EB9DDA49D +:1034A0005EB9DDA45EB9DDA45EB9DDA45EB9DDA4BC +:1034B0005EB9DDA45EB9DDA45EB9DCA45EB9DDA4AD +:1034C0005EB8DCA45EB8DDA45EB8DDA45EB8DDA4A1 +:1034D0005EB8DDA45EB9DDA45EB9DCA45EB9DDA48E +:1034E0003EB8DAA43EB8DAA43EB8DAA43EB8DAA40C +:1034F0003EB8DAA43EB8DAA43EB000000C000000EA +:103500000C00000000000000000000C018000000D7 +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A0000000000000000000000000000000902467 +:1035B000120000000000902412000000000090247F +:1035C000120000000000802402009024120000007D +:1035D000000000000000908400284C8040B84C801F +:1035E00040B84D8040B84C8040B84C8040B94D80C8 +:1035F00040B84D8040B84C8040B94D8040B84C80B8 +:1036000040B84C8040B94D8040B84D8040B94D80A5 +:1036100040B84D8040B84D8040B94C8040B94D8095 +:1036200040B84C8040B94D8040B84C8040B94D8086 +:1036300040B84D8040B94D8040B84C8040B94C8076 +:1036400040B000000000902412009024120090244A +:103650001200902412009024120000000000D8A450 +:1036600072A9DFA472B9DFA472B9DFA472B9DFA4B2 +:1036700072B9DFA472B090241200000000000000B4 +:1036800000000000000000A01228DDA452B8DDA454 +:1036900052B8DDA452B8DCA452B9DCA452B8DCA400 +:1036A00052B9DDA452B8DCA452B8DCA452B9DCA4EF +:1036B00052B100A01228DDA452B9DDA452B8DCA496 +:1036C00052B1000000000000000080240200000051 +:1036D0000000000000008024020000000000000044 +:1036E0000000000000000000000080240200802490 +:1036F000020000000000000000000000000000C008 +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000272777440000000033554747BB112E +:1037B000DD113F0CCF0355335533FFFFFFFFCF0320 +:1037C000DD110F3355330F330F33BB119999C3C339 +:1037D000C3C3C3C333CC55AA9999C3C399999999C3 +:1037E000999955AA999955AAC3C333CC55AA9999C1 +:1037F000999933CCC3C39999A5A5A5A599999999E8 +:10380000A5A59999C3C3A5A59999A5A59999C3C338 +:103810009999A5A599999999A5A5A5A5C3C399997C +:10382000999955AA99999999A5A599999999C3C3CF +:1038300055AAC3C39999FFFFDD1155D555D555D567 +:10384000FF8033B333B30F8F33B333B333B3FEFFE0 +:1038500000C05555FF000F0F33330F0F3333FF00F8 +:103860005555FF000F0F3333AAAA0F8FFF80553332 +:1038700027273F0C3F0CCF033F30FFFF55AA9999F4 +:103880009999999933CC55AA99999999999933CC41 +:10389000999999999999A5A533CC999933CC33CC19 +:1038A000A5A599999999FFFFC3C3A5A5999955AA6B +:1038B00033CCC3C355AAFFFFDD11FFFF7744FFFFE1 +:1038C000FFFFFFFF7744FFFF3535FFFFFFFFFFFFDF +:1038D000F303FFFF1B1BFFFFDD11FFFF7722FFFF3D +:1038E000FFFFFFFFFFFFFFFFAF050000000000002C +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:103910000000000000000000000080000002000025 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:1039800000000000000000000000402000D0000007 +:1039900000000000000000000402C020084C0000ED +:1039A00004028000280480030402C02008640B0085 +:1039B0000403C02004A300000000C0200092000007 +:1039C0000402C020048A00000000C0200020000083 +:1039D0000000C020001000000000C020000800000F +:1039E0000000C02000A200000000C02000820000F3 +:1039F0000000C020004400000000C02000000000C3 +:103A00000000C020001000000000C02000000000E6 +:103A10000000C020000000000000C02000000000E6 +:103A20000000C020004400000000C02000C60000CC +:103A30000403C02098C60000040340209C410000FD +:103A40000402402008C00000040300001401000428 +:103A5000040340200481000004034020249100005E +:103A600004020000040000000000800000200000AC +:103A70000403C020201000000403C0204008000000 +:103A80000403C02004A300000403C020249300000A +:103A90000403C020448B20000403C0200020260023 +:103AA0000403C020201000000402C0200008000011 +:103AB0000001C02000A300000000C020009201000F +:103AC0000402C020048A00000000C02000824400DC +:103AD0000001C020004403000402000008000000B0 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B00000000000000000000000080000000000134 +:103B10000020000000000000000000000000000085 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000200000000025 +:103B80000000000000000000000081200000000193 +:103B90008008810000000001000481200000000175 +:103BA0002010C120800000012810802000000001AA +:103BB0000004812000000001800880200000000136 +:103BC000001080200000000100048020000000019F +:103BD000000880200000000100108020000000018B +:103BE00000608020000000010060802000000001D3 +:103BF000006080200000000100048020000000011F +:103C000000608020000000010060802000000001B2 +:103C100000608020000000010060802000000001A2 +:103C20000060C12080000001106041208000000081 +:103C30001040012000000000104041008000000002 +:103C40001000412080000000281041248000000066 +:103C50004000010000000000800080000000000122 +:103C60000000C120800000014004C120800000014C +:103C70008008C120800000012810C12080000001C0 +:103C80004004C120800000018008E1208000000184 +:103C90002810C9208000000140048320000000019A +:103CA0008008E02080000001081080200000000152 +:103CB0000004832000000001800880200000000133 +:103CC0000060C02080000001406003000000000090 +:103CD00010000000000000000000000000000000D4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000003000000000000000000000000B0 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000002231 +:103D70000007000000000000000000000000000339 +:103D800000230300E30300230003002303030023B5 +:103D9000C2000300C70000C1C713030347010000AE +:103DA00047470408030300C300400007440300C062 +:103DB000C30000034407000003004600C30000C026 +:103DC00000000022C00700C002000000E32200C380 +:103DD00000004403000700030322C0070200002282 +:103DE00000000007C20000070000E222C00300003C +:103DF00003001007470300000000C0004707000051 +:103E0000C00000074400000702C00000002000229C +:103E1000C0E00000C0100313478300070000001338 +:103E2000C6C701000000032047070000000003C1CF +:103E3000C980C803C10500EA03C0C300820500E3CE +:103E400082CFC6008303000000000003C40000000E +:103E500000000000000300E347814400CB000001A4 +:103E600003E3E207C803009303C1C707032200036B +:103E700001D3E322C700009303C1000302070160DE +:103E800004C0E223C30000E31303E3220103004361 +:103E90000007C300E30300000107E222D300004053 +:103EA0000307C300001003C00362C007C000000086 +:103EB00000C04700E000000003CB820303220300A0 +:103EC000C00500000000000000000000000000002D +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000080000000000000000BA +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F7000000000080100408004080000000100006B +:103F80000901000014804101200000114000410897 +:103F900004010040202000000980001100210100E0 +:103FA000020800040900010800000040200004008D +:103FB00018800004000001001000001004000180BF +:103FC0000800000C0000000802000008200010009B +:103FD0000500000000800100140000000001040042 +:103FE0001280000020000100088000000201100083 +:103FF000020000001080000000000000041000001B +:10400000010000021204000001004000040A020046 +:104010000000000004024000000004004000000016 +:104020008000042120020001A00000002020A00048 +:104030008400000284000408A001000000001401B4 +:104040004000000000000500088002200803000076 +:104050002080000141100400000800020503080050 +:104060002100000141100800208000020403000824 +:104070000500402004820400308000100001080088 +:1040800041800021404018000480004040000400AE +:1040900010000020200018000100082021208200CC +:1040A0001000000200900800020000402008840870 +:1040B0002000040088000000000000000000000054 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000200000000000000005D +:10416000000000000000000580001C0008001E8206 +:10417000F40C000801085EA20100010101004023C7 +:1041800004001CC00500535A7A0100804390480582 +:1041900080380CC005726088101400C001F08002E5 +:1041A00080902C80030C4CC0810C0C4009F04E0F09 +:1041B000003100000A744200F4381C8101384008C4 +:1041C000F4880E201170800FF4F0000005F2400218 +:1041D000F48000A00138400884F000E0011040089D +:1041E0008430008101384E08A4321480028800080F +:1041F0007078300001900004102800A00AB0AECF03 +:104200007979000441100E870111000441600842D1 +:1042100001040BEFFB00000000000000003800006C +:1042200000001400007000000470000E00C042CFB7 +:104230000300000400006CCF2F805684A2F0400FD2 +:10424000F4841C800260604FF4841C000240404FE4 +:10425000F400008005340003609400000112404F18 +:10426000F6140080513040081C000C800930406872 +:10427000F40014800230000374F002800240200732 +:10428000F40410400190400A240016003100400060 +:1042900070110080330040228400000802004005B5 +:1042A000849028C00118000000B02C0040000000DD +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000007003800200E +:10435000010000000000000000000C1020000140DF +:10436000000000BBE81000000210068401300C07BA +:1043700002000082000C0080011002070200000110 +:10438000E8F2000760410100001D0C0770010223E4 +:1043900001180003009000260100004780082A4011 +:1043A000003909026040149001380000E03428C050 +:1043B00004710007E038143601400002E0382C8810 +:1043C00003300017E0382C20117000000038010085 +:1043D00005F100000088A8000570010720102910D1 +:1043E00005014084073B002013B040148602008082 +:1043F00001380E27E208A82C53E11E87E330902CE9 +:1044000003031882000010C72100000020000000F4 +:10441000E00000000000010700081000E0001000AC +:10442000000018070008000B00000207033894CCB6 +:10443000A1380007E01028C000680E07E8102840E7 +:1044400000480007E8001C80013040B3603A1C209F +:1044500023940207E00028800138000700381E80FE +:10446000033500C00000A98001600200E60280B0B0 +:1044700001704E07600021C001B00607000200C0B5 +:10448000027000A030B0010004000090E1005C0068 +:1044900000680000E0001C20017040838180000063 +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:104530000000000000000000000000000000001C5F +:10454000000800C00000000000000000000030086B +:10455000802381000040000000404012005022A44F +:1045600000088030400000CC4080081008288100FE +:10457000002C80A000004029800E220000008180D5 +:10458000000C1008040080030001A0800481412C6D +:104590000841205000000200400403000001840490 +:1045A000001028804041002000161001040A00007D +:1045B0000000200000020042200400044140204886 +:1045C0000000100010402042004008004140200040 +:1045D000201000001405200000402450008001023B +:1045E0009038008040000488000800000608193C4C +:1045F00007381000042010140500014008001000C6 +:1046000008030400080020000123800000000000CF +:1046100002040A02000283280A08004C24006000F9 +:104620000682042089801000882228A110082020FA +:10463000000409A0000900200032100401208102BA +:104640001020114400000308800800A40088000026 +:10465000001C100400122000000800200142821CEF +:1046600000060001020E000C008A0020020430281F +:1046700080080020008A0004088800800042200092 +:1046800000220A2001C0100480140200000900006A +:1046900004A3000020000000000000000000000053 +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:1047400000000F00E000140700085E3010B80040C1 +:10475000E0011E47073C1DC0C0000088130900404F +:104760000870862FFB0100C00170232228008028DA +:104770000284828B70390020028508C7E740401010 +:10478000C40000073228000E106800DC105000C87A +:10479000C960AC0C083A0020C002225260BA11076E +:1047A00000010900C77A0C31C0002E0076386C0376 +:1047B00002004C00C04C0803D0044C80C701000329 +:1047C000D0040E42002A80080030010008000C0EC0 +:1047D0000878008C850110C801700000008000C7B7 +:1047E000C10C0623000080E8A00101040A000C2788 +:1047F000F0000000E00000060008000000000000DB +:10480000000000002030000000040604027440068E +:10481000040018206328002000384050E0000CC03D +:10482000C1040FB2E1308CC0C1103637E80000CEB1 +:104830000130022CE03414262072100488AC0006EB +:1048400000613287E7B829260030828FF071401767 +:10485000D10400828000008009302E0FF0786C2097 +:1048600000B00882E0B840C001600007600100802D +:1048700005700000662C1C00027008040000122065 +:104880000000000C80001C000281000000000000FD +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000007000000000000000080 +:1049300000000000000002077038003400002E0064 +:1049400072020018C0120E48012C1500A00100E3ED +:10495000017812C001F80647713800D40BF8024202 +:1049600021001E060000002360002C00C000A047AC +:1049700078292C06F00000071081AC06000416E030 +:1049800015082C40C805020470020A40C04000C34C +:1049900001280D2600002200043A0AA0F20100427C +:1049A00051000A00200000870438D20F2000004286 +:1049B00001008A00200080C801021206203042084F +:1049C00084000026A0001207203031C6CBF8511217 +:1049D0007E808081F9600E83010800C00308431CBB +:1049E000000C00AF010000000000142000000000D7 +:1049F00000383C00D038000028011600D0000644E2 +:104A000000300086F200088078500CC0031000448B +:104A100070B800C6CB1A0200787900C01BE200848F +:104A200079B80040193C0C077801000700984087CE +:104A3000750800C00738400FF40010C50380002738 +:104A4000702A00A80A384012A01400880B780002CF +:104A500070082C8A03000022242A0048D900400054 +:104A6000000000000004000F0010010FD0006000E3 +:104A700070505C010070000000307C80C13000008C +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000040000000000000000000000000000F2 +:104AC00000000000000000000000000000000000E6 +:104AD0000000000000000000000000000000800056 +:104AE00000000000000001000000000000010000C4 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000100000000A4 +:104B10000000000000010000000000001001200063 +:104B20000000100010001000100000100000100025 +:104B300000002121104000005400040040400020EB +:104B40001430200150382020244122100000302051 +:104B50002030803010200050102040102212200001 +:104B60002030403008203000004801012050200053 +:104B700000200010001202201420102120002030FC +:104B8000002100002208283132211000302220317B +:104B9000201000012202302030514000300030202F +:104BA0003020403122102000303000828050898037 +:104BB00021203100905000203090009081300290F0 +:104BC000308112001130000030320010000021004E +:104BD0000021101000400000000004000010000040 +:104BE000010012401020000010200012901002124C +:104BF0000222200002120002003000009042110048 +:104C00001032022081190010343000301A091202CB +:104C10003038000100184040802800001A18800039 +:104C200000281210920080013448000048128000D1 +:104C30000240104440510008804000048021005090 +:104C4000044040000000200004400000200022003A +:104C50001002000002000102000000300002000407 +:104C600020010002005000000100000000000002CE +:104C70000000010000000000000000000000000033 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000001000000000000000000000000000A4 +:104D000000000000000000000000000000000000A3 +:104D100030000000000030002000390000000020BA +:104D20000000300000000200100000000000000041 +:104D300000000000003000091020000000000016F4 +:104D40000050100000300020300090200000903A09 +:104D500000106002A0300036A4003000A500000062 +:104D600000300002A0000626A03000001010100045 +:104D7000900000300000000000B0143010503000EF +:104D800010300020003000000000200030300050C3 +:104D90003000100030009010701020000030100023 +:104DA00000309000000020001020050010100800C6 +:104DB0000020002030000000201000000020003003 +:104DC000A000A0A0A0AC0010A040006000A0A0A087 +:104DD000A010A0400060004020A0000000000000E3 +:104DE00010300030006000009030B0000030000053 +:104DF000300030100010000604140030002000368F +:104E0000100430400030000094140D500060A0A643 +:104E10001014A000B00020362050000C000000004C +:104E2000403000A200200000203000000000000000 +:104E30009005003000000010000000120010100665 +:104E4000B0000000001060000000000000002430EE +:104E500090007050000000000020A00500500000ED +:104E600040100000000000100010000000000000D2 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E9000000000000000000000040000000000000E +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000030000000000000000800AA +:104ED00000000000000800000000000000000000CA +:104EE0000000000000008000000000000000000042 +:104EF00000000008000000000000000000080000A2 +:104F000000000A000008000000000000020040004D +:104F1000400040004E0000400000008840028040F9 +:104F200000000080801080A0000030882010A010B9 +:104F3000002C1C000A0010200A1010100000600055 +:104F4000002020600C0C20700000201650103070E3 +:104F50000A2064540012207060206E705E4C0C2099 +:104F600068AE013802702E20000C8E000C60A02864 +:104F70000D080000009C2E288E020A5C000C2EA05A +:104F80000208AE400000202A01002E3C0000AE4284 +:104F90000030D0AD200024200C0038C020000020BC +:104FA000102001202C3E0C2A0A280C000C300E0E7A +:104FB0003E38000A00001400001900000000000044 +:104FC0000000000000000A0004000800003000405B +:104FD0000A00000C1E500460000C00600C08006801 +:104FE000603E006010040CE0601C04301D04006092 +:104FF000301600300C040C683010000C01003E101C +:10500000301E0E600C0010000A100C001C00165D13 +:10501000342E00500050100004A0001C8E044606E0 +:105020003600007020140020040026F0007030804C +:105030000C80860030001C0000880E000C00080068 +:10504000000E810000000E04100C0004001000602F +:10505000080000060080000C00000C8000800000AA +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000001000000000008000017 +:1050900000000020000000000000000000000000F0 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000001000000000000000000000D0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000100000000000000000A0 +:1051000000000000000000000000000040008000DF +:1051100000000020400000000000000040100000DF +:10512000000000000000003000000000000000004F +:10513000000020002000200000008000000000008F +:1051400000402000000000008000200080300000AF +:10515000000020200000002000100020000000249B +:1051600000000000000000200000002000000000FF +:10517000000000000000000000A08020802000004F +:1051800040000000021080202000001000000000FD +:10519000001000400020000000000000004000005F +:1051A00000000000200000000000000000000000DF +:1051B000000000000000000040000000001000009F +:1051C00000000000000000000000000000000000DF +:1051D000000040000000000000000020000032003D +:1051E000400000000000020040000000003002000B +:1051F00000000000020002000000000000000000AB +:1052000001000000020C0000000000000200000489 +:105210000000400400000000000000000230000018 +:10522000000000000000000000000000000000007E +:105230000000000000000000000000000000A000CE +:10524000000020000000000000000000000000003E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:105270000000000000000000000000080000000026 +:10528000000200000000000000000000000000001C +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000010000000000000002000000000006D +:1053100000900000200000000000000000000000DD +:1053200000000000800080000000000080001020CD +:10533000004000208020802000000020900080207D +:10534000100000200000808000000080000020800D +:1053500000000081008000000080008000000081CB +:1053600000800000000000000000000000601080CD +:105370001080000030302000040014800000200065 +:10538000000000000000002000800000000000007D +:1053900000200000000000000000000000000000ED +:1053A00000000000000100200000000110000000CB +:1053B000000000010000000000001080000000005C +:1053C000000000002000200000000000000000009D +:1053D0000080B000300000000000100030000040ED +:1053E00000000000000000000000000000000000BD +:1053F000000000000400000004020400000000019E +:105400000400000100001000000000000000000087 +:105410000400000000000000000000000000000088 +:10542000000000000000000000000000000000007C +:1054300000006000000040000000000000000000CC +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:1054600000000000000000000000000000008200BA +:1054700000000001000000000041001000000000DA +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000100000EC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000010BC +:1054E00000000000000000000000000000000000BC +:1054F000000000000000000020000010001020004C +:10550000000000000020000020000000000000104B +:10551000000000000000000000000000100010006B +:1055200000000020000000200000002000000020FB +:1055300000000020001000202000000000000000FB +:1055400020102000000000002000000000000000EB +:10555000200000000000000000000000000000002B +:10556000200000000000C0000030200000101400E7 +:10557000300020100000100000100000100000009B +:1055800000000000100000000000002010001020AB +:1055900000000000100000C22000002000000020D9 +:1055A00000000000001000200000000000002000AB +:1055B000000020000000000020000000000010009B +:1055C000000000100000200000000000000020008B +:1055D0000000000000100008000000200000000093 +:1055E0000000000000000008000082000000040825 +:1055F00000000000000000000000000800000000A3 +:10560000200000000010000000000000000000006A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:1056900000003C3C3C3CC3C33C3C666666666666B8 +:1056A00066666666666666666666CC33CC33AA5501 +:1056B000CC33CC33CC3300000000000000000000ED +:1056C00000000000000000000000000000000000DA +:1056D0000000FFFFFFFF00000000FFFF7744000015 +:1056E0000000AF053F30FFFFFFFFFFFFFFFFFFFFA1 +:1056F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F3A +:1057000059AA95A695A695A695A695A695A695A6F9 +:1057100095A665A965A995A665A965A995A665A992 +:1057200065A995A695A695A695A665A993C663C9EC +:1057300093C693C693C693C693C693C699C399A5B9 +:1057400039C939C999C399A559A999A539C959A977 +:105750008787778877887788878787878787878706 +:1057600087878787778877888787FFFFFFFFFFFF17 +:105770002727FFFF2727FFFF5F50FFFF7722FFFF4D +:105780005353FFFF1B1BFFFFFFFF00000000000043 +:105790000000FFFFFFFFFFFFFFFF00000000335589 +:1057A000FFFF535335350F8FF3035F503535535398 +:1057B000F505FF80FF80FF7F4BF059AA59AA59AA2F +:1057C00059AA39CC59AA39CC59AA39CC59AA39CCB9 +:1057D00059AA39CC39CC39CC59AA39CC39CC59AAA7 +:1057E00039CC99A539C999C399C399C359A999C302 +:1057F00059A9778877887788878787877788778890 +:105800007788778877888787778877888787878773 +:105810007788878787877788778887877788778863 +:105820008787FFFF0F33FFFF47470000000000009E +:105830000000000018000000000000000000000050 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:1058800000000000000048804CA84C804CB84C80C0 +:105890004CB94C804CB84C804CB94D804CB94C80C4 +:1058A0004CB84D804CB84C804CB00000000000005B +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000008C020000000000004A +:1058D0000C000000000090241E0080240E00802494 +:1058E0000E0080240E0080240E0080240E008024F0 +:1058F0000E0000A01E28DDA45EB9DDA45EB9DDA403 +:105900005EB9DDA45EB9DDA45EB9DDA45EB9DDA437 +:105910005EB9DDA45EB9DDA45EB9DDA45EB9DDA427 +:105920005EB8DCA45EB8DCA45EB8DCA45EB8DCA41F +:105930005EB8DDA45EB8DCA45EB8DDA45EB9DDA40B +:105940005EB8DDA43EB8DAA43EB8DAA43EB8DAA464 +:105950003EB8DAA43EB8DAA43EB8DAA43EB0802459 +:105960000E0000000C0000000C0000000C00000005 +:105970000C0000000C0000000C0080240E00000051 +:1059800000000000000080240E0000008C020000D7 +:10599000000090040C0090241E0090241E0090240F +:1059A0001E0090241E0090241E0000A01E28DDA4CE +:1059B0005EB9DDA45EB9DCA45EB9DCA45EB9DCA48A +:1059C0005EB9DCA45EB9DCA45EB8DCA45EB9DCA47C +:1059D0005EB8DDA45EB8DDA45EB8DCA45EB8DCA46D +:1059E0005EB9DCA45EB9DAA43EB8DAA43EB8DAA4A3 +:1059F0003EB8DAA43EB8DAA43EB8DAA43EB8DAA4D7 +:105A00003EB8DAA43EB8DAA43EB8DAA43EB8DAA4C6 +:105A10003EB8DAA43EB000000C0000000C0000000C +:105A200000000000000000C00800000000000000AE +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000A01228DDA4BB +:105A900052B8DDA452B8DCA452B9DCA452B9DCA4DB +:105AA00052B9DCA452B9DCA452B9DCA452B9DCA4CA +:105AB00052B8DCA452B10000000000A01228DCA4FF +:105AC00052B8DDA452B9DCA452B9DDA452B9DCA4A9 +:105AD00052B8DDA452B9DCA452B8DCA452B9DCA49B +:105AE00052B8DDA452B10000000080240200008002 +:105AF00000284C8040B94D8040B94D8040B94D8060 +:105B000040B94D8040B94D8040B94D8040B84D807E +:105B100040B94D8040B84D8040B000A01228DDA4AF +:105B200052B8DDA452B9DCA452B10000000000005C +:105B30000000000000009024120080240200802455 +:105B400002009024120000000000802402000000E7 +:105B5000000080240200802402009024120090247F +:105B6000120000000000000000000000000080247F +:105B700002000000000000000000802402008024D9 +:105B80000200802402000000000080240200802423 +:105B9000020090241200908400284C8040B84C8071 +:105BA00040B94C8040B94D8040B84C8040B94D80E0 +:105BB00040B84C8040B94D8040B94D8040B84D80D0 +:105BC00040B94D8040B94C8040B94D8040B94D80BE +:105BD00040B84C8040B94D8040B94D8040B84C80B1 +:105BE00040B94C8040B94D8040B94C8040B84D80A0 +:105BF00040B94C8040B84D8040B94D8040B84D8090 +:105C000040B10000000000000000000000000000A3 +:105C10000000000000000000000000C000000000C4 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000FFFF26 +:105C800033CC55AA33CC55AA9999C3C3A5A59999E4 +:105C9000A5A5C3C3A5A59999A5A5999955AA99990B +:105CA0009999999933CC99999999FFFFFFFFFFFF33 +:105CB000C3C333CCC3C3A5A5999933CCA5A5A5A5CA +:105CC000999933CCC3C3A5A5999933CC33CCC3C31D +:105CD000999933CCC3C3A5A59999CF030F33FFFF7F +:105CE000FFFFFFFF5A5A33CC6666666666665A5AED +:105CF0005A5A5A5A5A5A66666666666666665A5AA4 +:105D00003C3C5A5A5A5AAA55CC33AA55CC33FFFFB9 +:105D1000A5A555AA33CC55AAA5A5C3C355AAFFFF6F +:105D2000AF05FFFF7744FFFFBB1133B333B3FFFF72 +:105D3000FFFFFFFFFFFF33B333B3FFFF3535FFFF37 +:105D4000FFFFFFFF4747FFFFFFFFFFFFFFFF0F8F33 +:105D5000FF8033B355D5FFFF3355FFFF550FFFFFCE +:105D60000F55FFFFFFFF0000000000000000FFFFD5 +:105D7000FFFFFFFFFFFFFFFFFFFFFFFF3F0CFFFFE6 +:105D8000FFFFFFFFFFFF55D50F8FDD11A5A533CC1A +:105D9000C3C3999955AA33CC9999A5A5999933CCA0 +:105DA0009999A5A5999933CC9999A5A5999955AA99 +:105DB00033CCA5A5999955AA9999C3C3A5A555AA68 +:105DC0009999A5A599999999A5A5999955AA999946 +:105DD00033CC9999A5A59999A5A5999955AA999969 +:105DE000C3C3999955AA9999C3C3999955AA9999E1 +:105DF00033CCA5A59999FFFF5F0AFFFFAF05FFFF11 +:105E00000F55FFFF335500000000000000000000A8 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000004030000AC0100000403000067 +:105E80008C01000004030000C800000004030000AF +:105E9000A8000000040300008800000004020000C5 +:105EA00004000000040300002401000004030000BB +:105EB000440180080403C020002000190403C0200E +:105EC000201080280403C020400800100402C020D5 +:105ED0000CCE03000402C0202CD600000000C0201D +:105EE00000E600000000C020004C00000000C020C0 +:105EF000005400000000C020006400000000C0202A +:105F000000A200000403C020249308000403C02062 +:105F1000448B00000000C020002000000001C020D1 +:105F2000001049000403C020400800000402C02003 +:105F300004A203000402C02024926B340403402016 +:105F40004481000004030000000000000000000085 +:105F500000002001000180009022000000000000ED +:105F600000000000040340201080080004028000AC +:105F7000002004000403C020201000000403C020FF +:105F8000400000000000C02000CE00000000C02043 +:105F900000D600000000C02000E600000000C02085 +:105FA000004C00000000C020005400000000C02091 +:105FB000000000000000C02000A200000000C0207F +:105FC000009200000000C020008A00000000C020F5 +:105FD000002000000000C020001000000000C020D1 +:105FE000000000000000C020000000000000C020F1 +:105FF000008200000000000000000000000000001F +:10600000000000000400000000000000000000008C +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:1060600000000000000041008000000040004100EE +:106070008000000028004100808000008000410076 +:106080008000000040004100800000002800010066 +:10609000000000002000410080000000400041009E +:1060A000800000008000C120800000012810C1286D +:1060B000800000014004C128800000018008812880 +:1060C00000000001800891200000000100048020F1 +:1060D0000000000100108020000000010008802066 +:1060E000000000010004802000000001001080205A +:1060F000000008010010C720800000014004E120DA +:106100008000000180088020000000010010C020F5 +:10611000800000014004E12080000001800881200F +:106120000000000120108320000000010004612015 +:10613000800000008008410080000000280000006E +:10614000000000000000E0028000000100000000EC +:1061500000000000000047218000000010088100BE +:10616000000000012000C920800000014004C1207F +:106170008000000180608020000000010008802075 +:1061800000000001000480200000000100108020B9 +:1061900000000001000880200000000100048020B1 +:1061A000000000010060802000000001001080203D +:1061B0000000000100048020000000010008802091 +:1061C0000000000100108020000000010004802079 +:1061D00000000001006080200000000100608020BD +:1061E000000000010060000000000000000000004E +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:106240000000000000000000000000000000000549 +:106250000000000000000005000200C000800023D4 +:10626000C90200C800C08023D30200C800C0800358 +:10627000D70200C800C08003C41300C80080C01348 +:10628000C401000000C00003C40000CAC482C600EC +:10629000420000C800C08000C00000EAC380C340C4 +:1062A000C4C04483C3CB0303C6C0E087C0E201007F +:1062B000C7EA000000C00022C007004047C000231A +:1062C00000C3E000C0E20022C000E0C00340C00004 +:1062D0000000004300E2C000000044C00AC3000008 +:1062E0000000000300E24400E000C7C110430300C7 +:1062F00013C0E05347E30103C00044400000E00343 +:1063000000E04440E380E000C0D8E3E346E3D3008C +:106310008000E00044C0C000E2C3E0234423C30087 +:1063200002070322E3EB030080800005C880000021 +:1063300000E300050400000000001301C01300008A +:10634000C000000000000000000000072293CB22E4 +:10635000C30300230003C700030303C903CBCB839C +:10636000014700000413C3C30223000004000022FD +:1063700003000062C3E00003000003C30200440303 +:10638000000003C302C04400000003C302C3440072 +:10639000000003C3004000030000034302C0E0000C +:1063A000000003C302C0440000000022E30000001C +:1063B000E3000200C3000200C3000022E307030061 +:1063C00047000022E30000C0C0220022E30003C017 +:1063D000000006030800002203070000A0000000E0 +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:1064400000000000000800000000000000800020A4 +:1064500000020000408000000020800080800000DA +:1064600000028001008000000002800100000000A6 +:106470000002800000010003C00300010000008052 +:106480000082020003000000002080008000010064 +:10649000888230200084000A300821010484000230 +:1064A000120220C0200000100028012088020020D5 +:1064B0000804010000110040100400001040000416 +:1064C00009201000010000280004100001000090C5 +:1064D000040C00000100000800100200020020115E +:1064E000002802000104002040044108010040216E +:1064F00041414008C0040020080200801200400210 +:10650000041010008440000000201080040A0000E5 +:1065100000405080840240004000200080020000C3 +:106520000002000000020000000000000000000166 +:106530001200000010000000000000000000000435 +:1065400004020400A001000000014000040804014E +:106550000401400A41200000000030050500408091 +:106560000000010020000220120401080000200C9D +:10657000050000080100200C051000800000200C20 +:10658000059000000100200C0120040801002028D3 +:10659000051004000100200C05100080000002001E +:1065A0000880000002000480300004003000020077 +:1065B0000800028008000200080000041200020027 +:1065C0000800201002000408020200000902100066 +:1065D00004000000000000000000000000000000B7 +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:1066300000000000000000800260800884800000EC +:10664000013C00C8F138000008000C00F00000C058 +:10665000C102000000000081C10200000000000132 +:10666000000000000000000000000000000000002A +:10667000000000800100000E000000800002800089 +:106680000100008F01008180030000900100940050 +:10669000000000800180022000000040F008B000EF +:1066A000A0700003600000C059B81E02200000C0A6 +:1066B00009B8000360041CC829B800036002000088 +:1066C0000110400884002D082110911F1A071000A6 +:1066D00002B000836180008109900804F4285CC93D +:1066E000010D0008F0001CC601E80083F002000064 +:1066F00042B0400BE40615C001804C0814128CD83F +:106700004108000710110080D208000000170000A7 +:106710000060509000101298D101A02000480000A5 +:10672000C108000000840E410200000000000000CB +:106730000000000000F00E000000002050000000EB +:10674000208080086050148003880E858500C04139 +:1067500042004C0F64120C5408F00604F07800005C +:1067600009F106008410028007390600F0040C804D +:1067700003816600F0311C000639060084384C8025 +:10678000D2B10603F4382D0000414000F00C5094C3 +:106790000318400F800014804260400F844800942A +:1067A0000280400F84480D940138400884483054DA +:1067B00006E04008842C0C000A316003F4381446CB +:1067C0001AC8010004003107024800000000000060 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000C0006800023E +:1068300000000180073040C2E740008001080100ED +:1068400000001CC02400000000001CC02400002028 +:1068500002001C000000002002001C0000000000DC +:106860000000000000000000000000000000000325 +:1068700008381C000200000000000C00000000802E +:10688000033429000000000000102804000000A0CC +:1068900029800000E008000360341C800130000201 +:1068A00020000080013000036000A88931314013CE +:1068B000603A1C20039400000038882933950004B6 +:1068C00080081E2001304073623A0090019000A0C1 +:1068D000000010C0C4000280200D68A00110888054 +:1068E000E00814C051310003600801C000100C0022 +:1068F0001008014104310007F0B000C0046000003E +:106900008038020000002E078000000620000204EC +:10691000E21100000A002C0B0038280000000000E3 +:10692000000000000000000000300C00000000002B +:1069300002100000003000070012020000302F4556 +:10694000E00012010060100713A829000008100BD6 +:10695000C00120804318100BE0802C4040305003D1 +:106960008000128045301200604010904160100B92 +:10697000E040125044101000008008C04140000068 +:10698000633811C004140605600012D004600000D2 +:10699000C3001400023800050300159005640007C9 +:1069A0000300004000B00600E0002D8041640610A6 +:1069B000C2001E4800400000E60010000000000079 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A1000000000000000000000000028800200A02C +:106A2000000800000000000000001100088108803C +:106A300000082000001010002083000000101454F3 +:106A400021000000001010040000200008101004B5 +:106A500000002000000800040000000009100002EF +:106A60002000001000301040200000000990008439 +:106A7000200000000390000400000000011004004A +:106A800020000000002D00002808001C401110000C +:106A90001210083C6011045010040016402908042C +:106AA00044040008903210444000000800180450CC +:106AB00040040100202414C000020020181400002B +:106AC0000081047900900810000010300480081044 +:106AD00002000212150210A0008200000000042033 +:106AE0000206C300001000000040001900E0008012 +:106AF000000C00000000010000028100339000083B +:106B000041010100204000000000000000040000DE +:106B1000000000000000000000000000000006006F +:106B2000000000004040040020000028000A01A0EE +:106B3000000081112020000094408168A4000040E2 +:106B400000008001202800000000800420800080D8 +:106B500000400006100410800420002C800658001D +:106B60000440000420000000002000140004002164 +:106B7000010A0024142E008004103000108002004E +:106B80009042800204800E200004800084A0040053 +:106B90000000012084800600000010020080240014 +:106BA0000103000024B00200400400200006008021 +:106BB00010000000000000000000000004000000C1 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C0000000000000000000000000000000000077D +:106C1000E000000000000000000000000000000094 +:106C200000300100C00000000838411604011000C7 +:106C30000800000600011000080000060001030023 +:106C400008002006000012000000000600002C00D2 +:106C50000800000000002C00080000060001EC0401 +:106C600008481ED300002C200030280300003C20E0 +:106C700000401C0000002C60C039010600184000D4 +:106C80008011210000000C8711304C80A1380007D2 +:106C9000E002022320604C80E10000C331040C0AB2 +:106CA00080030CC010680C0C870820863178000027 +:106CB00082130CD0D5700004900960830B0480040B +:106CC00096310E8001B002ACF8BC080011610000E2 +:106CD000000C20800530480026402D070000008071 +:106CE000E1000C000A400000E00D1000000040230D +:106CF00080080004400002000000001000000002B4 +:106D0000004800000000000000000000000000003B +:106D1000000C200000000000063C1C000070000772 +:106D2000F050140000184C14880280EBC1000004DD +:106D3000603C00C0F1194210063A6000D0014213D5 +:106D4000664A34A013B51207E6803C0020012E07E6 +:106D5000E6803CC621714254808E8ECB0970029031 +:106D600087012CC0537101136002C0C7C3710E406C +:106D7000C0101420F264000000108007C2E0080078 +:106D800000108027E20801070010B027A00A0903BD +:106D9000025010071074000000101C0002000005D3 +:106DA00086301D08020000000000000000000020E6 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E000000000007700000000000000000001600F5 +:106E10000000000000001400000200000002142620 +:106E20000000000000000007000000000000000754 +:106E3000000202000000000700020000000000073E +:106E40000000000000000000000000000000002F13 +:106E500000000007002809870500000000703C07BB +:106E60000000008003280107000000408100142F6B +:106E70000090000080020000240409901380018A21 +:106E800021318007000114C1A3381D977300000150 +:106E9000CA380100000011A0A260000C90008C0014 +:106EA0000AC0000B00143D810370008070008E0A40 +:106EB000C038000470704C96F104014210302DC5AA +:106EC0000B000000042C00800300020F7829142F0F +:106ED000D000002300113D80A1000000002C200004 +:106EE0000000004000002825C0320000000000968D +:106EF000510080000028011A00000000000000007E +:106F00000000000000780000000000000078010090 +:106F100000800007700A200000B00C4410A8000098 +:106F2000C0780E04107800800A90030000023C46EE +:106F3000E888010360282C8FE13083077801284F0F +:106F40000888000770002CC00BF801C411001DC098 +:106F5000ABF84100A0042C26E8F802401230018A68 +:106F60000AB0124004000120C100004202001C01CE +:106F7000B101204062081C80B232024062001C0055 +:106F80001040621700101C20B060000C00000116B9 +:106F9000C000000000004C06C0000000000000001F +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000004000000040000000000011 +:107000001040402000202040004020204020104020 +:1070100000403020402000200040002020200020A0 +:1070200010201000302004010020000004000000A7 +:10703000100010001000000000000000000004001C +:1070400040000000040104000000000010000200E5 +:1070500000000404042422240000002004001A205C +:1070600020000000540028202400040001003030DB +:1070700000100000900080000040202090000424B8 +:1070800000300020804010243120200020302020BB +:1070900004300020802000204840240400400012DA +:1070A00048400054004188020000000000440000F5 +:1070B0000804001080210018300000400020082043 +:1070C000080080400010300028104020802480817B +:1070D000313010200030141010000030200040002B +:1070E0000040202180500001101102100000040017 +:1070F00000000000341000041400200400002400EC +:107100000200240024204024100424001020010048 +:107110002840305020303032020204024102201058 +:1071200024400431505410303210045220083000F2 +:10713000221004440034500084140800400420202D +:1071400088020C008804001012008C10820A0808C3 +:10715000020420024000301202001800400A002001 +:107160000200020000003410020040029A00802059 +:1071700002124080001080021210404010301000B7 +:107180000030300000021400010000000400000084 +:1071900002000000000000000000000000000000ED +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000003000000000000000000000005F +:10720000000010000000300000000000000000003E +:10721000000010001000100010000000000000002E +:1072200000000000000000000000000060000000FE +:10723000000000000000000000000020000000002E +:107240003800700E0000000000006005000A000019 +:107250000A6908000000000D10000400790D0035D7 +:1072600000000D1E0010054030AC09009D700D009F +:1072700030A90975051A000500AC30003805000575 +:10728000001A780E0A30050E057A006090256959BB +:107290000040003008000412000C04000009000245 +:1072A00059000006080900120800000C1A0000BC72 +:1072B0000008087008091072A00808004810A8A06B +:1072C0000000904A20101000080D3030306000108F +:1072D0000050900000606000090000700000600035 +:1072E000000E0000000000000C20000030004000F4 +:1072F0007000000000000800700000001C007050CA +:10730000000D00007000101900190D100800000099 +:10731000000000000840603230303030300D000096 +:1073200009583000A909000AB030305A403060A036 +:107330000CA00000740474A27CA50010300400149A +:10734000000400100D0A09520C04101009703C10C2 +:1073500070080000500900000D00101D0D007000A5 +:107360001C09092C001020000030201D342A0E04B6 +:10737000301020300D2E0000006A20301000600018 +:107380009E30B00000A0005000000000000000008F +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000200020000030002D +:1073F000002030A0200000000020301020000030CD +:107400000020001030008030003000003000000804 +:10741000003000000000000000000000000000003C +:10742000020000000040008032008040000840E27E +:10743000025080400100048002008044000424B413 +:1074400002004011540048A0220080400400301087 +:10745000247084800840203000700000200130003B +:1074600050100010207000000000001020110A20B1 +:107470003530007010300100001050101030000046 +:10748000008030705000000400000100500910041A +:10749000510E005000040070008000503108800040 +:1074A0000000801140110020000090100001210018 +:1074B00000003120300420240410002001000400CA +:1074C0000000003010003000001010383A100008A2 +:1074D000000808000E00000000000E00210000005F +:1074E00040002004000020004800214034002020FB +:1074F00001003440000048011030213000002028F5 +:10750000855800042C0C20000130002C3034003051 +:10751000245000043E001000340000000010300031 +:107520001000000031000000100100003054006025 +:1075300004011471345006806404000413530004E1 +:107540000000008216000000005008000050247067 +:1075500000003000340010B10000B0200000B08CFA +:107560000170303150000080002030000068048637 +:107570008C000600048600000C00000000000000E3 +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000040203B +:1075F00000000000000040200000000000004020CB +:1076000000008000000040208010000000000020EA +:1076100000000000000040600000000000200200A8 +:1076200040100000000000004210422C0204420002 +:107630008010000002004200001001000020003015 +:1076400000000000800000600050000000002020CA +:1076500020002000000020000000000000002000AA +:10766000000000000000200000302000001020007A +:10767000800000000000A030000042040000023042 +:1076800000040000320000000000000800000000BC +:1076900000040000000002000034A000000002000E +:1076A00000040000000000000200000000000000D4 +:1076B0000040000000000000000002000040000048 +:1076C000003020000000000000000000000000006A +:1076D00000000000000000000000000000000000AA +:1076E000000000000200020000200000020002046E +:1076F00040200200000000000000300000000000F8 +:1077000000000030200000080004000C000000040D +:107710000000000000000000000410000000221023 +:107720000010000032000000000000040000000013 +:1077300000040000021002000000000400008000AD +:10774000000000000000000400000000000C000425 +:107750008000000080002004000000000000000005 +:107760001080000000000080010000000000000008 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000200000000000000020000000000059 +:1077F0000000200000002000000020002090000079 +:107800000000000000000000000020100000000048 +:1078100000800000100000000000000010002002A6 +:1078200000003000200000003000300008000C0094 +:107830000000000000000000900000000000002098 +:107840008002802040004020800080200000002036 +:1078500080008020000000208000002000B0002078 +:1078600080008020200000000000A0000000040034 +:107870000000000000000000000000000000000206 +:107880000000000000000000000004000000600094 +:1078900000000400000000000000000000000000E4 +:1078A0000000000000200000000000000020000098 +:1078B0000000000000808000000000008000000048 +:1078C00000000000000000000000000000000000B8 +:1078D0000000000000000000040004800000000020 +:1078E00004000480208004000000000000008000EC +:1078F0000000000000000000400100010000000244 +:107900008002002100000000000000200000802014 +:1079100000008490009000000000000000000000C3 +:107920000002000004000000040104000000000048 +:107930000401100000010000000000010000000030 +:1079400004020001200000002401000100000000EA +:1079500000000000800000000000000004000000A3 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000040B7 +:1079900040000000000000000000000000000000A7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D0000000000000000010000000000000001087 +:1079E00000000000000000100081000000000050B6 +:1079F0000220000000000010000000100000001035 +:107A00000000001000000000001000000008000846 +:107A100000100010000800000010001020080000F6 +:107A20000410001000100010008100000000001081 +:107A30000091002000000030000000200000002025 +:107A400000000020000000200000002000001020A6 +:107A5000002010200010402100000008000000104D +:107A600000200018000000100008000010000000B6 +:107A700003000000000040010008000000000000BA +:107A800000180101000000000008000000000000D4 +:107A9000000000C2100000000000000010001000F4 +:107AA00000200000000000000300C00100001000E2 +:107AB00000000000000000000000001000000000B6 +:107AC0000000001020001000000000000000000076 +:107AD000001000000000000800000010100000006E +:107AE0000000001000000000000000100400000072 +:107AF000000800000000002000000000000000203E +:107B000000080020000000200020000010080000F5 +:107B100000020008040000080408001000100080A3 +:107B200042000008041000000000000000000000F7 +:107B3000000000000400000000000000040010002D +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC000000000000000000000000F550F33474781 +:107BD0004747000000004747BB11000000000000BD +:107BE0000000000000000000000053530F5500008B +:107BF00000000000000000000000CF03772200001A +:107C00000000000000000000000000000000FFFF76 +:107C1000FFFFFFFFFFFF000000000000000000006A +:107C20000000FFFFFFFFFFFFAF050000000055331E +:107C30007744FFFFFFFF00000000FFFFFFFFFFFF93 +:107C4000DD11FFFF5F0AFFFF1D1DFFFFBB11666611 +:107C5000666666666666AA55CC333C3C66665A5ACA +:107C60006666666666666666CC336666CC3366664E +:107C7000AA55CC333C3C0000000000000000FF5F30 +:107C80005F50FFFFFFFF0000000000000000000049 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC0000000000000000000000000000000FFFFB6 +:107CD000FFFF330F550F000000003F300F5500002D +:107CE0000000000000000000000000000000FFFF96 +:107CF000FFFFFFFF3F30FFFF77440F8F0F8F000024 +:107D000000000F8F0F8F0F8F0F8FFFFF3F0CFFFFB4 +:107D1000BB11FFFF1B1BFFFF1D1DFFFF1B1B0000F7 +:107D20000000FFFF7722000000000F8F0F8FFFFF82 +:107D3000FFFF00000000FFFF3F30FFFFFFFFFFFFDE +:107D40001B1BFFFFFFFF0000000000000000000001 +:107D5000180000000000000000000000000000000B +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB000000000000000000000000000000090240F +:107DC0001E0090241E000000000090241E000000F1 +:107DD00000000000000000000000000000009024EF +:107DE0001E000000000000000000000000009024C1 +:107DF0001E00000000000000000000000000000065 +:107E0000000080240E0080240E000000000000000E +:107E100000000000000080240E0000000C000000A4 +:107E2000000000000C0000008C0200000000802414 +:107E30000E0000000C0000000C0000000C00000010 +:107E40000C0048804CA84C804CB94D804CB84C80FC +:107E50004CB94D804CB84C804CB94D804CB84C80DE +:107E60004CB84D804CB94C804CB000000000000074 +:107E7000000000000C0080240E0000000000000044 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC000000000008C0290241E000000000090249E +:107ED0001E00000000000000000000000000000084 +:107EE000000000008C0200000C0000000C00902438 +:107EF0001E000000000090241E0090241E000000C0 +:107F00000C0000000C0000000C0000000C00000041 +:107F10000C000000000000000C0000000000902495 +:107F20001E0080240E000000000000000C008024D1 +:107F30000E0000000C0000008C0200000000000099 +:107F4000000000C008000000000000000000000069 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE00000000000000000000000000000008024ED +:107FF00002000000000000000000000000008024DB +:10800000020000000000000000000000000000006E +:1080100000000000000000000000802402000000BA +:108020000000000000000000000000000000000050 +:10803000000000000000488040A84C8040B84C8000 +:1080400040B94C8040B94D8040B84D8040B94D801A +:1080500040B94C8040B94D8040B94D8040B080243B +:1080600002008024020000000000802402000000C2 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A0000000008000284D8040B94D8040B84D80D0 +:1080B00040B84D8040B84D8040B94C8040B94D80AB +:1080C00040B84D8040B94C8040B94D8040B100006F +:1080D00000000000000000000000000000000000A0 +:1080E00000000000000000000000902412000000CA +:1080F0000000000000000000000000000000000080 +:10810000000000000000488040A94D8040B84D802C +:1081100040B94C8040B84D8040B84C8040B84D804C +:1081200040B84D8040B84C8040B94D8040B0000010 +:1081300000000000000000C000000000000000007F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E0000000FFFFFFFF0000000000000000000093 +:1081F0000000FFFFFFFFFFFF5533000000000000FD +:108200000000000000005533550FFFFF5F50FFFFD7 +:10821000FFFFFFFFCF03FFFF5F0AFFFF5533FFFFA5 +:108220003355FFFF550FFFFF550F33CC33CCC3C37E +:1082300033CCC3C3999933CC55AA55AAC3C355AA05 +:10824000A5A5A5A59999C3C39999A5A59999A5A5EA +:10825000C3C3FFFFFFFFFFFFFFFF00000000FFFFA2 +:10826000FFFF000000000000000000000000000010 +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:10829000000000000000FFFF3C3CA5A55A5A5A5AB6 +:1082A0003C3C666666666666666666665A5A6666DA +:1082B0006666AA55CC33AA55AA55CC33AA55AA55F9 +:1082C000AA550000000000000000FFFFDD11FFFFC5 +:1082D000DD11FFFF330FFFFF0F33FFFF0F3355D5C6 +:1082E00033B30000000000000000FFFF1D1DFFFF72 +:1082F000550F00000000FFFFBB1166666666AA55B9 +:108300006666AA5566666666CC33AA55CC33666641 +:10831000CC33AA55CC335A5A6666CC33AA55666616 +:108320003C3C0000000000000000000000000000D5 +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:108390000000000000000000000080000026000037 +:1083A000000040200040000000004020005000007D +:1083B000000000000000000000008000002200001B +:1083C000000000000000000000008000000A000023 +:1083D00000000000000000000402000020008022D5 +:1083E0000000C02000080900040200000C0080000A +:1083F00000008000000600000000000000000000F7 +:1084000000010000C0000000000080000004000027 +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:108440000000000000004500040300001401E003E8 +:108450000001800090060000000000000000000005 +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000008000000E00000000800000060000B8 +:1084B00000000000000000000000000000000000BC +:1084C0000000000000000000000080000024000008 +:1084D0000001800000230000000040200090000008 +:1084E000000000000000000000000000000000008C +:1084F00000000000000000000000800000080024D0 +:10850000000040200080800000008000000000008B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:10858000000000000000000000008000000000016A +:108590000000002000000000000800200000000093 +:1085A000000000000000000000008000000000014A +:1085B000000000000000000000008000000000013A +:1085C00000000000000000000000090000000000A2 +:1085D00000008021000000010008010000000000F0 +:1085E0008000801000000001000400000000000076 +:1085F000000060008000000000008010000000010A +:108600000004000000000000000000000000000066 +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:108630000000000000000000000049008000000071 +:108640001000C400800000010020000000000000B5 +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000008000000000010000800000000001D8 +:1086A00000040000000008000000000000000000BE +:1086B0000000000000000000000080000000000139 +:1086C0000000C00080000001080000200000000041 +:1086D000000000000000000000000000000000009A +:1086E0000000000000000000000080000000000109 +:1086F00000000020000000000010801000000001B9 +:108700000020000000000000000000000000000049 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:108780000000000000C0000044C000000000E00045 +:108790004400000000000000000000000000000095 +:1087A00000C0000000000000000000000000C00049 +:1087B00000000000000000000000000000000003B6 +:1087C000C7C000000300000002000022E3000023F5 +:1087D000000300000303000300000000000300008A +:1087E0000000000000000001001340010013000021 +:1087F00000030000000000000000A00000000000D6 +:108800000000000000000000A000000000000000C8 +:108810000005000000000000A000000001000000B2 +:108820000000020504800008A00000000400C80049 +:108830000080C40000C0CA020282000200C0000022 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:10888000000000000000400040C300000040000065 +:108890000000030000C00000000000000000000015 +:1088A0000000000000000000000004400040000044 +:1088B0000000040000C0C0001380000000000000A1 +:1088C0000040A00000C020002000200000000000A8 +:1088D0000000040000000008000300000400000085 +:1088E00000C300000800E007021000000400C00000 +:1088F0000010000000000000001000000000000058 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000001000010000000040000E2 +:1089800000000000000000000000000000000000E7 +:1089900000000000011000000000000000000000C6 +:1089A00000001000010000000000000000000000B6 +:1089B000000000010402000040000000040002006A +:1089C0000880000000010000040100080080008011 +:1089D0000008000000000002001000010000010576 +:1089E000000400800008000000800000000000007B +:1089F0000000000000000000001000200000000047 +:108A000000000020000000000000002000102000F6 +:108A100020001000000002002004000000040000FC +:108A200000100000000200000002028002820180AB +:108A30000010000000000000000000000000000026 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A7000000000000000000000000180011801005B +:108A800000400000008008400010000000000000CE +:108A90000000000000000000000000000000004096 +:108AA0000040010000000000001010000002000063 +:108AB0000100000000200000000400000004000489 +:108AC0000004000000000000000000000080000022 +:108AD00000000000009000000040000004000000C2 +:108AE00000001080011004000000000410000400C9 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000F0000000004040078E +:108B700000020000010000030000004000000000AF +:108B8000000000000000000078000000000000006D +:108B90000000000000000000043800000038000061 +:108BA000000000000000000000802C000000000019 +:108BB000009008000000000000000000000000001D +:108BC000000001000000008001000000300080086B +:108BD00000502C040900001000801104D000411046 +:108BE000048040000100202004020806C138422011 +:108BF00080B8144001E200C00380006001908880CA +:108C000079380040C1B000008000026001B9000066 +:108C10000050000030BA000000060C000000000305 +:108C200000F800000000000000000000000000004C +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C5000000000000000000000000000A000000074 +:108C6000000000082000000000042C0000000000AC +:108C700004040007000008800078000000802CA099 +:108C80000170000000000000000000000000012052 +:108C90000000000000408110203A080821000180F7 +:108CA0006178140100000002000410000040401030 +:108CB0000404124742604000043021400038004064 +:108CC00007000C000030162070140100003802006C +:108CD000000A0C0000000200003000800102880041 +:108CE00000000040C2000000000000000000000082 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D6000000800070038002003000007003800203A +:108D700001000000000000000000000020300000A2 +:108D800000140000000000000000000000000000CF +:108D900000000000000000000000000000000000D3 +:108DA000000000000048220000000080033C00009A +:108DB0000000000000001000000000CB05000000D3 +:108DC00000000C03003C28480200020000380008A4 +:108DD00000000E80E3380C00020008820010120828 +:108DE00002008280030001200170808005081C01C0 +:108DF00000F0904200001C000070800000001C4148 +:108E0000C070800000001C06407000000038120096 +:108E100000000014003828000000000000000000DE +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E500000000000000014012000000000000000DD +:108E600000000000E0001400004408A068001400A6 +:108E700000300C8001000C00000000000000000029 +:108E800000000E80010000000008000320104200D6 +:108E900002080080610000000802000200000200D9 +:108EA0000008000000380000000100070600000074 +:108EB00000000000000030000080008083081000E7 +:108EC000001402000770280000000800007028004D +:108ED000C20003020000300000000000000000009B +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50008000000000000004000000000000001479 +:108F600000080040000000000000000000000000B9 +:108F70008029000000000000000000000000000048 +:108F800000100C000088000000000000000000003D +:108F900000000100000000000000040000000000CC +:108FA000042000000000000000000000000000009D +:108FB000020000000000100000000000000002009D +:108FC000000428000000000008062800000000300F +:108FD000000014012000C20008110000000200007F +:108FE000020102404000010800110202242020007A +:108FF00002002220001020100800826004000000FF +:109000000000100000000010002000100000000010 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:1090400000000000200000000800D00228000000FE +:10905000000020001400000080207C000400430079 +:10906000100210000404048000001000040000003E +:1090700000000000000020000802000100000100C4 +:109080004011024020082000450118000042001451 +:10909000000000A0000001000042000000020000EB +:1090A0002090000000008100000068011400010011 +:1090B000402900040401060000002C00000051209B +:1090C00000080000040082200200000020000000D0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:1091400000000000E02C0000004080070034000018 +:10915000000080000000000000000000000000008F +:1091600000000000E000000000000000000000001F +:1091700000000000000200000000000000000000ED +:1091800000000000000C0D000000000000801C002A +:1091900000000080013C00000000000000801C0076 +:1091A0000000000700000010000000000040422006 +:1091B00002002E0000780C000000228063100000E6 +:1091C0000208000200000000008126C00300080021 +:1091D00000003200103800C8040000000000000445 +:1091E00000010E000600101C00000C80230080000F +:1091F00000000000000C2000000000180038108063 +:10920000030000000000000000000000000000005B +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:109230000000008004000000000000800100000821 +:10924000200001600040150320000100EE15100809 +:109250002870000060008100200000000000000075 +:10926000200100000000000000000E80E328000044 +:10927000000000006302000000840E808C031400D4 +:109280003085001AC000002003080000E0800000C4 +:109290000200008307300000000108000600000003 +:1092A0000080086070006000E0702E000E009400E6 +:1092B000C000008701009C14C001000406002808BB +:1092C000E0000000000000000000000000000000BE +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:1093300000000000000000007001000000C880056F +:109340000000000000000005000000800200000096 +:1093500000000000000000007039000000780000EC +:1093600000000000000000000000140000480000A1 +:1093700000000000000000000000100000000000DD +:10938000000011000000002000380000F000000084 +:109390000028010000008080000000801102000011 +:1093A00000080D860100000000382000F0000000D9 +:1093B000002C001AF04000000000000800300040BF +:1093C0000000400000000E80710201804100000397 +:1093D00000008000000000800150AC80F10000001F +:1093E00000012C14020400000078000000000004BA +:1093F000000A010002000000000000000000000060 +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:1094300000000000000203400008900FA00002009E +:1094400020381000A0F8000078780C003078100068 +:109450000000000900000000000000000000000003 +:1094600000B40000F00800106400000000000000DC +:109470006000010000000000040000000100000086 +:1094800000303405F10080000000160000000800E4 +:1094900000000000E000004024383C0800E000002C +:1094A000000000000001002000142100C2000C8018 +:1094B000002C00000002000000000000000000007E +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:1095300000010000000000500000000000000000DA +:10954000000010000000000000000000000000000B +:109550000010003010000000000014210200000084 +:10956000004112000002800408004200040A0004C6 +:1095700048000422204000000001000004014000D7 +:1095800020010021108180804001000000000000C7 +:1095900021000000212000208020028000800000A7 +:1095A00010018000008000200000803004001020A6 +:1095B000000020208000812040209100240424000D +:1095C0000084000000044424020C100000142884CD +:1095D000018C00482804043000848A000908080A25 +:1095E00000408800000000000201000000000400AC +:1095F000000000000000000000000000000000006B +:10960000000008000000000400000000000000044A +:10961000000000004000000000000000000000000A +:109620000080000422040004000104014210001420 +:1096300030200022420021080410002412001100F2 +:1096400002012000222008080011000810200C0050 +:109650000010000001000102000100000001008272 +:109660000004080000011000080108180009400863 +:1096700000100009408404402800004C04800408C5 +:10968000011000800022040002005C419202402090 +:10969000500081542A221C42200020200202340162 +:1096A000011280080212880100040100000001007C +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000900000100000900009 +:1097300090000000000030709000007000090000F0 +:10974000000000000030003030000000000010B0C9 +:1097500070000000000030B0007070090800600068 +:109760000008000058B0500050000000B000000099 +:109770000900000000B00000100000000000000020 +:1097800000000009000000007E000000000008B09A +:109790005000000030090000500000700000003050 +:1097A0007A501000B07042000A0602B65070209045 +:1097B00090667C060006AE009E5A2E060E0820001B +:1097C000A030A0069018AEA8AC5000350070040080 +:1097D0009CACB0A0905004000006067090A0A900B8 +:1097E0005D000000000A7000700000000000000032 +:1097F000000000000000080000000000000D000054 +:109800000000000000B000000000000000000000A8 +:10981000000000000000000000000D00000000003B +:109820000030003030000000000600B00030300092 +:1098300010B030B05010500000B004B4503030942C +:10984000100000B05030320200B00006000050900E +:10985000300006163C903496000010B6300D041C03 +:10986000B000B0000020100C0200167634095050F1 +:10987000B0000008023008320C0000000E000404A2 +:1098800030000000100090309C091C000000000017 +:10989000000C0000B00009305000580A005C09BA02 +:1098A000000000A000000000000000000000000018 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:10991000000000000000000000080000020000102D +:1099200000000E0000000000000000000000000029 +:109930000000000000000000000000200000000007 +:109940000000082C0C00000000380C0E000830004D +:10995000000028000C08000030000C04003E00004D +:10996000000400006C0430063054C2340034303639 +:109970003154000000006000380000501C1001103D +:10998000161009205020007051082000503000109F +:1099900000002030580000100000322020503C1001 +:1099A00030101400100C110E0A2C00000000901052 +:1099B0000C080000000810200CA400002000042067 +:1099C00000241C00085E005C0E3010000000000047 +:1099D0000C04000000000C0000000000000000006B +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000011000000056 +:109A000000000000000000000030000830040000EA +:109A100000080808300000002030002814001C4016 +:109A20000800802C000008000C88100E301E00007A +:109A300000088000001E0C007A0080000C0E0C084C +:109A4000000C0000800400B88108800000040000C1 +:109A500080040000000412000A008E74302C8C3048 +:109A6000A00E0014082E0800080001BE01306C5042 +:109A70000C003C3D30003E103A00383824100C38C1 +:109A80002050802004513404040830800400200C4D +:109A900000090400000008000000000000000000B1 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000040000000000000000000000000041 +:109B20004000000040104020400000004000400085 +:109B30004000000040000000002000000000000085 +:109B4000200000000000082040200000000040200D +:109B50004000000000004020000000200000100035 +:109B60004000000000000000000000000000800035 +:109B700000000400000000008000402000000030D1 +:109B800080004020000040004014003040001000E1 +:109B9000000202004014400000000C00000401001C +:109BA0002022000000000000200000002000000033 +:109BB000000000000000A0010000000000080000FC +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000004020400000000000402075 +:109BF00040000000000000200020000000000000E5 +:109C0000000000044000002040200004000000008C +:109C1000402000044000003040204004000040008C +:109C200040100004000000000010001000000000C0 +:109C300000000000000000000000000000B0000074 +:109C40000030008000000034000040304000000080 +:109C5000020042000010000000020030000000007E +:109C60000000000000000200000000000030400082 +:109C700000000000000020000000000000000002C2 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000020000000209020002000000033 +:109D200020002000200000002000000000000000B3 +:109D30000000000000000000000001002000000002 +:109D400000002000200000000000200000000000B3 +:109D50000000000000000080000000000000000083 +:109D60000000200000000104000000002100100499 +:109D700000003000210010440130200021002000AC +:109D80002000000100000400A001200100000600E6 +:109D900000010400808080000000000080000000BE +:109DA00080000000000401000100600800000000C5 +:109DB0000002810000000000000000000000000020 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000020002000000043 +:109DE0000000200020000000000000000000000033 +:109DF0000000000004010001200000002401000117 +:109E000000000000200100012000000014011001EA +:109E100000003030340100010000000000000000AC +:109E20000000000000000000000000000000000032 +:109E30000070000000800030000000400002308010 +:109E40002000000004001400008000000008000052 +:109E500000000000004000000000000000000000C2 +:109E60000000200000000000000040000000000092 +:109E70000000000C000000000000000000000000D6 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA000000000000000000000000000430000006F +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF000000000000000000000000800000000005A +:109F00000000000000000000000000080020001019 +:109F10000000000800000000000000000000000039 +:109F200000100000000000001000000000020010FF +:109F300000100000000200100000004002020010AB +:109F400020000010000010000000000000100000C1 +:109F500020000000001000000000000400000000CD +:109F600000000014001020100000000C003000045D +:109F70000018201000001000000000000410040071 +:109F800000000400000020000000000000000000AD +:109F9000000000002000000000040000000082001B +:109FA00000004001000004000081000000000000EB +:109FB0000041000000000000000000000000000060 +:109FC000000000000000000000000000000200107F +:109FD000000000000002001000000000010000105E +:109FE0000410000000000000040000000000001049 +:109FF0000410000000000000041000000000001029 +:10A000000410000000000020041000000000000008 +:10A010000010001000000000000000000000000020 +:10A020000000000000000000000000080000000820 +:10A0300000000000000000440200000000000004D6 +:10A0400000000010000008000000000000000000F8 +:10A0500000000000001000000000000000000000F0 +:10A0600000001000000000000000000000000000E0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A1200000000000000000000000FFFF47470000A3 +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A15000000000000000FFFF772200000000000068 +:10A160000000FFFF1B1BFFFFDD11FFFFBB11FFFF07 +:10A170005353FFFF3F30FFFF5F50FFFF5353FFFF7D +:10A180005F50FFFF1D1DFFFFCF03FFFFFFFFFFFF1E +:10A190003F0CFFFF7744FFFF3F0C00000000000072 +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F00000000000000000000000000000005533D7 +:10A200003F301B1BBB111B1B7722FFFFFFFFFFFF14 +:10A21000FFFF0000000000000000000000002727F2 +:10A22000AF05AF0527270000000000000000FFFF7A +:10A23000272700000000000000006666666666666C +:10A24000666666663C3C66665A5A66665A5A3C3C86 +:10A250006666AA55666666665A5A5A5A666666669B +:10A260006666000000000000000000000000000022 +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A320000C00000000000000000000000000000021 +:10A33000000000000000000000000000000000001D +:10A340000000000000000000000000000C00000001 +:10A3500000000000000000000C0000000C000000E5 +:10A360000C0000000C0000000C0000000C000000BD +:10A370000C0000000C0000000C0000000C000000AD +:10A380008C0200000C0000000C0000000C0000001B +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000090241E0090241E0090241E00802443 +:10A400000E0080240E00000000000000000000008C +:10A41000000000000C0000000C0000000000000024 +:10A42000000000000C000000000000000000488058 +:10A430004CA84C804CB84D804CB84C804CB94D80E9 +:10A440004CB94C804CB84D804CB84C804CB94D80C8 +:10A450004CB84C804CB00000000000000000000030 +:10A4600008000000000000000000000000000000E4 +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D00000000000000000000000902412000000B6 +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A5000000000000000000000000802402000000A5 +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000488040A94D807D +:10A5600040B84C8040B84D8040B94C8040B84D80D8 +:10A5700040B94C8040B94D8040B94C8040B94C80C6 +:10A5800040B00000000000000000000000000000DB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D00000000000000000000000000000009024C7 +:10A5E00012000000000000000000000000009024A5 +:10A5F00012000000000080240200000000000000A3 +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A620000000488040A84C8040B94C8040B94C8024 +:10A6300040B84C8040B84C8040B94C8040B84C8009 +:10A6400040B94C8040B84C8040B100000000000090 +:10A65000000000800000000000000000000000007A +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C0000000000000000000000000000000330F48 +:10A6D0000F55000000000000000000000000000016 +:10A6E000000000000000000000000000000000006A +:10A6F0000000000000000000000000000000FFFF5C +:10A70000FFFF00000000000000000000000000004B +:10A71000000000000000000000001D1D550F00009B +:10A72000000000000000DD112727000000000000ED +:10A730000000FFFF550FFFFF535300000000FFFF15 +:10A740003F0CFFFF3535FFFFBB11FFFF550FCC332B +:10A75000666666663C3C3C3CCC335A5A5A5ACC330B +:10A760006666AA555A5A3C3CAA555A5A66663C3CFB +:10A770006666CC336666FFFF774400000000000089 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000AF05BB1100000000000000000000F9 +:10A7E0000000330F330FFFFF0F33FFFFFFFFFFFFAB +:10A7F0001D1D00000000FFFF5533FFFF3F0CFFFF52 +:10A80000335500000000FFFF330FFFFF55330000FA +:10A810000000FFFFAF0599999999C3C39999999938 +:10A82000A5A533CC33CC9999C3C333CCA5A59999AD +:10A830009999C3C39999C3C3C3C3C3C355AA00009D +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C000000000000402000028000000000000005A +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F000000003000402000020000000000000002F +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000010000900000000000800076 +:10A9D000000400000001C020104404000001C02059 +:10A9E00090000000000000000000000000000000D7 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000100000000000000000095 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000001100000000000000000055 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000004000800000000000800055 +:10ABC000000000010020C020800000010060C034AF +:10ABD0008000000100600000000000000000000094 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA000000000000000000000000000C4C0000020 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000230003C7C0C7 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD200000000000000000000000001000C0000053 +:10AD3000008008000400000000000400C008A0001B +:10AD400000000800C00000000000C0000800A000D3 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000010000A2 +:10ADB000C800000000000000C000570080CBE00089 +:10ADC00044000000000300015707000000000000DD +:10ADD0000000000000000000000000000000000073 +:10ADE00000000000000000800000000000000000E3 +:10ADF000000000000005040008000002000508052E +:10AE00000000000800000405008000050000084064 +:10AE1000000000000000040000000000000000002E +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000020000000000000000000000000000A0 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED000000104020000000000000000000000006B +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000200000000000000000000011 +:10AF2000804000000004000000000000000000005D +:10AF3000010000000000000001000000000001000E +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA0000001000000000000008000001000000010 +:10AFB00082080000000000000008020100000000FC +:10AFC0000000000000000000000000000020000061 +:10AFD000000000000000000000000004002000004D +:10AFE000000000000020000800000000000000A099 +:10AFF000000000000000000000000000000400202D +:10B000000000004000000000000000000000000000 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B09000000022000002000000000000000000008C +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000B82C0000008000000200001A +:10B0D0000100000000000000000000200048000007 +:10B0E000200200000000000000000C00000000072B +:10B0F00000000020000100003000000000020004F9 +:10B1000000000100003800C042108020004800000C +:10B11000C210080004100000C20001000008000076 +:10B12000C2015C8001040C8022600100003000003C +:10B1300000428080000000800370000000000000DA +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B19000000000500000000000000000500000000F +:10B1A000004080E779010080C530000000880C0075 +:10B1B00000000000000000000000000060300000FF +:10B1C000C000000700000080010000000010000621 +:10B1D000006000000000000030008000282F00C048 +:10B1E00001F200807000008703800040000000181A +:10B1F00028F000002800008003824000902C1458A2 +:10B2000001F000000000000000000000000000004D +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000002000038800C00000000F8 +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000400000000000034B +:10B2C0000038000002000000000000000000000044 +:10B2D000020000000000000000000000000000006C +:10B2E000000000000000000082003000008000002C +:10B2F0000038000800000E076038000000810204DA +:10B30000623100000A800000E090280008000C0F65 +:10B3100080800C10280000070010280000090C0095 +:10B320000040A001C00800440000200602800C007C +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B38000000000000000008009000000B000000084 +:10B390000000000000080087E3002C1000F00E0001 +:10B3A00000382900000000000000000000002C8090 +:10B3B000714100000034AE0F0000000000002C803E +:10B3C00065010008000000A00000000000008002ED +:10B3D00020100020017880A010340020017080002F +:10B3E000800000000078800300003400C07080807E +:10B3F000E3003501007800000000000000000000BC +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000040000000000C8 +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000008000001C +:10B4B000000000000000000000000000000000008C +:10B4C00000000000000000008000000000000000FC +:10B4D00000008400000000000000000000000000E8 +:10B4E00000002000002000000000013000190002D0 +:10B4F000000022002008100002006000004400004C +:10B5000001108020000311080000010001C0088024 +:10B5100000108000000200001010090000028000EE +:10B52000000011000000000000000000000000000A +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B58000140000004000000000400081080000009E +:10B5900088081000003004020000000000000002D3 +:10B5A0000000000004000000801000000000000106 +:10B5B0000100010020100000000200000000000057 +:10B5C0000000810008000000100080800001408120 +:10B5D00000008110910040003000811000012080A7 +:10B5E000000081001400800008000000000000003E +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000001000CA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000008000000000000D00000000000000000D +:10B6B000000000000000000008000000A0000000E2 +:10B6C0000000000000000C000080000000000000EE +:10B6D0000000000000810E00000000000000008358 +:10B6E00007B0000000080C000080000000011C20D2 +:10B6F000089000001000220360800C00E00140056B +:10B70000E0310000100000A081400000100002C0E5 +:10B71000870020180008080000000000000000005A +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B76000000000000000000000000000000000A039 +:10B7700000000000000000008001100000C08040B8 +:10B780001200000002002600001028000000060041 +:10B790000000000000000820D08100000000A60D7D +:10B7A000000000C000000000670000000000000072 +:10B7B00000000000000000000A0000070000002355 +:10B7C000CA0100010000080B08000000000008008A +:10B7D0002E0016040001880008000000000100008F +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000200000000010000000000000000D5 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B890000000000000083C00000000000000000064 +:10B8A0000000000000000000000000000000000098 +:10B8B000B002000000000000000022000008202F5D +:10B8C0000000000000000000004000000008000F21 +:10B8D0000000000000880000F06000000000008F01 +:10B8E00002004C400400004F01000000002800004E +:10B8F000001A100000000005103000000028280F7A +:10B900002000084000000086B10000000000001682 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000100D6 +:10B9700000F000C551000000003800000088000001 +:10B980000000010000000005000020005038000FFA +:10B99000006000050000000004000000000000003E +:10B9A00010000000000000001000088001702C2F23 +:10B9B000E00088800100200E0000028011000000DD +:10B9C000E000028001010C30080002850100000047 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA70000000000000004000000000000000000086 +:10BA80000008000000000080000000080000000224 +:10BA90001004040000040801040004402004000114 +:10BAA00000010000040100001000800040110000AF +:10BAB00010008000001080204020804000008000A6 +:10BAC0002000000000008020200020000000202036 +:10BAD00000080000840000400020000440800000B6 +:10BAE000040080042000802080302040208000203E +:10BAF00080200000008020020002011400080000E5 +:10BB000000000000004000000000000004000000F1 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000400040400000040A9 +:10BB50000000000400000108444021010100002011 +:10BB60000081000010110002002020802000000051 +:10BB70000001810001008800000000000420000096 +:10BB80000081000028022000014000804020004188 +:10BB900000000000401021180444002000208024F0 +:10BBA00001000000411021810480814048200942A9 +:10BBB00009000004880220040040220200840228B8 +:10BBC0000800000000080000000000000000000065 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC300000000000000000000000000000090000FB +:10BC400000000000080900000000000000000000E3 +:10BC50000000000000000000000050000000000094 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000C00000000000000000008B0 +:10BC800000000000000000000000080000000000AC +:10BC9000000070000002000000000800009000009A +:10BCA00000000D00000000000E00000200000A006D +:10BCB000000000000900000E00090C02100500063B +:10BCC000000070920254990000003C900270300015 +:10BCD0000D5EAE020672060C000A00000C3A060564 +:10BCE000060A02B2490279000D000D000250000060 +:10BCF0000658090000000006B80000B0000000006F +:10BD000000000000000000000050000A00000000D9 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000080000000000000800000C00000000D7 +:10BD50000000000000000090301000000C000050B7 +:10BD6000000000000005A0060090B80000003000B0 +:10BD700090020002000090000C060A900096000657 +:10BD8000000D000000000D06B010001800000000BB +:10BD9000000A0A7200000000003000B0000000003D +:10BDA00008000CA00800020004A00040000002707F +:10BDB000700009500C0A090601087000000570AAFD +:10BDC0000050000000000000000000000000000023 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE3000000040000000000001400001400001003F +:10BE4000010180000000000001808000000000006F +:10BE500000000000000000000000000000003000B2 +:10BE600000000000000000000000000000000010C2 +:10BE70000E0070000000000C00000000000400082C +:10BE80000000043010000008000C0000080C0B50EB +:10BE900000003000300C0000600010000000308016 +:10BEA0000010201000003050300000000000313041 +:10BEB0009050300000003030005000703C50811035 +:10BEC0000030807830100000780010093000102019 +:10BED0002120003030100020102070000010300CA5 +:10BEE0000008080000000000000000000130000011 +:10BEF000000000000800000000000000000000003A +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30007800700000017030000000080100080067 +:10BF400018101C0C0C0000307018000000080004D1 +:10BF500001301010100001008104190009003000A8 +:10BF60000101800105000000711D0001100C30006E +:10BF70000C3001101130003C0000700010001C005B +:10BF8000783C00100030203C040000001400182C05 +:10BF900000201C101030081C04000000100C300C95 +:10BFA0000010340800280C10000000000000000001 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C0300000000400000000000000000000000000FC +:10C0400000100000000000000000000000000000E0 +:10C0500000000000000030000000000000800080B0 +:10C060003000300030807000000000003080300070 +:10C07000400000008020000080000000000200025C +:10C08000000000000002000000008000040000002A +:10C0900000000000400080000000000000000000E0 +:10C0A000000040000000041000000000000000003C +:10C0B0000000000000000000000000000C00000074 +:10C0C00000000082000000000000000000000000EE +:10C0D000000000000000040000000000000000005C +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000800080001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000800000003000000000400000000000FF +:10C15000400000000000000000000000000000009F +:10C16000003020010000040000300000000000004A +:10C1700000000000802000100000000220000000ED +:10C180000004400020000000200400002000000007 +:10C19000000000000000000000000000000004009B +:10C1A000000000000000000100000000000000008E +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C23000000000000090000000000000000000006E +:10C2400000000000000000000000400000000000AE +:10C25000003000304000400040306000000000002E +:10C2600040304000210000001000000010000000DD +:10C27000010C000C00000000000C00000000200079 +:10C28000010000000000000020002000000000006D +:10C290000000000400001000000001000000000089 +:10C2A0000000000401000000000000000100000088 +:10C2B000000000000000003000000000000000004E +:10C2C000000000000000000000000100000000006D +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C3100030003000000000000000000000000000BD +:10C32000000000000000000000000000000000000D +:10C33000000000000030000000400000000000008D +:10C34000000000800000000000000000000000006D +:10C350000000000400804008000001000080000090 +:10C3600000000000000000001040000000008000FD +:10C37000800080008001100080200000800100000B +:10C380008024000001008000000080008000010087 +:10C390000000010000040000000000000000000098 +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C00000000000000000404200000000000000EB +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C410000000000000000000000008000000000014 +:10C4200000000000000000000020000000000000EC +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000003000000000000E9 +:10C4500000030000000000000000000000100000C9 +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000004000000000000B8 +:10C480000000000000000004000000000000001098 +:10C490000000000000001004000000000000000088 +:10C4A0000000000008000000000000000000000084 +:10C4B000000000000000000000000000000000403C +:10C4C000400000000000000000000000000000002C +:10C4D000000000000000000000000000000000005C +:10C4E0000000000000000000000300000000000049 +:10C4F0000003000000000000000000000000000039 +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000010000000000000001000100000CB +:10C5400000000010000000040000000000000002D5 +:10C5500000000000000000000000000000000810C3 +:10C560000000040000000000000000000020002087 +:10C570000400000000240020000000000000000073 +:10C5800000000004000000000004000000000000A3 +:10C59000000000000000000000001001000000008A +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C66000000000000000FFFF353500000000000062 +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B0000000FFFF774400000000000000000000C1 +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000BB111B1B2727F50500000000FFFFE1 +:10C71000FFFF0F33550F0000000000000000FFFF77 +:10C72000FFFF00000000FFFFFFFFFFFFFFFF000013 +:10C730000000FFFFFFFF00000000000000000000FD +:10C7400000003F3035350000000000000000000010 +:10C75000000000000000FFFF5F0A00000000000072 +:10C7600000000000000000000000FFFF272700007D +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000800000000000001A0 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C850000000000000000000000000000C000000CC +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A00000000000000000000C000000000000007C +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F00000000000000090241E0090241E00000094 +:10C90000000080240E0090241E00000000000000A3 +:10C91000000080240E000000000080240E0080240F +:10C920000E000000000080240E0000000000000047 +:10C9300000000000000000000C00000000000000EB +:10C940000000000000000000000000000C000000DB +:10C9500000000000000000000000000000000000D7 +:10C960000C000000000000000000000000000000BB +:10C970000000000000000000000000C000000000F7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000488040A94D8040B94C8040B84D80FE +:10CA300040B84D8040B84D8040B84C8040B84D80E3 +:10CA400040B94C8040B84D8060B10000000000004B +:10CA50000000802402000000000000000000000030 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF00000000000000090241200000000004880A8 +:10CB000040A84C8040B94D8040B84D8040B84C8022 +:10CB100040B84D8040B84D8040B94C8040B84D8001 +:10CB200040B94D8060B1000000000000000000002E +:10CB300000000000000000000000802402000080CF +:10CB400000284C8040B94D8040B94D8040B94C80A0 +:10CB500040B94D8040B84C8040B94C8040B84D80C1 +:10CB600040B0000000000000000000000000008055 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000006666AA55AA556666CC337F +:10CC20006666AA553C3C5A5A6666666666663C3C31 +:10CC3000CC33AA556666CC3366665A5AAAAA000057 +:10CC4000000000000000FFFFFFFF000000000000E8 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000FFFF2727FFFF5A +:10CC90002727000000000000000000000000000046 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE000000000000000000000005F0A1B1B0000A5 +:10CCF00000006666CC33CC336666AA55CC335A5AEC +:10CD0000CC3366663C3C5A5A3C3C5A5AAA55CC3302 +:10CD10003C3C66666666AA55CCCC0000000000006C +:10CD20000000000000000000000000000000FFFF05 +:10CD3000FFFFFFFFF00FCC336666AA55AA555A5A7B +:10CD4000AA556666AA555A5A6666CC33AA55CC339C +:10CD50006666AA556666000000000000000000003C +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000001000040010000B0 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000800000200000000040200010001032 +:10CEE0000402C02000080000000000000000000450 +:10CEF00000004020008000000000C020008280026E +:10CF0000000080000004000000000000000000009D +:10CF100000000000000000000000000000006000B1 +:10CF200000010000000100000000000000000000FF +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000060008000000020 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C00000008000000000010000002000000000BF +:10D0D0000000812400000001800800000000000022 +:10D0E000000000200000000000408034000000012B +:10D0F000006080000000000100200000000000002F +:10D10000000000000000000000000000000000001F +:10D1100000006000800000004000000000000000EF +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F0000000000000000008000300000400000818 +:10D200000003000004000000001000000400000003 +:10D21000000310000000000000000000C00000003B +:10D22000C880130080000000000000000000000023 +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000300000000C0AB +:10D2C000002200000000002300030307020000000A +:10D2D0000000000304100000020010E0E3400040E2 +:10D2E000000044C3C0070000030010C0032000007A +:10D2F000000003001000000000000000000000001B +:10D300000000000000000000000080C800000000D5 +:10D31000000000000000000000000000000000000D +:10D32000000000000080000000000000000300106A +:10D3300000030000000000000000000000000000EA +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E0000000000000010400000000000080400078 +:10D3F000000000000080400000000000000040002D +:10D40000000000000080000080000000000000001C +:10D410000100000000020000800000000000000089 +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A00000000000000000000000000000800800F4 +:10D4B000000000040000000000000040000108001F +:10D4C0000400000000000080400000000400000490 +:10D4D000022100200040409014400080080001100C +:10D4E0000200000000000200000000000000000038 +:10D4F00000000000000000000000000000008000AC +:10D50000000000000000000000000000000000001B +:10D510000000000000000000000400000000000205 +:10D5200000800000008000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D00000000000000000000000000000000E40FD +:10D5E0000200000000000C000034000000000020D9 +:10D5F0000030000000020CC00130000000000000FC +:10D600000000002000004000003C018002000000FB +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500004005006D0400000000000000000000060 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000E40000000040000F0000000008001C0023 +:10D6B00000810909008001800300000000002800AB +:10D6C00000000F000000000000000C092000208076 +:10D6D00000A80020200000C00100000000000000A1 +:10D6E00004001000003000000000000000000000F6 +:10D6F000003400000000A0C00150000400000E0033 +:10D70000003400000002000000051000007012400C +:10D7100000000000000002000000400000000000C7 +:10D7200000000000000000000000000000000000F9 +:10D73000A002000000000000000000000000000047 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C00000000000000000000000008004003C0099 +:10D7D00000000000000034000000000000000C0801 +:10D7E0000000008003800C00200001400080000049 +:10D7F0000000000000000001000000040000101004 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D840000000000000005200000000000000000086 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000060001000003040070000004061 +:10D8A00000000000800816060000020000000000D2 +:10D8B00000000C8007000000A000000000102900FC +:10D8C00000010E0000482801000000400000000098 +:10D8D000000000000000110000E000000000000057 +:10D8E00000000000000000000000080700380008E9 +:10D8F000000003A001000C0040000C008010280074 +:10D9000010802E00000000000000000000000C004D +:10D9100000000000000000000000000000080000FF +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000200000000000400000000000000410010 +:10D9D000000002000800000000000200000001003A +:10D9E0000000200100000000000000000000000016 +:10D9F00000800000000000000000000000000000A7 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000210000C5 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA800000000000000000002000100000600008FE +:10DA900000000000000001008000400900040000B8 +:10DAA0000000000000004000080000000800200006 +:10DAB000005000000800008002000000200001006B +:10DAC00000200000000000002000020000400000D4 +:10DAD0000000000000000000000000000000000046 +:10DAE00001280040000010000000100400000200A7 +:10DAF00020080805100008000300010000000400D1 +:10DB000000004000000000000000000000000000D5 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB000000000000000000010012E200A00800874 +:10DBC000000000A001008000480032200800880802 +:10DBD00000000C60000000040000000000000004D1 +:10DBE0000000000A006820000000000000000000A3 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC2000000000000000000000000CA0010001083E +:10DC300000010000000000000000000000000000E3 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC70000000000000000000000000008000000024 +:10DC80000004000F003C00000000020080010E01B3 +:10DC9000004802800100800600002E8003800006FC +:10DCA0001000002000400D07C000002000002C07DD +:10DCB00000000D800100000F400000008002000005 +:10DCC00000040000000000000000000000401400FC +:10DCD000000000250A000000000000000080000B8A +:10DCE000A0000080050000031000000006401000A6 +:10DCF00000002200000060000000000000000000A2 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA00000000000000000400000000000000080B3 +:10DDB000000000001000000000000000A0020E4063 +:10DDC00000000108000000400000002810000000D2 +:10DDD0000000003A00000000000800000000000001 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000010001 +:10DE2000000014000040000000000000000000009E +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE70000000000000000004000000C002000000DC +:10DE80001000400800401350020C0000F000000099 +:10DE900000283C200000120000104C20000200402E +:10DEA0000100801600000210001001260002000090 +:10DEB0001000000000000000000000000000000052 +:10DEC000000801000000400000380080F100000060 +:10DED00000000000F002100000000008C0000880F0 +:10DEE0000038001AF00000000000000600000000EA +:10DEF000000000000000000000880000000000009A +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000040800000000000004000400041 +:10DFA00028002A00200440000008208404004000CB +:10DFB0000000280022010400200000180220500068 +:10DFC00088010008800030001010040804100800C8 +:10DFD00000800000000000000000000000000000C1 +:10DFE0000000000000000000000000000000200011 +:10DFF00000000000000000000048000000000000D9 +:10E000000000000000000000000000000000000010 +:10E0100000000000080800002000000000000000D0 +:10E0200000000000000000000000200000000000D0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E05000000000000000000000000000440040003C +:10E060004000008044800000400000800400204008 +:10E07000200024400424004021042400240404201F +:10E08000202C000000014000200220003000402031 +:10E09000204401000040000048000000200800006B +:10E0A00000280029102804080408041A20080C007D +:10E0B0008004482854880C820488000124128C00B3 +:10E0C0004408848820000C800080080C2C010C007F +:10E0D00001400108000808080802000008000000CC +:10E0E0000804000000000000000000000000000024 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000C00080000000009000C08004E +:10E1A0000009000000005800000A5000B000003CC8 +:10E1B000500010000C00500C00003000002000083F +:10E1C000003A3C00000000000000000000000000D9 +:10E1D00000000000000000000000000000000D0032 +:10E1E000000E0D097C0E000400000070A008000065 +:10E1F000000000000000000000000000000000001F +:10E2000000000000000000000C000809AE0E000035 +:10E2100000000000000E0000000000B070000000D0 +:10E2200000000000000800000000000000000000E6 +:10E230000D000000000000000000000000000000D1 +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000090000B5 +:10E26000000000B000000000000900000500900E52 +:10E2700000000000007C00000005A005000A000D61 +:10E2800020000D000E00000D000050A00C0000004A +:10E29000000C00A000085004100800080C0800340E +:10E2A000000808009C00080C10080C0090040C00EA +:10E2B0000030080000087DB8700A0C05000E7C0CC8 +:10E2C000080000700D000D0C0D0C0CBC0C1C0E1A7F +:10E2D000089C0D000C0000000000000090050000EC +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000070007000103000007D +:10E38000700000001000100030003C5030041000FD +:10E3900000001B18040010000000300034080C00BE +:10E3A00010000050001010003E0C00003000100063 +:10E3B00000000C0008508000003000000000000049 +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000001000001000000003B +:10E3E000001000000000000000000000000000001D +:10E3F000000000000000000000000000000001001C +:10E4000010400000000000000000000000000000BC +:10E4100000001000000000000001000000000000EB +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000180010001000003018107000CC +:10E45000100000100850103010001C10081400307C +:10E4600014041C0008040020101400000004100014 +:10E47000300830002101103020080C80010000011C +:10E48000100100803F00010F001E001C0130090E2A +:10E49000090E080C3000080E21083010303000380A +:10E4A0000C1E010C300C20003C5E2C2030000810AB +:10E4B000002000083C04080004300C0000000000AC +:10E4C000008900800001000000080000000000003A +:10E4D0000000000004000000000000000000000038 +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E56000000000000000800000000000000000002B +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000800000000B +:10E5900000000000801000000000000000000000EB +:10E5A0000000000400000000000000004000000027 +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F00000000000000020000000000000000000FB +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E62000000000300030000000000000800000000A +:10E63000800080008000000000000000000000005A +:10E64000000000300000000000000030000000006A +:10E65000000000000000000000000000400000007A +:10E66000000000204000000000004020000040208A +:10E670000000402000000000000000200000A0007A +:10E680000000000200100000000000020400000270 +:10E69000040000004400000000000400043080007A +:10E6A0000410000000020000000024020400000228 +:10E6B0000000200000000000000000000002000038 +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E750000000000000000000000030000000000089 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E7800030000000000000003080000000000000A9 +:10E790000000000000000001000000000000000078 +:10E7A0000100000000000000000000000000000068 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E00000000000010000040000400000000000E4 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E81000000030000000008000B000000000000098 +:10E820003000000030003000300000000000000028 +:10E830000000000000000040000000000000004058 +:10E840000000000000000000300000000000000098 +:10E850003000000000000000300000000000200038 +:10E8600000002000000020000000000000000080E8 +:10E8700000006000000001040080000000000004AF +:10E8800000000000000000003000000080000104D3 +:10E890000040102080000020000400208000802420 +:10E8A0000000000480008000000000000000000064 +:10E8B00000000000000000000000000000600000F8 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000200000000000000000026 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D000000000000000000400000084020081012B +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA000000000000000020000000000000200000C6 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000020000000B6 +:10EA400000000000000000000000001000100000A6 +:10EA50000000001000100010000000100010000066 +:10EA60002000000000100004000000000000000072 +:10EA70000000000008000000080008000800000076 +:10EA800000200004080000200810002000200020C2 +:10EA90000000082008000000000000000000000046 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000FFFFFFFF0000000000000000000099 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000353535350F8F42 +:10EC600033B333B3FF8055D533B30F8F0F8FFF808E +:10EC700033B333B30F8FFFFFFFFF0000000000002E +:10EC800000000000000033B30F8FFFFF7722FFFF6B +:10EC90002727000000000000000000000000000026 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000008C0200000000000015 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE50000C0090241E0090241E0090241E0090247C +:10EE60001E0090241E0090241E0000008C02000052 +:10EE70000000000000000000000090241E000000C0 +:10EE80000C0000000C00000000000000000000006A +:10EE900000000000000000800000000000000000F2 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF30000000000000000000000000000000488009 +:10EF400040A94C8040B84D8040B94C8040B84D80BD +:10EF500040B94C8040B84D8040B94C8040B94D809C +:10EF600040B00000000000000000000000000000B1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000488049 +:10F0000040A94C8040B84C8040B94C8040B84D80FD +:10F0100040B94C8040B94C8040B94C8040B94C80DC +:10F0200040B10000000000000000000000000000EF +:10F0300000000000000000000000488040A94C8053 +:10F0400040B84C8040B84D8040B94D8040B84C80AD +:10F0500040B84C8040B84D8040B94C8040B09024BE +:10F060001200000000008004000090241200902490 +:10F070001200802402008024020000000000000032 +:10F080000000000000000000000000800000000000 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F13000000099999999C3C39999A5A555AA33CC6B +:10F1400033CC55AAA5A533CC33CC9999999933CC16 +:10F1500055AA999933CCFFFFDD1100000000000093 +:10F160000000FFFFDD1100000000000000000000B3 +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000FFFFAF05000000000000AD +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F0000000F00FAA5533CCCC33CC33AA55CC3316 +:10F20000CC33AA55AA55CC33AA55CC33AA55CC3306 +:10F21000AA55CC33AA5500000000000000000000F1 +:10F220000000000000000000000000000000A5A594 +:10F23000A5A59999999933CC9999A5A59999A5A529 +:10F24000C3C333CC33CC33CCC3C39999A5A5999907 +:10F2500033CC55D5FF8000000000FFFFFFFF0F8F6C +:10F2600033B30F8F33B3FFFFFFFFFFFFFFFF00003C +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F42000000000000000000000000000000080005C +:10F43000002600000000C020000000000000C020E6 +:10F4400000540000000140201001200000010000D5 +:10F45000900000000403800024052600040300003F +:10F460009C010000000000000000000000000000FF +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F0000000000000000000000008000000000003 +:10F6000000000000000000000000000000000000FA +:10F61000000000000000000000000000000080006A +:10F620000000000100008020000000010060802038 +:10F630000000000100044020800000000040440061 +:10F64000800000000000C10080000001402049004F +:10F65000800000001000000000000000000000001A +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F720000000000000000000000000400000000099 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000300F5 +:10F81000040000000000C3000400000000C003C09A +:10F820000440C0000000470000C0E000804003002A +:10F8300000C80000001300000000C00003C08000EA +:10F8400000C8C001C8C000000000000000000000A7 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000004000000F3 +:10F91000000000000400000000000000040000409F +:10F9200000000000040000000000000000000000D3 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA00000000020040000000004012004000010021 +:10FA10000004021040201000004002008404000492 +:10FA200080200200000000000000000000008000B4 +:10FA3000092080000000800100200000000000007C +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF000000000000000000000000000002C0000DA +:10FB000000022C40002C0000000009000000000052 +:10FB100000000820000000000000000000000000BD +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000001000000000A8A +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB00000000020000000000000024000000000E3 +:10FBC00000000240002C000000001990022C0000F0 +:10FBD000000008800010000000000000000000008D +:10FBE0000000000000000000000000000000000015 +:10FBF000000000000000810700004C08A4804E8037 +:10FC00000338409F1CB040C801004E0FF43040C87C +:10FC100009E0410F02302CC0A501000000100000D7 +:10FC2000000011D0873CB10930C8000000B800C006 +:10FC30000270000000000000000000000000000052 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE00000000000000000000000340000000000E0 +:10FCF00006003401C00008000000340000000E00BF +:10FD00000000340000000C800300340000000200FA +:10FD100000000000000000A0000000000000000043 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000C0097 +:10FD60000000700000000000000000000000000023 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA000000000000000000000002C00D000022035 +:10FDB0000A0034000000120002003C00000008802D +:10FDC000000034000000188000000C00000000005B +:10FDD0000000000000000000000000000000000023 +:10FDE000000000000000000000000C010000000006 +:10FDF00020381010C5160087E1B89086051C100742 +:10FE0000E040108009380CA701400080A1000000EC +:10FE10000000000000000E80E3001006C002400455 +:10FE20008070000000800000000000000000000062 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED00000000000000000000000000008200000FA +:10FEE0000000810000000008100085800000000074 +:10FEF0003000C1000000010010009400021000005A +:10FF000004003000000000000000000000000000BD +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF900000000000000000000000008000000000E1 +:10FFA0000000420000000000000091000500000079 +:10FFB0000000800000000000000090000710020018 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000600000060000000001800204000222 +:10FFF0000000A0080000000200000100000C40000A +:020000040001F9 +:10000000800000000010000000000000000400005C +:1000100000000000100210000000000000000000BE +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C00000000000000000000000000000000080B0 +:1000D00009000000E0010000000000001000000026 +:1000E00000000000100008000E1014001000002096 +:1000F00002000008E0000E000000400000000020A8 +:1001000002000000080000000000000000000000E5 +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000800000000A7 +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:100190000000000000012F00080000000001000026 +:1001A0000000000018000000080000080000000027 +:1001B000000000000001000000000000000000003E +:1001C00000000000000000000000000000000040EF +:1001D0000680AD000002830AE6384D0040410082EF +:1001E000277880000000024D90512EC0116090C30E +:1001F00007500006C000000000800000000018400A +:10020000E7132000000000008050200000000000E4 +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C0000000008000300000000208000050160FFF +:1002D000E000080000000000E000028001020000D1 +:1002E000E000088001000000000000000000000A9B +:1002F0000000000000000000A0000000000000005E +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000E8000000000DF +:100390000000080000000000400000800100000094 +:1003A00050000CC00100000500000000000000002B +:1003B000000000000000000000000000000000003D +:1003C000000000C001080005F0000E0228005400E3 +:1003D00000CA404AA42820C00B88008370B80CC013 +:1003E0005B7C82C7013820C01B01000000000000B8 +:1003F0000000284000000021108A0000000A004090 +:1004000001000000000000000000000000000000EB +:1004100000000000000000000000080000000000D4 +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C00000010401000800080000000400040024EA +:1004D0000400848000040004000000000404000004 +:1004E00004080000000400080008000000000000EC +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000020AB +:10053000000000000000800000000000000000003B +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:1005700000000000000000208000000000000000DB +:1005800000800000100000000000000000000000DB +:1005900000200000408000004000400021002008B2 +:1005A0000000000000002020000020002000282083 +:1005B000210000000000202020200802000024204C +:1005C00020200802800124008020080210002C0056 +:1005D00080200012280014402080011200004001F9 +:1005E00080002040301094800020000000100000A7 +:1005F00000000400000400000000000000000000F3 +:1006000000000000000000000000000000000800E2 +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000D00003D +:1006B000000000000010000000080000000000B072 +:1006C00005000000080070000000000000000000AD +:1006D000000000B0005000000D0A900C700C0D00DE +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000100000E00000000BA +:1007300000000900000000000000000000000000B0 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000100000000000000069 +:100780000000000C0000000050000100000000000C +:100790000000000C50000C0A000000AA00005000ED +:1007A0000D00080001000000000100000000000032 +:1007B0000D0000090000040000000000A005040076 +:1007C00039000000A005503074001C00007C00308F +:1007D00000003000000E70B03C303DB000000D0055 +:1007E0005C1000A00C000000500D50508D00A001C6 +:1007F0000070000C0000000001000000000000007C +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A000000000000000000000880C0C00000000A8 +:1008B0000000000C000800080900182000080008CB +:1008C00050000000080800000C00000000080000B4 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000200000000000000000B7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:10096000300000000E000000003000000000000019 +:10097000000000000E0000010030000010300000F8 +:1009800000001000280020000000010000002020CE +:100990000000100030002020100600000000202081 +:1009A0001010000400002420101000042004340063 +:1009B0002010000400003400201000043040051016 +:1009C00030310C040E00B00C100010103100183043 +:1009D00000100600010000000100000000080000F7 +:1009E0000000000000000001000000000000000006 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A2000000C0000000000000000000000000000BA +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000030000000000000003016 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000004000000000000000000000000000022 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B30000000000000000000000000000000800035 +:100B400000000000800000000000000080000000A5 +:100B5000000000000000000004000000040000008D +:100B60000400000004000000040000000400000075 +:100B700044000000440000000400000044000000A5 +:100B800000000000040000000000000000000C0055 +:100B9000000000000000000000008030003004026F +:100BA00084000C0200008C00000004000000000023 +:100BB000000004020000000000A00020000000006F +:100BC00000000420000000000000000000000400FD +:100BD00000000C0100000000000000000000000008 +:100BE0000080000000000000000000000000000085 +:100BF00000000000000000000000000000000000F5 +:100C00000000000000000000000000000000000CD8 +:100C100000000000000100000000000000000000D3 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000400000000044 +:100C70000000004000000000000000000000000034 +:100C80000000000000000000000000000400000060 +:100C90000400000004010000040000000401000042 +:100CA0000400000004010000040100000001000035 +:100CB000000000040000000001000000000000002F +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000004000000000000000000000000DF +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000100000001000000A1 +:100D5000010000000100000001000000010000008F +:100D6000010000001100000031000000010000003F +:100D7000320000000000000002000000000000003F +:100D80000000020000000000000000000000204001 +:100D900000440100000002040000320000000000D6 +:100DA000000000000000000400000000006000409F +:100DB00000000000000000440000000000000000EF +:100DC000000001000000020C000000000000000014 +:100DD0000000000000100000000000000000000003 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000004000000000000000000000000DE +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E7000000000000000000000000000040000006E +:100E80000400000004000000040000000400000052 +:100E90000400000004000000040000000400000042 +:100EA0000000000000000004000800000000000036 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000044300000000000000AB +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000001000000000A1 +:100F4000000000002000000000040000200000005D +:100F50000004000020000000000400000000000069 +:100F6000000400000000000000000000000000007D +:100F70000000000000000000000000000000000071 +:100F8000000008000004000008000000000000004D +:100F90000000080000000000000008000000000041 +:100FA0000000000000000000000008040000000035 +:100FB000000000040000000000000000000000002D +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:101140000000000000000000000000000000FFFFA1 +:10115000FFFF000000000000000000000000000091 +:10116000000000000000000000000000000000007F +:101170000000CC3366666666666666663C3C5A5A14 +:1011800066663C3CCC3366666666CC33CC335A5AD2 +:1011900066663C3C66665A5A666600000000FFFFC1 +:1011A000FFFFFFFF772200000000000000000000AA +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000008C02000000000000000000000F +:10135000000000000000000000000000000000008D +:1013600000000000000048804CA84D804CB94C8023 +:101370004CB84D804CB94C804CB84D804CB94C8029 +:101380004CB84D804CB94C804CB84D804CB00000EE +:10139000000080240E0000000C000000000000008F +:1013A000000000000000000000000000000000003D +:1013B000000000C00000000000000000000000006D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:1014500000000000000000000000008000284D8017 +:1014600040B94D8040B84D8040B84C8040B84C8069 +:1014700040B94C8040B84C8040B84C8040B000002F +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:10151000000000A01228DDA452B8DDA452B9DDA459 +:1015200052B8DDA452B9DDA452B8DDA452B9DDA48D +:1015300052B8DDA452B9DDA452B8DDA452B1000006 +:10154000000000000000000000000000000000009B +:101550000000008000284C8040B94D8040B94C808C +:1015600040B94D8040B94C8040B84D8040B84C8067 +:1015700040B84D8040B94C8040B94D8040B100002A +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A00000000000000000800000000000000000BB +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:101640000000000000000000000000000000FFFF9C +:101650003C3C666666665A5A3C3CAA5566663C3C0B +:101660003C3C66666666CC33666666666666CC3308 +:10167000666600000000000000000000000000009E +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:10170000000000000000FFFF99999999C3C39999BF +:1017100099999999C3C3999999999999C3C3999991 +:1017200099999999C3C3999999999999C3C3999981 +:101730009999000000000000000000000000000077 +:10174000000000000000BB1155AA999955AA55AA9E +:101750009999999955AA55AA9999999933CC999992 +:1017600033CC999933CC55AA9999999955AA9999B5 +:1017700055AAFFFF330FFFFF330FFFFF0F33FFFFAC +:10178000550F0000000000000000000000000000F5 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F0000000000000000000000100009001000056 +:101900000403000010000000040300009C0100001C +:101910000403000010000000040300009C0100000C +:1019200004030000100000000000000000000000A0 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000001463 +:101970000000402000800000000000000000000087 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE0000000000000000000000040008000000036 +:101AF0000000410080000000100041008000000054 +:101B00001000410080000000100041008000000033 +:101B100010004100800000001000000000000000E4 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000002000000000004000000000000015 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C3000000000080000000000000000000000009C +:101C400000000000000000000000000000E00000B4 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000080800000C000C0C800800000C06C +:101CF00000C0C800800000C000C0C800800000C054 +:101D000000C0C8008000004000C0C8008003000080 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000A0000000020011 +:101D300000C040000000420020000000C000000081 +:101D400022000000004002000040000404000000E7 +:101D5000020000020000000000004400E00000005B +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000002B0 +:101E300000000000000000000000000000000000A2 +:101E40000040000000000000000000000000000052 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED000000000000000000280000002002000005E +:101EE00080000002002000008000000200200000AE +:101EF0008000000200200000800000400020000060 +:101F00008001000000000000000000000000000050 +:101F100000000000000000000000200000000000A1 +:101F200000200200084001000020030008200000FB +:101F300001100800020000000040020808400000F4 +:101F40000000080002000080000000000000000007 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000200000000050400020001C00D4 +:102020000038000000010080010000000000008076 +:10203000010200000000000000000000000000009D +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D00000000008000000000000000800000000F0 +:1020E00000000008000000000000000800000000E0 +:1020F00000000008000000000000000000000000D8 +:10210000000000000000004001000000000000404E +:10211000050010000040804F81000E800540800FB8 +:10212000007A00000240804F013801000240400464 +:10213000042C11000040000F00000004E0002020EB +:1021400000090C0E4000000000000000000000002C +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000C00C2 +:10221000C00200000010280020000000080000009C +:102220000000000008382800000000000000000046 +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C0000000000000005C000000000000005C0056 +:1022D0000000000000005C000000000000005C0046 +:1022E0000000000000005C00000000000000000092 +:1022F00000000000000000000000000003000000DB +:1023000000000000000010000064000400000080D5 +:102310000164000403382800006400040000140075 +:10232000006400070300150000640CA20100000017 +:10233000000002008200020000080000000000000F +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000020000000BD +:1024000000000000840001000850000020000900C6 +:10241000002000001000200002000000100000005A +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000204000101000000020403C +:1024C000001010000000204000101000000020400C +:1024D000001010000000204000101000000000005C +:1024E00000000000000000000000000000000000EC +:1024F0000500000000000200010078011420020025 +:102500000104400001208308040440800030820060 +:10251000011440A004340209040440810420031083 +:10252000080020828000010000300002200000002E +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000001C20004080002000004002008000FD +:10260000000002000600000400000E000600A00109 +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000300000000000080048000071C +:1026B0001000008004800007100001000380000764 +:1026C000100000800480000710000080040000005B +:1026D00010000000000000000000000000000000EA +:1026E0000000000008800000E80001000200000473 +:1026F000000401000228100420000020002800042B +:102700001000280002280004200101000028000415 +:1027100020010880030C10000000000000000100F0 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000010E9 +:1027E0000000000000000D000038000F0000008015 +:1027F0000100000500000C800338012F2000168026 +:102800000100000B2000000000000000000000009C +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:10289000000000000000001000000000D0001680C2 +:1028A00001000020D000168001000020D000089018 +:1028B00001000020D000168001000020D00016800A +:1028C00001008000D00000000000000000000000B7 +:1028D000000000000000008001080000000202105B +:1028E000000000000000021004000109300200474F +:1028F000820000000060001000000000006008433B +:102900002A00000000000008000000000000000095 +:1029100000101000D078000000000000000000004F +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000800000000000000040400000F7 +:1029E0000000004044000400805000084000040043 +:1029F00000400800304004000840000000080400C7 +:102A00000800080000081000080000000000000096 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A4000000000000000000000000000080000007E +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A900000000000000000000000000000000080B6 +:102AA0000000000000000000000000000000000026 +:102AB0000080000000000001004000000000400015 +:102AC0000000000000000000000000004040000086 +:102AD00000000000000000000000000000000100F5 +:102AE00010810000800000000000000100100000C4 +:102AF000000000502010100018040008001018807A +:102B0000000008185000080010010018001800000C +:102B1000084000000000080008020000000000005B +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000100000004 +:102BD00009020050000D0C0000B000000030800819 +:102BE0000C007D000900080C300A00000C70060A79 +:102BF00070180D000C70B80A000C3D0A0C000000A3 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000A8A +:102C40000C000100000100000000000080000000F6 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C900000000000000000000000000E0000000026 +:102CA000000000000000000000000000000E000115 +:102CB0000000000000000000000000000000000014 +:102CC000000000000D000000000E000000000002E7 +:102CD0000100065A31060802000100060600000243 +:102CE000922106160001301A0620201110900000D3 +:102CF00001212001389000300101500120BD002049 +:102D000009207E0C047A000100810000040000000C +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB00000000000000000000000000030000000E3 +:102DC0000000000000300000000001200C000400A2 +:102DD00000000000010004000000000130000400B9 +:102DE00000000080008004000000000000000000DF +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000003000000000000002 +:102EA00000200000000010000000000000000000F2 +:102EB00000000000303000000000000000000000B2 +:102EC0000000000000000C000008010000000000ED +:102ED0000000000000000080000080003000008042 +:102EE00000080000000000308B000000100000000F +:102EF000000C000600000001003000060000000089 +:102F0000000C0000000000000000000000000000B5 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000200000000000000000F1 +:102FC00000000000000000000400000004000000F9 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000080000000000000000000 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000400000000008400000000000000000088 +:1030C00000000000000000000400000000000000FC +:1030D00000000020000000000000000000000000D0 +:1030E00000000000000000000000000004000000DC +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000100000000000080000000009E +:1031B000000000000000000000000000010000000E +:1031C00001000000000000000000000000000000FE +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000010000000007E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000010000002D +:1032A00000000000010000040000200000000000F9 +:1032B000000000000000000000000000010000000D +:1032C0000000000000002080000000000100000459 +:1032D00000000000010000040000000000000000E9 +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:10341000000000404200000000000000000000002A +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:103490000004000000000000000400040000080018 +:1034A000000400000004000000000000080000000C +:1034B00000040000000000000000200000000000E8 +:1034C00000000004000000040000000400000000F0 +:1034D00000000000080000000000000000000000E4 +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000007A073 +:1036B00033332B620F0F00000000E11F0F0F495939 +:1036C000333300000000000000000B18555561FF67 +:1036D0000F0F0000000000000000000000000000CC +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A000000048201E2048201E2000000000482064 +:1038B0001E2048201E2000000000000000004820BC +:1038C0001E2048201E200000000000000000008094 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000048201220482024 +:103AA00012204820122000000000482012200000B0 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C8000000000000000000000000000000051A93A +:103C900033333BC90F0FCB36333300000000A1563E +:103CA000FF00000000000000000000000000000015 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000080010000800041 +:103E8000000022100403402010800A150402C02004 +:103E90000400020004020000040080200000C02092 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:1040600000000000000000000000000000008010C0 +:104070000000000100204721800000001040812244 +:1040800000000001106009000000000010008034F2 +:1040900000000001006000000000000000000000BF +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:1042600000000000000000000000C4004500804085 +:1042700000C04400C40000C000400000C4000000B2 +:1042800000C04400000000000040000000000000EA +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000001000040000000001B +:104460004000802000200000020001200020000009 +:1044700000000000002000000100010000200000FA +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:104640000000000000000000000000002002000048 +:1046500000180C8301BC00005000020410B00020C0 +:1046600008980C0000B8002000000003680000005B +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:1048400000322C00001400000038004120008100DC +:10485000107800C300600C0000780C0000004003DA +:1048600000000040044000000000000000000000C4 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A300000000000000000000080048100008024CD +:104A400008008000002400040080860000001000A0 +:104A5000000000030020000000C000000000000073 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000020000040080B11 +:104C3000007C00412402081B00121C4104400000BB +:104C4000003C800000000000E0011CC00460000087 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E1000000000000000000000000000D0000000C2 +:104E20000000174D03383C000000010000103D0059 +:104E300000000000000000000000000368103D00BA +:104E40000000000000000000000000000000000062 +:104E5000000000000800000000000000000000004A +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000004000000000000000000000885 +:104F20000008000000000000000000000000000079 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC0000000000000008000000000000000000061 +:104FD00000000000000020000000000000000000B1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000C0000000000000094 +:10501000800000000000800000000000000100008F +:10502000201000800000000000000000000440008C +:105030000000000010000000000000000000000060 +:105040000000000000000000080000000000000058 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000080000000000B8 +:1050F0000000000800000000000000800000000028 +:10510000000000000000000000000000000000009F +:1051100000000000000C0000000000000000000083 +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000001000000000000000000FE +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000C00000000D3 +:1051D0000000000000000000000000000D000000C2 +:1051E00000000000000000000000000000000008B7 +:1051F00000000000000000000009000004000000A2 +:105200000009000008010004000060000004000420 +:1052100070006000002400B40000000000000000E6 +:105220000C00500000000000307000000000000082 +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000100CD +:1052E00000000001000000010000000000000000BC +:1052F00000000000000000000000000008000000A6 +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000003001BC +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000001000000000000010000000000BB +:1053F0000000000000000000370600010E00300031 +:105400000601005100080000360100310A000000CA +:10541000660000000F0810000000000000000000FF +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F000000000400000000000000000000000006C +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:1055400000000000000000800000000000000000DB +:10555000000000000000000000000000000000004B +:1055600000000000008000000000000000000000BB +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:1055900000000000000000000000001000000000FB +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D000000080000000000000000000000000004B +:1055E000000000000000000000000000000000407B +:1055F00000000000000000000000000000000000AB +:105600000000000000000000000020000002000078 +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E000000000000000003000000000000000008A +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000100000000059 +:105740000000000000000000000000000000000059 +:105750000000000000000000001000000000000039 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:1057800000000000000000000000000000000040D9 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000020000000000000000000B9 +:1057D00000000000000000000000000000000000C9 +:1057E0000000002000000000000000000000000099 +:1057F0000000000000000000000000000000400069 +:105800000000400000000000000000000000000058 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000004037 +:105930000000020000000000000000000000000065 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000080000000000BF +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF0000000000000000000000000000000FFFFA8 +:105B00000F550000000000000000000000001950C8 +:105B10003333EDCE0F0F0000000000000000000046 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC0000000000000003F3FFFFF00000000000059 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF000000000000000000008000000000000009D +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF000000000000C000000000000000000000098 +:105D0000000048201E2048201E2000000000000047 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB0000000000000000000000090040C00000043 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE0000000000000000000000000800000000033 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA000000000000000488040A94C8040B94C80B0 +:105EB00040B94C8040B94C8040B94C8040B94C80CE +:105EC00040B94C8040B94C8040B94D8040B1000091 +:105ED000000000000000000000000000000048205A +:105EE00012200000000048201220482012208024A8 +:105EF0000200000000004820122000000000000006 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA0000000000000000000000000000000482089 +:105FB00012200000000000000000000000000000AF +:105FC0000000000000004820122000000000000037 +:105FD0000000000000000000000000000000004081 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:1060900000000000000000000000CC33AA55CC3303 +:1060A000AA55CC336666CC33AA553C3CAA556666E5 +:1060B000AA553C3C5A5ACC336666CC336666666653 +:1060C0005A5A00000000000000000000000000001C +:1060D00000009D90333300000000815733334FF5AB +:1060E000FF00FFFFFFFF000000000D06333300003C +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000FFFF3F30000092 +:1061A00000000B99333300000000000000000000E5 +:1061B000000000000000000000000B063333000068 +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000001000402000004000000C3 +:1062D0000403000024010800040200000400000080 +:1062E0000402C020002000000000000000000000A8 +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000003300402402000800000E4 +:1063A00000000000000000000000000000000200EB +:1063B00004020000000000000000000000000000D7 +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000110000000000CB +:1064C00020004700800000004000010000000000A4 +:1064D000800089340000000120100000000000004E +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:1065800000000000000000000000092400000000DE +:1065900010400000000000000000000000000000AB +:1065A00000000900000000001000000000000000D2 +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:106670000000000000000000001000000300000007 +:106680000010000000000000000003C01400000023 +:10669000000000C00B2000000000030010000000FC +:1066A00000000000000000000000000000000000EA +:1066B0000300C400000000230180000000C00000AF +:1066C000002300C0000000000322000002000000C0 +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000C40055 +:106780000000C40000C00000000000000000000085 +:106790000000000000000000C400000000C0000075 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000040024 +:106870008200000000004000040000000000024010 +:1068800000000000000104400200000000000200BF +:106890008000000000000000000000000000000078 +:1068A00000000000040000000000000040020000A2 +:1068B0000020000000000002000000800400010031 +:1068C00004000000000000000000000000000000C4 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000400000000000013 +:106980000000000000000000000000000000000007 +:1069900000200000000000000000000000000000D7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A500000000000000000000000000000001240E4 +:106A60000008010000000C80010C00000000000084 +:106A7000000C800000000080000C800800000C006A +:106A800000000000D0000000000000000000000036 +:106A9000000000000000080000020020000002606A +:106AA00000842C000000000000802C0000000C037B +:106AB00060B800A00A800000000000000000000094 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000010034 +:106B6000000000060000080000B014200000000033 +:106B7000000000000000000000000000000008000D +:106B80000080142000000000000000000000000051 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000224006401000000000E000000C0090 +:106C60000000088005000C060000000008000C0071 +:106C70000000010000000C00000000000000000007 +:106C800000000000000000000000000000300006CE +:106C9000000041C4000000012002000000002000AC +:106CA000000081001078004100400000000000005A +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D500000000C000000000000000000000001C660 +:106D6000040000000000000000000000000000001F +:106D70000000000000000101000000000000000011 +:106D800000000000000000000000000004000000FF +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000008000020000000000A4001C +:106E5000020010000000820002001000000080000C +:106E600002001002000000000000140000000000FA +:106E700000000000000000000000000000000C0006 +:106E800000000000000000000000104C00000000A6 +:106E90000000000000008000000001000100000070 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F400000000000000001000000000200004900F5 +:106F50000010000000000000000000000000000021 +:106F600000000000000041000000000400000000DC +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000848 +:10704000080002000000000808000000000000081E +:10705000A8020000060000080800324000000008F6 +:10706000C802000000000000000000000000000056 +:1070700000002600000002070000080300000103D2 +:1070800010000000000C200000000810C08F0DC789 +:10709000017000000000000000000000000000007F +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000200000000004D +:1071400000000C10008000030000000000000000A0 +:107150000000000000000000000012000000000617 +:10716000000000000000000000000000000000001F +:1071700000000020000000000000000000000000EF +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:1072200000000000000000000000000000000080DE +:1072300000000000C000008000000000C0000080CE +:1072400000000000C000008000000100C00002C07B +:1072500001000D0020000000000000000000000000 +:107260000000000000000800007008200000B64088 +:1072700003000C800100000000003400000020002A +:1072800000280C2008800000000000000000000022 +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:1073300000000000000008040000BC000000000085 +:107340000000000000000000000000000000080035 +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000800000000020804C +:10742000008001000000008000800140008000809A +:107430000080010200401080008001000020000058 +:10744000004004004000000212240000000002007E +:1074500000010000000001000000102000000008F2 +:10746000000088080000000000288020000088003C +:1074700000004800000000000000000808080000AC +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000010000DB +:1074B00000000000000000000000000000001000BC +:1074C00000000000000000000000000000000000BC +:1074D000100000000000000000000000000000009C +:1074E000100000000000000000000000000000008C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000008000000000800000006B +:107520000000000000000000000800002000000033 +:107530000009000080000000005000000000000072 +:10754000100000000000010000000000000000002A +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:107610000000000000000000000900000000000061 +:107620000A0000000600000000003008080000000A +:10763000080A0000080000000000000A3090000066 +:107640000E0000000090000000000E0000003090CE +:107650000000000000903490000000000094000042 +:107660007000B0000000000E0000000000000000EC +:1076700000000000000000000D00000000000000FD +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B0000000300000000000010000000C0000008D +:1076C0000101000110000000010100010C00000098 +:1076D0000101000110000000010100010C00000088 +:1076E0000101000150000000000000000000000047 +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000004000D58 +:1077200000000009000400500000000000100000EC +:1077300000000D00200500000000000000700000A7 +:10774000000000000D00000000000000000000002C +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000070000100700000007000000038 +:10780000701000007000103070104C00704000309C +:1078100070124C207010003000100C0C0000003171 +:1078200041104C004130004041100400000000882D +:1078300008080A00008008000A080A0000000C007E +:107840000A500010000070000050B000005000000E +:1078500000303000000030000000200A0000060068 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000800000000000000000000E0 +:1078A00000000000000000000000000000000000D8 +:1078B00001000000000000000000000000000000C7 +:1078C00001000000000000000000000000000000B7 +:1078D00001000000000000000000000000000000A7 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000003058 +:107900000000000000000000000000000000000077 +:107910000000000030000000000C0000100000001B +:107920000A100000000050000000000000000800E5 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000020000400000042 +:107A20001000000000000000000000400000000006 +:107A30000040000000700000000000003000000066 +:107A400000003400200000002C0000402000000056 +:107A500030000040000000000080000000800000B6 +:107A60000000000000002080000000000000000076 +:107A700000000000000C00000000000000000000FA +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000008000800096 +:107AF0000000000000300000000000000000000056 +:107B00000000000000000000000000020001000270 +:107B10000000000000000002000000000000000063 +:107B20000000000000000002000000000000000053 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF000000000000000000400000000010000047C +:107C00000000000000000004000000000000600010 +:107C10000100000000000000000000000000003033 +:107C2000000000000020000000B000000000000084 +:107C300040000000000041000000000000000020A3 +:107C400000000000400000200000000000300000A4 +:107C500000300000000000000000401C0000000098 +:107C60000000000000000000000100000000000013 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE00000002000000000000080000000000000F4 +:107CF0000000000000000004000000000000000080 +:107D0000000C000000000000000000000000000067 +:107D10000000000000000000300000000000000033 +:107D2000000000000000000008000000000000004B +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D500000000000000000000000000043000000E0 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE000000000000000000000040004000000008B +:107DF000000000040000000020000004000000005B +:107E00000000200000000000100000000000000042 +:107E10000000000000001000000000000000100042 +:107E20000000000000000000000000001000100032 +:107E30001800000013000000000000000000000017 +:107E4000000000000000000000000080000082042C +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE000000000000000000000000004000000008E +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000002000000051 +:107F1000000000000000000000000000040000005D +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000695B33330000000000000000FBA586 +:10803000FF00000000000000000000000000000041 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E000000000000000892255550000000000003B +:1080F000000000000000000000000000000067BB5E +:108100000F0F000000000000000000000000000051 +:108110000000000008000000000000000000000057 +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:1082100000000000000048201E20000000000000B8 +:10822000000048201E2000000000000000000000A8 +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D0000000000000000000000048201E200000F8 +:1082E000000000000000000000000000000000008E +:1082F000000048201E2000000000000000000000D8 +:1083000000000000000000800000000000000000ED +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000008000284D8038 +:1083D00040B94C8040B94D8040B94D8040B94D8086 +:1083E00040B94D8040B84D8040B94D8040B84D8077 +:1083F00040B94C8040B000000000482012204820C6 +:108400001220482012204820122048201220482004 +:108410001220000000004820122000000000000090 +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D0000000000000004820122000000000000002 +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000040000000003C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B0000000000000000000000000000000353551 +:1085C000999999999999999955AA55AA999955AAB4 +:1085D00055AA55AA9999A5A59999999955AA99998C +:1085E00033CC55AA99999999C3C3FFFFFFFF11890D +:1085F0005555C130333357AC555523C90F0FAB56C2 +:10860000FF006964FF000000000029390F0F00001F +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C000000000000000000000002FF3FF00000089 +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E000000000000403000004010800040300006E +:1087F00024010400040340204481C00200018000E1 +:108800000020000000000000000000000000000048 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000080000000400000010000F7 +:1088C0001000000000000000000080000000800098 +:1088D0000002000000000000000000000000000096 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000004700800000002800610047 +:1089E0008000000040004924800000008008C4008E +:1089F00080000001080000000000000000000000EE +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000080100000000100204400D1 +:108AB00080000000000000000000000000008010A6 +:108AC0000000000100200000000000000000000085 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC000000000000000000000000000C48080C021 +:108BD0000000000080C0C48000000000C4404400C9 +:108BE0000080000000000000008000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000800000800000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000020000000000000000B1 +:108DC000000280200000000080200002000000005F +:108DD000002000000002000001000040000200002E +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E9000010000400000000080000002000000000F +:108EA0000000000000000000010000400000000081 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F80000000000000000020000000800100000040 +:108F900000000240010000000000002000008000EE +:108FA00000000040014800000000000000480006EA +:108FB00000000240001000200002028000080000B3 +:108FC0000001024400080020080200C0F3042C0045 +:108FD0003000000000000000000000000000000061 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000F000000000800080013C0000B3 +:10909000000000000000000000000000600001006F +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000600000200E7 +:109180000800000000002C4000000000000000006B +:10919000020000010000024000000C00000000007E +:1091A000000040000000014000900001200010007D +:1091B0000088A000C00022B00B081441200000006D +:1091C0000008200050140000000000000000000013 +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:10927000000000000000000080012C000030000011 +:1092800006000000D0000000000000000000000008 +:10929000000010000030000000000000000000008E +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000200002000401D6 +:109370001400020000002001140040000800400119 +:10938000140000000200000040000300080002007A +:109390000000010000080000000000000040000084 +:1093A000000008C000400000800048420018020091 +:1093B00001000000002C0000000000000000000080 +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:10946000000000000000000000000000800000007C +:109470000004008002000000000000000000000066 +:1094800000000000C000000000C00000000000005C +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:109550000000000000000000000000000000168075 +:10956000070000032000018007009406A00000000F +:1095700003000001200022000000080C4000100041 +:10958000030000064001010000008C06000000807E +:1095900005400007E0000000000080061000000009 +:1095A000008080201000004010101C0010040000FB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:109660000038200000400000003C00000000000026 +:10967000000000000000000060002000003000003A +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000064001000C28200002000000000A62 +:10976000A0000000000000082000004001000126C9 +:10977000C00208000000800000020200000040005B +:10978000000016400328002900020880000000099C +:109790000000088200003C00000000C071083D008D +:1097A0000048000000000000000000000000000071 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000070020200000000C001000000D3 +:109860000001000000000000000000006001000096 +:1098700000000000000000000000000000000000E8 +:109880000000000000008000000000000000000058 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940002000000020400020200000000000202017 +:109950000000000020100000200000000000002097 +:1099600000000000200000000000040001000000D2 +:109970000000800080000840080000000000002077 +:109980008000200000000000802000000000000097 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000800008000000000886 +:109A500008000000000800000000200000000000D6 +:109A600000000000000400000008000800000000E2 +:109A700000000000000000000000000000000000E6 +:109A800000000900000000000000000000000000CD +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B4000000000000000000E09100000000A0000E4 +:109B5000000000000200000000700000060200008B +:109B6000000000000E00000600000C000C040000C5 +:109B700000000400040000000D00000000000001CF +:109B800000000000040400000000000000000000CD +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000090C000C0003 +:109C4000000D000CB800000000180800B000000073 +:109C5000000E000D000009000000001A001C000C9E +:109C600000000000000000000000000000001000E4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D200000000000000000002000000020300021A2 +:109D30002000004040002021000000002000000121 +:109D400020000000000100200000000020000000B2 +:109D50000000040008000050000030003000001037 +:109D600006010000000601301100306000060060AE +:109D700010106060000000000101000000060000FB +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E300031000000005000000000000000D00100D0 +:109E4000000010000000000000000000000800807A +:109E50000080000000000000000000000000000082 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F200000000020000000000000002000000000F1 +:109F300000000020000000000000000104000000FC +:109F400004000021000000000001000104000000E6 +:109F50000031004000000C420000004C00000C02E8 +:109F6000000000400004000000400000000000006D +:109F700000000000000000000000200000000000C1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000020000000000000 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000008000000004000000803B +:10A120000000000000800080000000000080000CA3 +:10A13000010000040100000C01000004000C000CF0 +:10A1400001000000008C000000000200000000027E +:10A1500000000200000000000000000000000000FD +:10A1600000000000000000000000000000004000AF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A2200000000000000000000000000000004000EE +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000004042000000000000005C +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A300000000000000000000000000002004000425 +:10A3100000000000200400000000000020040000F5 +:10A320000000000000000004000000100000000415 +:10A33000000000000000000000000000000000001D +:10A3400010000000000000000000000000080000F5 +:10A3500000000000000000000000000000000000FD +:10A36000000082010000000000000000000000006A +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE60000000000000000000000000000000008052 +:10BE700000000000000000000000000000000000C2 +:10BE8000000000000000000000010000004004006D +:10BE9000800080088000000000001000000000000A +:10BEA0000000000000000000080000040000000086 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE000000000000004000000000000000000004E +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF9000000000000000040000000000000000009D +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000800E8 +:10C05000000000000000000D0000000000000000D3 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000A00000000000000000000B6 +:10C080000000000000000C0C000E0000090039093F +:10C090000901000A000000000D000D00080000006A +:10C0A0005000000000000000000000000000000040 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000010000000000AE +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000100000000000000000000000000ED +:10C25000000000800000000000000000000000005E +:10C2600000000000000000000000000000000000CE +:10C27000000800000010080010001100100000006D +:10C2800000000000000000000000000000000000AE +:10C290000000000800000000000000000000000096 +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C0000000000000000000000000000008000066 +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000C00000000000000C0 +:10C47000000000000000008000000000000000003C +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000001000000D9 +:10C6600000000000000000000000002000000000AA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000FFFF12 +:10D020005F0A000000000000000000000000000097 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000001000000000000000036 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD000000000000000080000000000000000003D +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000002000000000150 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B0000000000000000006000000000000000059 +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A0000000008000400400000800000800080091 +:10E3B000080000000000000000000000000008004D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E470000000000000000000000000000000080094 +:10E480000000080000000808000008000000000864 +:10E49000000008000000000000080000100000005C +:10E4A000000800080000000000000000000000005C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000C00006F +:10E5A0000C000C000C000000000000000000000047 +:10E5B00000000C000000000000000000000000004F +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E670000000080000000400000008040000000082 +:10E680000000000000000000000000000008000082 +:10E6900010000000000C000C000000000000000052 +:10E6A000300000000000000000000000000000003A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E7800000000000000000000000003000300C001D +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000E0000008A +:10E880000000000000000000000000000E0000007A +:10E8900000000000800000000000000000000000F8 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C000000C00000000000000000000000000003C +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000100000000000000000000D5 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000010000000000000000000055 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000008000000000000DC +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED7000000400000000000000000000000000008F +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000008000000000000000000AA +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F05000000000000000FFFFFFFF000000000000B4 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F240000000000000000000000000008C02000030 +:10F25000000000000000000000000080000000002E +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F42000000000000000D8A472A9DFA472B9DFA414 +:10F4300072B9DFA472B9DFA472B9DFA472B9DFA414 +:10F4400072B9DFA472B000000000000000000000EC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F550000000FFFF77220000000000000000000014 +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000FF000F0F555523 +:10F6200033330F0FFF0055553333FF005555333338 +:10F630000F0FFF0033330F0FAAAA000000000000D5 +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100004030000140100000403000010000000B5 +:10F8200004030000140100000403000010000000A5 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA00000000410080000000100041008000000064 +:10FA10001000410080000000100041008000000044 +:10FA200010000000000000000000000000000000C6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF00000000000000000C1D3A3C5C8CB0000C3B3 +:10FC00000080C300CB0000C000C88000C40000C05A +:10FC100000C0C800800000000000000000000000DC +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE000000000000000000000000003800240004E +:10FDF000040000210002C00040000002000080005A +:10FE00000000000200200000800000000000000050 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000008000000000000D9 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000E00000000000000000000000003 +:10FFF00000000000E0000000000C00000000000015 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:100100000000000000000000000008000080000067 +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D0000000100000000000000000000000000708 +:1001E00000001000000000000000100000000000EF +:1001F000E00000000000000000000000000000001F +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000300FB +:1003000000200000000000000000000000000000CD +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C0000000000000000000080000020000100013 +:1003D0000800800000000000020000002000200053 +:1003E0000000000008000000000000000000000005 +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000C000000000000000C000000000000000AB +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E00000000000000000000038000F00000000C3 +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000020000000000000002000035 +:1007C000000000070000000000000000D000000052 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000137 +:1008C0000000000800010080000000080000000097 +:1008D0000000008000000020000001000000000275 +:1008E00000000804000000000000000000000000FC +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000040000D3 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000004000000000053 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000002000000000035 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000004000000000000000C26 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000C005000000000000000BA +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA00000000000700000000000000000000000D5 +:100BB00000000000700000000000000000000000C5 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000003014 +:100CB00000000000000000000000001000000030F4 +:100CC000000000000000000C000000080000000010 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000800000000000000000000DB +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000E00000000000000000000000000000045 +:100DA0000E00000000000000000000000000000035 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F8000000000000000000000000000000000025F +:100F9000000000000000000200000000000000004F +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A000000000000000000000000000000000043C +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000040000000000000000000000003A +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:1015600000000000000000000000FFFFFFFF00007F +:10157000000000000000000000000000000000006B +:101580000000000008000000000000000000000053 +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760008C020000000000000000000000000000EB +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:1018600000008024020000000000000000000000D2 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000488060A94F8007 +:1019400060B94F8060B94F8060B94F8060B94F80F7 +:1019500060B94F8060B94F8060B0000000000000A7 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A5000000000000000FFFFFFFF0000000000008A +:101A60000000000000000000000000000000000076 +:101A70000000FFFF330F0000000000000000000026 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B3000EC3FF466FEA50EE7625A6C3D025A3EC9C0 +:101B400052AF52A99299AEEBA40A5441210D000064 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C4000000000000000000000000100040200008D +:101C50000400000000000000000000000000000080 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E3000000000000000000000000000000003009F +:101E40000000000020000000000000000000000072 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:102030000000000000C300000000000000000000DD +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:10211000000000000000000000000000000000C0FF +:10212000000000400000000000000000000000006F +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:10222000000000000000000000210000000000008D +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:10231000000000400000004000000020000000001D +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000802D000F +:1024200000000000000000000000000000000000AC +:10243000000000000000000020000000000000007C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F000000020D00302000EE00011D003001C0AEF +:10250000A00006400100000AA000025000000027C1 +:102510004002000000000000000000000000000079 +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:102620000000000000000080010000000000000029 +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E000000000000000028003082808F00100003C +:1026F0000000BE0BB00127400700800BB001028034 +:102700000B080006C00100000000000000000000EF +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000004000000B4 +:102820008000000000000000000000000000000028 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000240100D3 +:1028E0000000000000802000000000000020000028 +:1028F000000062000A7000000000000000000000FC +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F0000000000000000000008C2000000000002B +:102A00000000000000000000000000000000008046 +:102A100001000000000000000000000000000000B5 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC00000000000000000000000000000002240A4 +:102AD000063808001001234006901C071000234010 +:102AE00006000007E0001200020C2E07E0000000C4 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000303C0079 +:102BF00000000000000000000000000000000000D5 +:102C000000000020000000000000000000000000A4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC000000000400038000F000002400010002FFC +:102CD000F00002400028012FF002024000280C2FD3 +:102CE000F0020000000000000000000000000000F2 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000004000000400AF +:102DE00000080000080008000800000000000000C3 +:102DF00000000000000008080000000000000000C3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0001000000020000020002000000000000092 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000C00000800080008000000CD +:102FE00000000000000000000000080C00000000CD +:102FF0000000007000000000000000000000000061 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A000000000000000000000000000020000001E +:1030B000000000000200000000000000000000000E +:1030C000000000000000000600000000000A000AE6 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000030000008000000000000000000C7 +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A00000000000000000000000000020000020DE +:1032B00000200000000000000000000000000000EE +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B00000000000000000000030000000000000DD +:1033C00000000000000000000000200200000000DB +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:103490000000000004000000000000000400000024 +:1034A0000000000000000000000000000400000018 +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000008000009B +:1035B00000000000000000000000000000004000CB +:1035C00000000000000000000100000000000000FA +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:103680000000000000000000010000040000000035 +:103690000100000400000000010000040000000020 +:1036A0000100000000000000000000000000000019 +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D000000000000000000000000000000000806A +:1036E00002000000000000000000000000000000D8 +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E0000000000000000040000000000000000099 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000004000440 +:103880000000000000040004000000000004000428 +:103890000000000000040000000000000000000024 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A00000007777FFFF000000000000000000002B +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA000080000000000000000000000000000000E +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B900000000000000090040C0000000000000085 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F7000000000000000FFFFBB1100000000000077 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000024D +:1041700000008000000200000000000000000000BD +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:1043600000008000000000010004000000000000C8 +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:104550000000000000000000000000C0000000009B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000001059 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000020000000000000000057 +:104940006000000000000000000000000000000007 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000200000083 +:104B30000000000000000000001000000000000065 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D200000000000400000004000000000400000C3 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F1000000000A0000000000000000000000000F1 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000A0000000FF +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000400000000000804000000007D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:105350000000000000000004000000000000000049 +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F000000000000000000D00000000000000108F +:1055000000000000000000000000000000000C008F +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F0000000008000000000000000000C0000001E +:105700000000000800000000000000000000000091 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000C5D +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D0000000000000300000000000000000000098 +:1058E00000000000000000000000000000000000B8 +:1058F00004000000000000000000000000000000A4 +:105900000000000000002000000000000000000077 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC0000000000000000000008000000000000056 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000020000000000000000000000B4 +:105AF00000000000000000000000400C000000005A +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF0000000000000000000000000004300000062 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00020000000000000000000000000000000B4 +:105CD00000000000000000000000000000000000C4 +:105CE0000000080000000000000000000000820426 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED0000000FFFFFFFF00000000000000000000C6 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000008C0200000000000042 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A0000000488060A94F8060B94F8060B94F807E +:1062B00060B94F8060B94F8060B94F8060B94F803E +:1062C00060B00000000000000000000000000000BE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000007A7EE44FFEC332DB03 +:1064A0004A667A3C0266B6C3CE9926C986A594FF91 +:1064B0001066060B2324000000000000000000000E +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A7000000000000000C000000000000000000056 +:106A800000000000000000000000004000000400C2 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000001000000000023 +:106C700000200000000000000000000000000040B4 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000238000AEE +:106E6000A0002EE00100000820000E000238000AF9 +:106E7000A00028200202140AA00000000000000068 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:1070400000000000000000000000000000000E80B2 +:1070500003000000A0000E800300000120001E803D +:1070600003000003A0000880001051035800000036 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000030000E10180228000CC0000082025E +:10725000280030000E10980228000C400010100882 +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:107430000000000000000E8003800008E0010E80C4 +:107440000300800810011E8003808008E00108808E +:107450000000800810010000000000000000000093 +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:107620000000000000000000000000C00100000099 +:1076300000022EE00100000000000EC0010000006A +:10764000000228A000000000000000000000000070 +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000101000100010000000000810 +:107830000000001000000000000000000000000038 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A0000000000000000000000000000000900006D +:107A1000000D0C000C0008000030100010001000D9 +:107A20000000000002010010000090709000900023 +:107A300000000000000000500000000000000000F6 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C000000000000000001000100010000000A0067 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000040004000000040000000400000062 +:107E1000040000000400000000000000000000005A +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF000010000000000000001000000010000007E +:108000000100000401000000010000000000000069 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000004000000040800000000007F +:1081F0000004000000000004000000000004000073 +:10820000000400000000000000000000000000006A +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C0000000000000000000000080040000000025 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B0000000000000000000000000000000004078 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B0000000000000000000000000000000FFFFB9 +:1089C000FFFF0000000000000000000000000000A9 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA0000000000000000000000000000000700055 +:108BB00000010000100100000000000000000000A3 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA0000000400080000000000000000000000003 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F90000000000000000000000000C80000000009 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000020000000000000D9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B500000300000000000000000000000000000D5 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D500010000000000000000000000000000008EB +:109D600010000010001000100000000000000000B3 +:109D700010000000000000000000000000000000D3 +:109D800010000000000000000000000000000000C3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000800000000000019 +:109F400000B0000010000800080008000000000039 +:109F5000000000041000002000200020000000008D +:109F600000000000100000000000000000000000E1 +:109F700000000000100000000000000000000000D1 +:109F80000000000050000000000000000000000081 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000100002E +:10A130000E0000000000000000000100010001000E +:10A14000000000000000000000000000000000000F +:10A150000E000000000000000000000000000000F1 +:10A160000E000000000000000000000000000000E1 +:10A170000E000000000000000000000000000000D1 +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000004000000000000000009E +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A000000000000000004000000000000000006E +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000004000000000000000003E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000004000000000000000000D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A3300000000000000000000040000000000000DD +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000200000000003A +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000001000000000DC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000001000000000AC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000010000000007C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000030000000002C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000002000000B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000040000000000000000025 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD0000000000A01228DFA472B9DFA472B9DFA48A +:10AD100072B9DFA472B9DFA472B9DFA472B9DFA47B +:10AD200072B9DEA472B00000000000000000000054 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000400000000033 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF000000000000000FFFFFF00333355553333DF +:10AF00000F0F5555FF00FF00555533330F0FFF004E +:10AF1000555533330F0FAAAACCCCFFFFFFFF00001B +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000403000059 +:10B0F000100000000403000014010000040300001D +:10B10000100000000403000014010000040200000D +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000041002D +:10B2E00080000000100041008000000010004100BC +:10B2F00080000000100041008000000010000100EC +:10B30000000000001000000000000000000000002D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000080C0C4C8000080C8C480C0C0C400D0 +:10B4E000C80000C000C88000C40000C000C88000C0 +:10B4F000C400000000C00000C00000000000000008 +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C0000000000000008020000000008000000258 +:10B6D0008002000000000002000080000000000264 +:10B6E0000000800000000002002000008000000038 +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C000000000000000000E00000000000000006A +:10B8D000E000000000000000E000000000000000A8 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000100076 +:10BAC0000000000000001000000000000000000066 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA000000010000200000000000000040000027C +:10BCB0000000000002000000200000000200000060 +:10BCC000200020000008000000000000000000002C +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE90000000000000000000000000000000100092 +:10BEA00000000000C00000000000000000000000D2 +:10BEB000000000000000000000000006000000007C +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C09000D0000000000000000002000000000007C7 +:10C0A0000000000000000007000000000000002069 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C48000000000000000000070000000000000003C +:10C49000000000000000000070000000000000002C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C67000000000000E0000000000000000000000AC +:10C68000000000000E00000000000000000000009C +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000001000000000000037 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D900000600000000000000000000000000000F3 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000400000000000000000000021 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000001000006D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:1015600000C00000000000000000000000000000BB +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040005F5 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA50000000000000000000000000000000005076 +:10DA600005000000000000000000000000000000B1 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC4000000000000000000000280000A00000000C +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE30000000FFFFFFFFFFFFFFFF000000000000EA +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000080240E0080240E0000008C +:10E030000000000000000080000000000000000060 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000802402008024B4 +:10E2200002000000000000000000000000000000EC +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E400000000000000000000000000000000FFFF0E +:10E41000FFFFFFFFFFFF0000000000000000000002 +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000051190403C0209C45000000000000D8 +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F000000000000000D12880000001106000002F +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E00000000000000000000000470003DBC20040 +:10E9F000C0A30000000000000000000000000000B4 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000040031 +:10EBE00020001480820200000000000000000000ED +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD00000000000003816000000000000000000E5 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000030140000000000FD +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000001C030030 +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B00000B0140000000000000000000000000089 +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A0000000000000302800000000000000000003 +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000800000004000800000055 +:10F7A0000C0000000000000000000000000000004D +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F98000000000000000000000000C060A0000401B +:10F990000000B65000100000000A00000000000047 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB7000000000000000000000000000000006007F +:10FB800000000C00000000000880000000000000E1 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD700000000000800000040000000000000000FF +:10FD80000200000000000000000000000000000071 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000280000000000000069 +:10FF7000000000000400000000000000000000007D +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040006F4 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:1001500000000000000000000000C00104000008D2 +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:100340000000000000000000000000000000FFFFAF +:10035000FFFFFFFF77220000000000000000000008 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:10054000000080240E0000000C00000000000000ED +:10055000000000800000000000000000000000001B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000FFFFF303FFFFD5 +:10093000F3030000000000000000000000000000C1 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000030A5 +:100B20000000402000C000000000000000000000A5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D1000000000280000000000400000000000006B +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F0000000000000000000003620000000000007C +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000020200000B0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000020DE +:1012F00000030E0440400000000000000000000059 +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E0000000228021101008000900000000000008 +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D00000000000000020000000020000000000E8 +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C000000000000000000000000C800400000088 +:1018D0000080000000000000000000000000000088 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC00000503C000000000000000000000000008A +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB00000000C0400040804080C040800000000E4 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA000000000000000040600000C00080C000CFC +:101EB00000B0003000000000000000000000000042 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000008080004000C20 +:1020A00000040C00000000800000000000000000A0 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000044A +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000010100000000000000000000004A +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000400080000000400004A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:1028600000000000000000000000FFFF4747FFFFDE +:1028700047470000000000000000000000000000CA +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000C0000000C0000000000000000000080CE +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C500000000000000080240200000000000000CE +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E4000000000000000FFFF3355FFFFFFFF000000 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:10303000000000000000000000005000000100003F +:1030400090010000000000000000000000000000EF +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000040005E +:10323000800000000000000000000000000000000E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:103420000000000000811300000000000000000008 +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000005000000000000A5 +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:10380000000000000000000000008000003C0000FC +:103810000110000000000000000000000000000097 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000002203A2 +:103A0000600C2C000280000000000000000000009C +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF0000000200020010000000000000000000084 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE0000000000000000C0BE0702000000000004C +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD000000000000000000000000000000A0010C7 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000800E7 +:1041D0000C8000080800000000000008000000003B +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000C000C0000080C00001009B0000CEC +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000006C1600000070008089 +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A00000000000000000000000040000040400FD +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000010400000000000000000000000002 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000080000080004000000000000000001 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040007F3 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:100710000000FFFF7722FFFF4747000000000000B6 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000010058 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000010038 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000030016 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000100F8 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000100D7 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000010097 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000010077 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000030055 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000010037 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000010017 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000C0000000C000000CF +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000FFFF06 +:100CF0003355FFFF553300000000000000000000E6 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000701900000004105400000000000072 +:100D90000000000000000000000000000000000053 +:100DA0000000B03900000004C04C0000000000004A +:100DB0000000000000000000000000000000000033 +:100DC0000000A039000020041054000000000000C2 +:100DD0000000000000000000000000000000000013 +:100DE0000000F0390000000480E70000000000006F +:100DF00000000000000000000000000000000000F3 +:100E00000000201900000080806400000000000045 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000201D000020041044000000000000ED +:100E50000000000000000000000000000000000092 +:100E60000000B039000020041083000000000000E2 +:100E70000000000000000000000000000000000072 +:100E80000000E033000000011082000000000000BC +:100E90000000000000000000000000000000000052 +:100EA0000000C0220000000010450000000000000B +:100EB0000000000000000000000000000000000032 +:100EC0000000E03900000004808200000000000003 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F7000000000000000C028000080000087000082 +:100F80000000000000000000000000000000000061 +:100F9000000000000000C02800008000008B00005E +:100FA0000000000000000000000000000000000041 +:100FB000000000000000E0280000000000870000A2 +:100FC0000000000000000000000000000000000021 +:100FD000000000000000C02800008000089300000E +:100FE0000000000000000000000000000000000001 +:100FF000000000000000E0280000800008930000CE +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:10103000000000000000E0200000000000E30000CD +:1010400000000000000000000000000000000000A0 +:10105000000000000000C0280000000000E30000C5 +:101060000000000000000000000000000000000080 +:10107000000000000000C4210000400000E3000068 +:101080000000000000000000000000000000000060 +:10109000000000000000C4210000800100E3000007 +:1010A0000000000000000000000000000000000040 +:1010B000000000000000C4280000400008E3000019 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:101160000000000000000000000000000003030079 +:10117000F3000013000303C1C3050003000713C3FA +:1011800081030000000000000000000000001300C8 +:10119000C3000007001303C5C30100030007138346 +:1011A0008323000000000000000000000000000099 +:1011B0000000000000000000000000000000C0C3AC +:1011C000F383000000000000000000000300C0D313 +:1011D000A387C801C3C5C3E3C3130000130080C3C2 +:1011E000C1C700000000000000000007000313005A +:1011F0000000000303A30003C90700030113A303B6 +:10120000C007000000000000000000000000000017 +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000001300AB +:10123000C3000005000313C3C3010001000701E35D +:10124000D3030000000000000000000000030300C2 +:10125000CB00002300070383D3050007000303EB43 +:1012600081030000000000000000000000000000FA +:1012700000000000000000000000000003C080E348 +:1012800000CF0000000000000000A0008300C8C3E1 +:1012900003E300058000C1CFC3C3030003C8C083BC +:1012A000C7C5000000000000000000C000130000DF +:1012B000C000008013010307C3030000C507D3016A +:1012C000C0030000000000000000000004800000D7 +:1012D0000800000000000000000000000000000006 +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000840000000000000080441A000000147 +:1013700000000028A0800000000000000000000025 +:1013800000000000A000000000000802050100802D +:101390000000000CC0000000000000000000000081 +:1013A000000000000000000000000000000000003D +:1013B0000000012800030000000000000000000001 +:1013C00004001040400200015004A010050000007D +:1013D00000008041302000000000000000000000FC +:1013E0000001000000000080080200012000000849 +:1013F00040000401010000000000000000000000A7 +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000A0000000000100A03001000149 +:10143000000020108080000000000000000000007C +:101440000008200040000000000040821000000062 +:1014500000010800A0800000000000000000000063 +:10146000000000000000000000000000000000007C +:10147000401080400000000000000000000000005C +:10148000C0000084401000008000500005212000B2 +:101490004000108202200000000000000000000454 +:1014A000000000008000000400014000050800006A +:1014B0002000800101080000000000000000100072 +:1014C0000004000000000000000000000000000018 +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:101540000000000000000000000040180001100032 +:1015500000000020043000200000001004000C00F7 +:1015600000000000001100200000000500790020AC +:101570000000000004302C000000000004381C2093 +:1015800000000010001014200000000004002C20B7 +:101590000000000004002C200000000004000E20C9 +:1015A0000000000000000000000080200039000062 +:1015B0000000000000080000080000000008000013 +:1015C0000800000004780C0000000005040008205A +:1015D00000000000040000000000000000280020BF +:1015E00000000000040000000000000000000000F7 +:1015F00000000000000000000000000000000000EB +:101600000000000000000000000000030478142027 +:10161000040000100000000000000000044800006A +:1016200000000010002C00200000000204482C20C4 +:101630000000001004003420080000100000210009 +:1016400000000000040808200000000004002C2016 +:1016500000000000007900200000000000380000B9 +:1016600000000000000000000000802004000000D6 +:101670000000001004000800000000100400AC008E +:101680000000000000004020000080200030010029 +:1016900008000000000900200000000000000020F9 +:1016A000000000000000000000000000000000003A +:1016B0000000A020004A008001100000000000008F +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:10174000E000104000000000020000E60100000080 +:10175000030080E401000000E04028060000800D46 +:1017600087002826010000800540902701000080A6 +:1017700007400E2601000000C041020600000000E4 +:10178000000000060000000000000006000000004D +:101790000000000600000000200000000000000023 +:1017A0002080802601000020E281208001000000CE +:1017B00062000083010000000000A0E40000800D32 +:1017C000070002E6010000A0010080E10000000027 +:1017D0002740002601000000C60080030000000032 +:1017E00000000000000000000000000000000000F9 +:1017F0000000000000000000000000000000008366 +:1018000001000046000000002000000600000080EB +:1018100000008087050000002301340600000082DC +:101820000000002000000000C00028C100000000EF +:10183000800080C405000000008022060000000037 +:101840000000000600000000E000000600000000AC +:10185000E00080040000000080018006000000001D +:1018600020002821010000002000022101000000CA +:1018700002002CC005000000E0001006050000007A +:1018800020001046000000202280002600000000FA +:101890002200002601000080E5001000000000008A +:1018A00000000000000008826010001000800000AE +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:10193000000000000800D920000000000810080086 +:101940000000000000000B00000000000000041078 +:1019500000000000080441000000000008043400FA +:1019600000000000008003000000000000020100F1 +:10197000000000000000640000000000000064009F +:1019800000000000000060000000000000010008EE +:101990000000000100004100000000000002800083 +:1019A0000000000004138000000000000800080090 +:1019B0000000000000040500000000000002081400 +:1019C0000000000008074000000000002800400060 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F000000000140C000C10000000000003182868 +:101A000000000000040208000000000020000400A4 +:101A1000000000140400100000000000008000001E +:101A200000000000108200000000000000800000A4 +:101A300000000000000000000000000000000000A6 +:101A40000000000000114000000000000000000045 +:101A50000000000100002008000000000000400815 +:101A60000000000000000100000000000001040070 +:101A7000000000010011200800000000080004041C +:101A80000000000004811000000000000001500868 +:101A900000000000000000000000200030000000F6 +:101AA0000200000000000000000000000000000034 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B2000000000000000808C83010C210000008078 +:101B300083012D07050000A080318C00050000A066 +:101B400080319CC00100800C832908200000000027 +:101B500082098CC001000020832988C00100000098 +:101B6000C60008030000800C800114030000000080 +:101B7000800110010000000080011503000000003A +:101B80000000800600008004020800C3010000007D +:101B9000E6009CC001000000E6009CC601000000B9 +:101BA000821120070000000006080804050000A0BC +:101BB000E00000C001000000632800C10100000037 +:101BC00022000007000000000000000000000000EC +:101BD0000000000000000000000000000000000005 +:101BE000000000000000808C81011C06000000A0A5 +:101BF0006100802100000000E6000C870100000069 +:101C000086009C000000800C80019DA00100000067 +:101C1000263194C0000000008628A00601000000C4 +:101C2000873122470000800C8001B60300000000CD +:101C300080011C070000000080291C00000000003B +:101C4000C000800400008004C208942400000080CA +:101C5000C13000C0000000208301820001000000AC +:101C6000830180000000800C82010C27000000002E +:101C7000220000C0010000808029A1030000008034 +:101C80008101200300000000000000000000068029 +:101C9000070000000001000000000000000000003C +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000400000000BF +:101D20000000000000000C20000000000080000007 +:101D300000000000008011000000000400003C00D2 +:101D40000000000000000000080000000000340057 +:101D50000800000000003C20000000040000140007 +:101D60000000000000001000000000000000000063 +:101D700000000000040000000000802404020000B5 +:101D80000800000000003D000800000000003D00C9 +:101D9000080000000080002000004008040014201B +:101DA000000000000000000000000000000028000B +:101DB000080000000000000000000000000000001B +:101DC0000000000000000000000000000000000013 +:101DD000000000000000000000000004000095006A +:101DE0000000000000000000000000000000402093 +:101DF0000400000000001D000000001400000900A5 +:101E000000000000000001000800000000000000C9 +:101E10000400000000000C20040000040000140076 +:101E20000000000000003D200000000000800100D4 +:101E300000000000040000000000802404020000F4 +:101E400000000010048000000800000000000800EE +:101E50000400002000000D0000000024000000200D +:101E60000000000000011400080000100000000045 +:101E7000080000100000000000000000000000004A +:101E80000000000000000085C1000000000000000C +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F00000000080018001000000008088000000011 +:101F10000000000000000004100010120002101069 +:101F20001000100000000000000000001000202041 +:101F30000430100050500102000C04001008108002 +:101F40000000000004000000000410000000080071 +:101F50000000040000800004001004008400000061 +:101F6000200000000010082004008010200000283D +:101F700020000024900C00124838581420402008FB +:101F800004343428101480100000001402240000CF +:101F90000000041110001019801008128000009237 +:101FA000403808121020120A0010880C003102304C +:101FB000404000180C2490020100889120100C2051 +:101FC0000010002001002120010000000020000876 +:101FD00000000020000000000000000000001000D1 +:101FE0000010401040100010100010402000003081 +:101FF00000204010401001210020448034040030B3 +:10200000141000000000000800101022000810004A +:10201000040010000010000800080010000210006A +:1020200000000000105008100800080810100008F8 +:102030001824082818284008101C00000C18041048 +:1020400010084004585010002404303C0044303440 +:10205000003418000021101210001010201420125B +:10206000101004003C203202801010040C0200000A +:102070000000000000001201040420100C04000005 +:10208000110010000000000000000000000000002F +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000090009000000000000DE +:1020F0000000000000000000240020000000040494 +:10210000000000000000000000000000000030009F +:1021100000501030000030000000000000000000FF +:102120003000700D003010001030707D000C000089 +:10213000290420B000007C707C0000BE080E300036 +:1021400070000C00000A0000090000000D300000C3 +:10215000B0000000090000000515000A090A00107F +:102160000505000C00000000355000300C14101064 +:102170000005000C9915300C2910901C9858901AE5 +:10218000000E0E0A0D500C1C2D5A1D2C0D10AC30DB +:102190000000000A093C0C2039B0200C08300C0467 +:1021A000501000300A0A0D040400100A001000004C +:1021B0000500000000000900000075000000000C90 +:1021C000000A0D0C000A0000000E00700D7A000ECF +:1021D000780C100000307030000D00100000000975 +:1021E00000B070190000000000000E7D0800900093 +:1021F0003500002030200C000800000400300000F2 +:10220000000810000000300000390000000000301D +:10221000000D3000000000001000B4390400000C74 +:10222000101E0808140000083408000830340C029E +:10223000BC3C063030085000341A360A0000191C25 +:10224000080030100D1A300EB019303539003D300D +:10225000003A0E29303A00001409300AB920200C47 +:10226000BC1000000000000000002050900070250D +:10227000900090B0300510050050000000000000F4 +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000010001000000000000000001FB +:1022E00000000000010000000000000000400000AD +:1022F00000000000304000000000004000400008E6 +:102300000000000C000C00000000000000000000B5 +:10231000000000000000202000200000303E080CDB +:1023200000000C00000000300050010009004000D7 +:1023300001040000400000000000040000300054D0 +:1023400040000400180000002000000000004000D1 +:102350000000100000000000000000201004000C2D +:102360001010104000201000001010306054300198 +:1023700001410A000C000000000005590000000C9B +:102380001A000058100000349020805000100404FF +:10239000010030040014043010100000041C200C54 +:1023A0000880203410000C100000001004003410CD +:1023B0000C000001001000000000003000000000D0 +:1023C00000000E000101000000003000300000009D +:1023D00000000030200000200020300030000C2CD5 +:1023E000012038313C0C00300400010001000000E5 +:1023F000000000380000000E08000000000000008F +:102400000000000000080000000000000030000094 +:10241000000000000000010000000020000020007B +:102420000000010008004C500000115130300A003B +:102430001004002C011400045A0000000088000C55 +:102440000000000E10083A045000040E203024044E +:1024500030000005048C0000000000000000040CA7 +:10246000040C0000040C00000C0000000000000040 +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000200000DC +:1024E00000000000002000000000000000200000AC +:1024F000000000000000000020302000000000006C +:102500000040004000400000220040000000001099 +:1025100082400000000000108040000000000050D9 +:1025200000400004004000008240000080000000E5 +:102530000200000000000000000000000000000099 +:102540000040000000004200000040300000000099 +:10255000800000000040000080000000000000003B +:102560000000000020424020000000000000002089 +:102570000040200000400000000000000240000079 +:102580000000000000400040000440000000023055 +:1025900000000000004000000000000000000000FB +:1025A00000100000000000300000000002000000E9 +:1025B000000000000000000000008000000000009B +:1025C0000000000000000000020000000200020005 +:1025D00002000020000002000000000000000200D5 +:1025E00000000004000000000000400000000000A7 +:1025F00000000000000000000000000000000000DB +:10260000000000000000004000000000000000008A +:10261000000000000000000000000000004040300A +:102620000000240080004030000040000000002036 +:1026300000000000002000800004000000000000F6 +:10264000000000000000000000000000000000008A +:1026500000001000000C10800000000000000000CE +:102660000000000004000000000000000000000066 +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E000000000000000000000000000008000006A +:1026F000000000000000042000000000040024008E +:102700000000000024000000000000002000000085 +:102710000000000000000000020000002400000291 +:102720002000000004000000000000000000000085 +:102730000000000000100000000000000000008009 +:102740000000000020002000001000802000000099 +:1027500000000000000020004000200000000000F9 +:102760000000000000000000000000000030000039 +:1027700000200000000000000000002000002000F9 +:102780000000000000000000000000000000000049 +:1027900000000000000000000000008000000000B9 +:1027A0000000000000000000000000000000200009 +:1027B0000000000000000000000000000400000015 +:1027C00004000400040000000000000000000000FD +:1027D00000000401000004000000000100000400EB +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000020000000001000000000A9 +:1028000000000000000000000000000000000000C8 +:102810000020208000002000200020800000000018 +:102820000000000000000000000000200030000058 +:102830000000000000000000000000000000000098 +:1028400000000000000040000002402000000000E6 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C00001000000001000000002000000100000E5 +:1028D00000020000001000000002000000000000E4 +:1028E00010021000000000000002040000000000C0 +:1028F00010000400000000100000000000000010A4 +:10290000000000000000001000810008000000002E +:102910000081000000000000000000000000000036 +:1029200000000000000000000000000000000000A7 +:10293000000000000000000000083000000000005F +:10294000100800000000001000002000000000102F +:10295000000000000008001000101000000000003F +:10296000002000000000000000000000000800003F +:10297000000800000000001000000000000000003F +:102980000000000000000000001000001000000027 +:102990000000000010001000000000000000000017 +:1029A00001000000000000000081000000000000A5 +:1029B0000081000000000000000000100000000086 +:1029C00000000000000800000000040800000000F3 +:1029D00000000400000000000000000000000000F3 +:1029E0000000000000000000000060000000000087 +:1029F00000000000000000000000000000000000D7 +:102A000000000002020000000000F80100000000C9 +:102A10000000000000100010000840000018000036 +:102A20000028000000000008000000000000000076 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:105150000000000000000000000000000000D0A5DA +:105160000C000180000000500C000180000000C015 +:10517000040000000400000004000000040000001F +:10518000040000000400000004000000040000000F +:1051900004000000040000000400000004000000FF +:1051A00004000000040000000400000004000000EF +:1051B00004000000040000000400000004000000DF +:1051C00004000000040000000400000004000000CF +:1051D00004000000040000000400000004000000BF +:1051E00004000000040000000400000004000000AF +:1051F000040000000400000004000000040000009F +:10520000040000000400000004000000040000008E +:10521000040000000400000004000000040000007E +:10522000040000000400000004000000040000006E +:10523000040000000400000004000000040000005E +:10524000040000000400000004000000040000004E +:10525000040000000400000004000000040000003E +:10526000040000000400000004000000040000002E +:10527000040000000400000004000000040000001E +:10528000040000000400000004000000040000000E +:1052900004000000040000000400000004000000FE +:1052A00004000000040000000400000004000000EE +:1052B00004000000040000000400000004000000DE +:1052C00004000000040000000400000004000000CE +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000C000180000000A00C0005807B +:10537000000000000C0000800000FAEA0C00018030 +:10538000000000B004000000040000000400000061 +:045390000400000015 +:00000001FF diff --git a/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.bit b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.bit new file mode 100644 index 0000000..a97b25a Binary files /dev/null and b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.bit differ diff --git a/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.mcs b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.mcs new file mode 100644 index 0000000..1a38356 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip-XC3S500E.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000080000000000000000D5 +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:100290000000000000020000000000080000000054 +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:100500000000003100510000000000000000000069 +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:10053000000000000000A000000012000000000009 +:100540000000000000000000200800002200000061 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000002000000000001A +:1006C0000000000000000000000000000001000029 +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000100100000000A7 +:100840000000000000000000000010010000000097 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000100126 +:1009C0000000000000000000000000000000100116 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:10112000400000000000000000000000000000007F +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000100000000000000000000003D +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000200007A +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00020000000000000000000000000000000CB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000008000000000000000000000071 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000040000000000000021 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000020000000000000000000C5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000002000000C2 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000002A2 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D700000000000000000000000900000000000D3 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000B0000000000022 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000005000A2 +:101EF00000000000000000000000000000003000B2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000080000000006000000000000A3 +:101F30000000000000700000000000000000000031 +:101F40000000000000000008000000000000000089 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000E00000000000000000043 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0001000000000000000000000000000000000 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000004000000000000000000000004E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:102530000000FFFFFFFF000000000000000000009F +:102540000000FFFFFFFF00000000FFFF5353FFFFED +:10255000FFFF00000000000000000000000000007D +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:102580000000FFFFFFFF00000000FFFFFFFFFFFF55 +:10259000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1025A000FFFF00000000000000000000000000002D +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:102630000000000004000000000000000000000096 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B00000000000000080240E0000000000000068 +:1026C00000000000000080240E0000000000000058 +:1026D0000C0080240E00000000000000000000003C +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000080240E0000000000802473 +:102710000E0080240E0080240E0080240E008024F1 +:102720000E0000008C02000000000000000000000D +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000080000000000000011 +:1027C0000000000000000000000000000000000009 +:1027D0000000000000000000000080040000000075 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:1028900000008024020080240200802402008024A2 +:1028A00002008024020080240200000000000000DA +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000400000000057 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000FFFF79 +:10296000FFFF000000000000000000000000000069 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B000000000000000FFFFF505FFFFFFFF000023 +:1029C00000000000000000000000FFFF5533000081 +:1029D000000000000000FFFF7722FFFFDD11FFFF76 +:1029E0004747000000000000000000000000000059 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A1000000000000000FFFFFFFFFFFFFFFFFFFFC0 +:102A2000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000B4 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000040200000400000000000000DC +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B4000000000000000402000C000000000000065 +:102B5000000000000000402000C080020000800053 +:102B6000000C000000000000000000000000000059 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000001400004020001026110403C02093 +:102BA000400800020403C020CCCF080404034020E6 +:102BB000ACD1000000000000000000000000000098 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000100000000001000000053 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC00000000000000000210000000000080000DB +:102CD0000000000000000024000000000010800040 +:102CE00000000001000000000000000000000000E3 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D10000000000000000020000000000000C93496 +:102D2000800000018008C721800000018008612028 +:102D300080000000400000000000000000000000D3 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000030300000003DA +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E4000000000000010000000000000000003006F +:102E5000020000000000000000000000002200004E +:102E6000E000000010200000C0000008000000008A +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E9000000000000000000000000022030002000B +:102EA00003000253C0C7C803C7010201F3C0CB43EC +:102EB00083230362D7C70303F3010000000000006F +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000400120 +:102F70000000000100000000000000000000000050 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC00000000000000000000000000040000000C1 +:102FD00000002000040000000000000004000000C9 +:102FE00000000000000000080000020010000000C7 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:103020002000040020000420820402084001040162 +:1030300000900821A00040200002040100010000CF +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000047B +:103150000000000000000C00000000100000000053 +:103160000000000000001400000020200008000003 +:10317000010000000000000400000000000000004A +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A00000000000008008000000000000781C0003 +:1031B0000000000000121C000000000000680F006A +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000800F6 +:1032D000000C0008000000000000020000000000D8 +:1032E0000200000000000000000001000000408219 +:1032F0000174100002000000000040000000000007 +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:103320000000000000000000003802000000000063 +:10333000000000000000000000B8100000000000C5 +:10334000000010000000000000000000000000006D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000002000000000000000000000000006A +:10346000000000000000000040000000000000001C +:1034700000000100000022004000000000000000E9 +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000011000B +:1034B00000000000000C19000000000000201800AF +:1034C00000000000000800000000000000000000F4 +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000800000000000800000000000000000DB +:1035F000004000000000008200000C0000002E00CF +:10360000000040000000000000000000000000007A +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000C160000000000004000000000000028 +:10364000003C3C0000000000000C0E0000000000E8 +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000001851 +:103760000000000000083C000000002000000000F5 +:103770004000000000383C00000080200050000F96 +:103780002000000000000008000000000000000011 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B00000000000000000000000000000280200DF +:1037C0000000000000082C00000000000040010084 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:1038800000000000400000000000000001000000F7 +:103890000000000000000000000000000000000028 +:1038A0000000000101000000000000110000000005 +:1038B00000000010000000000000000000000000F8 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F0008000000000022400000000000000000022 +:103900008000000000002200010000000000000113 +:103910000000000000000000210000000000000284 +:10392000000000000200010000000100000204008D +:103930001000000000000000000000000000100265 +:1039400090100002044000000000820000001004FB +:10395000901002001002000001000001020020008F +:103960000000000000000100000000000000000056 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000010000000000000026 +:1039A0000000000000000000000000100000000007 +:1039B0000000000000010200000000000000000004 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000002076 +:103A30000000000000000020000000000000000066 +:103A40000000000000000400000000000000000072 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A900000000000000000000000005000000000D6 +:103AA0000000000000000000000000000000000016 +:103AB00000000000200000000000000000000000E6 +:103AC000000000002010B000006000000000704006 +:103AD0000060300010200040100090000000000046 +:103AE00000000000B0000010900000000000000086 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000003065 +:103B30000000000000000000000000000000000085 +:103B40000050000000000000000000000000000025 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000003000000005 +:103B9000000000000800000000000000000000001D +:103BA00000000000000000000000000C0000000009 +:103BB0000000000C000000000000000000000000F9 +:103BC00000000000000000000000010000000000F4 +:103BD00000000000000000000000000000000000E5 +:103BE00000000006000000000000000000000000CF +:103BF000060000000060060010000000000C00003D +:103C000000000000005000001000000002003C0016 +:103C1000080000000000000C40000000020000400E +:103C2000180000000200400C0000000008400802DC +:103C30000E000C00000C00000A0A00000A000E0032 +:103C40000E000A000A0000041050000000200000CE +:103C50000E003800000000003000000000040000EA +:103C60000800000C080000000000008E000E080094 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C900000000000000000004000000000000000E4 +:103CA00008000A0000000000000000000000000002 +:103CB0000000000A0A00000000000000000C0800DC +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000100000000B2 +:103D1000000800000000000000000000000000009B +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D700000000000000000000000800000000000C3 +:103D80000000000000000000000000000000000033 +:103D900000000000000000000000A0000000000083 +:103DA000001C0000000000000000000000000000F7 +:103DB0000000000000000000000000000000000003 +:103DC00010000000000000000000000010000000D3 +:103DD000000000440040000002202000000000001D +:103DE0000200004000000000000000000000200071 +:103DF0000000000000000000000030000000000093 +:103E0000200C0000000000000000000C000000007A +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E400000000000000000000000000010000080E2 +:103E50000000000000000000000010000000000052 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E8000000000000000000000000000000000082A +:103E90000000000000020000000000000000000020 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000002000A2 +:103F000000000000000000000000000000000000B1 +:103F10000000000000000000000000000000600C35 +:103F20000000000000910000000000000000000000 +:103F30000000000000000000000000000000000081 +:103F400000000000880000000000000000000000E9 +:103F50008000000000000401002000800480840034 +:103F60000000000004200420000000000000000009 +:103F700000000000000000000000000000008000C1 +:103F800000000000403200000000000000000002BD +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD0004000002000000000000000000000800001 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000008200000040010000000000000000DD +:10402000000000000041000000000000000000004F +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A000000082040000000000200000000000006A +:1040B0000000000000000000000000000000000000 +:1040C000000000000000000004000000000082006A +:1040D00010000000000082001000040000004001F9 +:1040E000100004C2000000000020040000000000D6 +:1040F0000010000000001000000000100000000090 +:10410000000000000000000000200000000000008F +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000420100000000000000000000000C +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E0000000000000000000000000000000474741 +:1041F0002727FFFF55AA99999999A5A533CC999995 +:104200009999FFFFAF05FFFF2727FFFF1B1BFFFF4C +:10421000AF05FFFFFFFFFFFF7744FFFFBB11FFFF6D +:10422000FFFFFFFFFFFFFFFFF303000000000000A0 +:10423000000000000000FFFFFFFF00000000000082 +:10424000000000000000999999999999A5A599995C +:104250009999999933CC9999C3C3C3C3A5A5A5A529 +:104260009999C3C3C3C3A5A5C3C333CC9999FFFF11 +:10427000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:10428000FFFF000000000000000000000000000030 +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C000000000000000000000003BC95555000040 +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:104300000C000000000000000000000000000000A1 +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000C0000A01E28DCA45EB8DDA434 +:104380005EB8DDA45EB100000C0000000C0000006F +:104390000C0000000C0080240E0000000C00000047 +:1043A0000C0080240E0080240E0000000C00000091 +:1043B0000000000000000000000000008C0200006F +:1043C0000000000000000000000048804CA84C8065 +:1043D0004CB94D804CB84C804CB84D804CB84C809A +:1043E0004CB94D804CB84C804CB84D804CB84C808A +:1043F0004CB180240E0080240E0080240E00802406 +:104400000E0080240E0000000000000000000000EC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:104440000000000000000000000000000000482004 +:104450001E2000000000000000000000000000001E +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000C00C000000000000000000000060 +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B000000000000000000000000000000000A05C +:1044C0001228DCA452B8DCA452B8DCA452B8DCA494 +:1044D00052B8DCA452B8DCA452B8DCA452B8DCA4B4 +:1044E00052B8DCA452B8DCA452B8DDA452B9DDA4A1 +:1044F00052B9DDA452B9DCA452B8DCA452B9DCA490 +:1045000052B8DCA452B9DCA452B8DCA452B9DCA481 +:1045100032B8DAA432B8DAA432B8DAA432B8DAA4FB +:1045200032B8DAA432B8DAA432B000000000488011 +:1045300040A94C8040B94D8040B84C8040B94D8076 +:1045400040B84C8040B94C8040B94C8040B84D8058 +:1045500040B94D8040B0488040A94D8040B94D8061 +:1045600040B94D8040B84D8040B94C8040B94D8035 +:1045700040B94D8040B94D8040B94D8040B1802454 +:1045800002008024020080240200000000000000DD +:104590000000802402000000000000000000000075 +:1045A0000000802402000000000000000000000065 +:1045B00000000000000048201220482012200000C7 +:1045C0000000000000000000000048201220000051 +:1045D0000000000000000000000048201220000041 +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000C00000000000000000EA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:104640000000FF7F39CC63C963C993C693C693C684 +:1046500093C693C693C693C693C693C693C693C692 +:1046600093C693C693C693C693C693C693C695A6A0 +:1046700095A695A695A695A695A693C693C693C608 +:1046800059A999C339C939C959A999C399C339C90C +:1046900059A939C9878777887788778877888787FE +:1046A0008787778877887788878777887788FFFFF5 +:1046B000DD11AA55AA55CC33AA55AA553C3C3C3C21 +:1046C00066665A5ACC333C3C66666666AA55CC335D +:1046D0003C3C666666665A5A3C3C5A5A5A5AAA5537 +:1046E00066666666666666663C3CAA555A5A3C3CF7 +:1046F000AA55AA55AA5566665A5A5A5AAA55AA558B +:10470000AA55FFFFFFFFFFFFFFFFFFFFFFFF0000B6 +:10471000000000000000FFFFFFFF0000000000009D +:10472000000000000000FFFFFFFF0000000000008D +:104730000000000000000000000069673333A15052 +:104740003333000000000000000000000000158866 +:104750000F0F000000000000000000000000E11F3B +:10476000FF0000000000000000000000000000004A +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C000000000000000000004030000AC01000035 +:1047D000040300008C01000004030000C800000076 +:1047E00004030000A800000004030000880000008B +:1047F0000403000004010000040300002401800001 +:1048000004038000440B80200403C02000200030FB +:104810000403402020100000040300004000800139 +:1048200004038000CC0F000004030000AC0100155D +:104830000402C0200CE600000000000000000000A0 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000803187 +:104880000000C02000CE22130403C020ACD70A14BD +:10489000040240200CC0240000010000C000000001 +:1048A0000000000000000000000100008000000087 +:1048B00000000000000000000000000000004900AF +:1048C000040300004401000000000000000060003C +:1048D000000100000000800100008000000864006A +:1048E00000010000C0010000000000000000000006 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:1049400000000000000000000000410080000000A6 +:10495000400041008000000028004100800000006D +:104960008000410080000000400041008000000005 +:104970002800410080000000280041008000000065 +:104980004000C102800000018000C13480000001AD +:1049900028104121800000004000410080000000FC +:1049A0008000C110800000018000410080000000F4 +:1049B00040008122000000012010000000000000E3 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000008034000000010008C7218000000180 +:104A10004004012000000000201040008000000041 +:104A200000000000000000000000600080000000A6 +:104A3000080000000000000000000000000000006E +:104A40000000610080000000800000000000000005 +:104A500000004400800000004000800200000001CF +:104A60000000400080000000000000000000000086 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC0000000000000000000000000000000008066 +:104AD00000C81300C500000000C0C303C980000067 +:104AE00000C0C303C98000C000008303CB00008066 +:104AF0000000C323C90000800000C323C900008058 +:104B00000000C323C90001800100DB03C4010007CA +:104B100000C3C201CB00000700A2C800C50300000B +:104B200013011385C0C00013C1C013E301030004C7 +:104B30000004D72380CB008547C5C12301030003B0 +:104B4000000303000000000000000300000000421A +:104B5000000203000200000000000300000000004B +:104B6000000000000000031000000000000003200F +:104B70000000000000000010000000000000002005 +:104B8000A004000000000300E0400203030045937E +:104B9000C0C0D3C30223C3230322C000C700000147 +:104BA00001001301130000000000000000000001DC +:104BB00000130100000000000000000000000000E1 +:104BC00000000000000001000300000000000000E1 +:104BD00000000000000001000000000000000000D4 +:104BE00000000000000001000000000000000000C4 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C5000000000020000000040000000002084016D +:104C60004002000000208401400200200000C0013A +:104C700004000002000084004000000200008400E4 +:104C8000400000020000840040002002204000019B +:104C900002010004002884010440000400022000F6 +:104CA000400100000001000280280800C010002020 +:104CB0004101000000000000800104042010A00059 +:104CC00021010880008002000000000000000200B6 +:104CD000000000C00080020002000000000002008E +:104CE00000000000000000000000020004000000BE +:104CF00000000200000000000000040000000000AE +:104D000000000000000000000000020104A00408F0 +:104D100021404002108410050500A00040008000E2 +:104D20002000000140000001000000000000000021 +:104D30000000000100004000000000000000000032 +:104D4000000000000000000000004000040000001F +:104D50000000000000000000000040000000000013 +:104D60000000020000000000000040000000000001 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD0000000000000000CC003100000A00008C08C +:104DE00001B000041002024000900004100208808C +:104DF00000308004E0022EE00138001040020880FC +:104E00000008001040020640010000104002400F60 +:104E1000000090072200080750068284413888E08D +:104E20000314801642008840002B002801103E0029 +:104E300000680000D00006C007000800E1701620DE +:104E40000000300000000C80013800000100180054 +:104E500000000008000000000000000000002080AA +:104E600001080000D0000005A4000000D1014005A9 +:104E7000200054E9011000030008000031000E0377 +:104E800000000006001102050478000000142080D4 +:104E9000008092000000000000505C000000000054 +:104EA00000021D00000000000010000000000000D3 +:104EB00000000000000000000004200000000000CE +:104EC00000000000000000000000000000001000D2 +:104ED000023014200002000000000000000000006A +:104EE000023C2C002000000080002C00000000E0AC +:104EF000030410000000000000000000000000009B +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F500000000000000000000000300000004003DE +:104F6000A0001EA00B38000FC00002200A38000F5E +:104F7000C000188000000008C0000E8003800007F9 +:104F8000C001188000800007C0011C8001000007DC +:104F9000C00100802B001440E00000820B121C0FA7 +:104FA0005501088223101CC7550100048B780006A8 +:104FB0000200020B0038280040005005003C36007B +:104FC000020806000000300000000CA009400000AC +:104FD0000000000000000000A0020C0000008000A3 +:104FE000A00202000800000000004002E0101420AF +:104FF000C1400000003E0D2101400000C08000C003 +:105000000440412202001008C0402C00E0101400AF +:10501000009108800D3828060000000000081000EC +:1050200000000000000800000000000000800000F8 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000300C06C0000000000000004E +:10506000000000000038000050000000E0001400C4 +:10507000000000000200000000000000000000002E +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000100CF +:1050E0000414000828008300003480000000C3007E +:1050F0000014800000009100053800002000858029 +:1051000000088000000091000F2880000000184077 +:105110000528C20000004A2816340A2029109411DC +:105120008420100041014301184011800000040058 +:10513000060201020020A0000A2C00010A000A4019 +:105140000080000090101000002800004000424045 +:1051500000004080100000400000410210000240AA +:10516000000040001000004000102000000001047A +:105170000042430001080084000044011428004458 +:105180000003000501080006A00002850028404633 +:105190000040028010040000008020001000000089 +:1051A00000440800000000000000080000000000AB +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D000000000000000200002180A00000000008B +:1051E0000000000000000000002C0400800000000F +:1051F0000001140000400000020008000800000048 +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:105260000000012008000C00E000002008000007FA +:10527000E000002008000007E00000200800000710 +:10528000E000002008000007E000008003000007A5 +:10529000D8000E8003000008A000202000080007AE +:1052A000E001062008008000C0000000880C020019 +:1052B0001009222460001406E0004E0000280807B0 +:1052C000C00002800010800710002F200200150689 +:1052D00000004000000000080000000006000C0074 +:1052E0000000000006000C00000001200000020C7D +:1052F000000003A081080C0810000000020000005C +:10530000008049252000140300020000C8000003AB +:105310000001000D0088C800E00000000028000E19 +:10532000000200000050080000000000000C2000F7 +:1053300000000000004034000000000000000000F9 +:10534000000000000040000000000000000000001D +:10535000000000000000000000001C20020082008D +:1053600010000000000000000000004000300C00B1 +:10537000E00000000000200000100000000C1400FD +:10538000B00000000000000000000000000000006D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000002000078C007F00200008A +:1053F00000382C2FF002000000782C2FF002000063 +:1054000000382C2FF002000000782C2FF00200C092 +:1054100001382C2FA0002EE001382C00F00108806C +:1054200001382C2F00120F806000120FA06216406E +:1054300004009407200056400178282FF00208C08D +:1054400001385E2EF20209A0005000CFE1400E00AC +:105450000000542FE00000C001000046200001C001 +:1054600001000000200001C001004000200002C037 +:105470000100142600000200008016060000002033 +:1054800000001000000022408000400800000042A0 +:1054900020000008000000830080010A20020000B4 +:1054A0000050BC0A2000000000282D000000000071 +:1054B00000002C00000000000008000000000000B8 +:1054C00000000000000000000038000000000000A4 +:1054D00000000000000000000000000000000EC0FE +:1054E0000100140000000000000000000000004067 +:1054F000003854000002000078001000008000C056 +:10550000010040000000000000000000000000005A +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:1055600000000000000000000000000000000040FB +:1055700000000000000000400000001010000010BB +:10558000000000100000004000000000100000407B +:10559000001000100000004000001000104000400B +:1055A000000000001000004000000000100000405B +:1055B00000002040001000000040510018000010C2 +:1055C00010001000001008100000300880002000BB +:1055D0000000000000000000400000000200000089 +:1055E0000000800000200000121000209200001037 +:1055F00012102020923000001010000032302200E3 +:10560000120080003020200410008020840420003C +:10561000400420200020000400022000200400009C +:10562000100001200000040004000002000000201F +:105630000200120000000000040000000000000052 +:105640000000000020000020000000000100000019 +:10565000000000000000000000000000000000004A +:10566000000000000000000000000010100000001A +:1056700000000000000000100000100010100000EA +:105680000000100000000000000800000000000002 +:1056900000001000000000000000000000000000FA +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F000000000000000000000000000000000109A +:105700001000A030000000100000000000000000A9 +:105710001000000000300010000000000000000039 +:105720001000000000000040300000004000400D6C +:10573000103010A0000040A40020100000062050EF +:105740001400002020002000002000009000200015 +:105750009D000000000000000000000000000000AC +:10576000000000000000000C0000000030300000CD +:105770003000001030300000301000003030404C5D +:105780003010400030004040306040603800404001 +:105790000A6D4060904040690010406000A0406089 +:1057A00000A0606018A00C007000600090A00000D5 +:1057B000000000009C10000000000000000000003D +:1057C00000000000000800000000000000000000D1 +:1057D00000000000000000000000000000000000C9 +:1057E0000000000000000000000000000009003080 +:1057F0001010000000000000000000300000300029 +:1058000030300000000010000000000000580000D0 +:10581000B000B030000020000000000000000000D8 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000030006000C000000030A8 +:105880000000000000000000000000000000000018 +:10589000400000C000000000000000000000000008 +:1058A00000000000001000000000000000000000E8 +:1058B0000000000202E082020000200102008202D9 +:1058C0000020380002000202000000000200020274 +:1058D000000030021200020240000200000002003C +:1058E00010400000000040000000104100000000D7 +:1058F0004C000000100000004C403000103000024E +:1059000002010001381008800C0010403010000027 +:10591000010010003004000030540000009000002E +:10592000000C30003000000101000D1000000400E8 +:1059300000018E00000000200D8004000000000027 +:105940008400000000000000000100000000002AA8 +:105950000000000E04000000000000000000000035 +:105960000000000000000000000000000000000037 +:1059700000000000008000000000000000000000A7 +:105980000000000000000000000000000000000017 +:105990000000000000000080000000000000000087 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000200000000000000C5 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000100200003054 +:105A1000000000040200000000000004003000004C +:105A2000000000040000000000000004000000006E +:105A3000000000000000A0000000000402000000C0 +:105A40000000000410000040000000000000000002 +:105A50000000000000000030000000000000000016 +:105A60000000000000000000000020040000000012 +:105A7000000020048030008000002004003000007E +:105A8000000020048030000000002004800010008E +:105A9000000002008000000002000200010000007F +:105AA0000200020001000028000000000140000088 +:105AB0003000000002400200000001000000200051 +:105AC00000000000010000000000000001080000CC +:105AD0000000000000000000000000000030000096 +:105AE0000000000000400000000000000000000076 +:105AF000000000001000A0000000000000000000F6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000040000000000000000045 +:105B20000000000020000000000000000000000055 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000300015 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000008095 +:105B9000040000800000000004000000000000007D +:105BA00000B0000000000000000000000000000045 +:105BB0000000000000000000000060000000000085 +:105BC0000400000000000000400000100000000081 +:105BD0000000000000000000000000800000000045 +:105BE000300000000000000030000020840180210F +:105BF00000000000800180212080000084008021BE +:105C000004900000840080212480002084008021F2 +:105C1000A400000000000000203030000400040058 +:105C20000400000004000400B400000100000000B3 +:105C3000B4200000800000013020040000000C00AF +:105C400000008010000000000400000000000001BF +:105C50000401080000000000000000000000001027 +:105C600008B000000000000000300000000000301C +:105C700000000000000000000000600000000000C4 +:105C80000000000000000000000000000000000014 +:105C900000000000000000000000002000000000E4 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000100000000000000000000000000000C4 +:105CD00000000000000000000000000000000000C4 +:105CE0000000200000000000000000000000820111 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D1000000000000000000000000008000000007B +:105D20000000000800200000000000080000000043 +:105D300000000008000000200000004002000000F9 +:105D40000000000800000000004100080081000081 +:105D500000000000000000000000000000810000C2 +:105D600080020000208100008002000020810020CD +:105D700084020060400000100400002000000000C9 +:105D80000410002004200000040000220400002071 +:105D9000240000200400100000001000002020005B +:105DA00000001000000882211008100820080010D0 +:105DB0000000000020000000000040213000C00969 +:105DC00000000020008100F24002000000000000FE +:105DD00000000000000004000000000000000000BF +:105DE000000000200420000000000000000010005F +:105DF000000000200000C0010000000010000000B2 +:105E0000000000000000C001000000000000C00110 +:105E1000000000000000C0010000000000000000C1 +:105E20000000000000000000000000001000000062 +:105E30000000000000000000000000000000000062 +:105E40000000000000200000000000000000100121 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000F55330F000000000000000000003C +:105EC0000000FFFF772200000000FFFFBB11000071 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000FFFFFFFF0000000000000000000075 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000FFFF43 +:105F6000FFFF000000000000000000000000000033 +:105F70000000000000000000000071585555238DFE +:105F80003333693855550000000000000000000060 +:105F900000000000000051A9555500000000AD5060 +:105FA00055557777FFFF00000000EF99333300006D +:105FB0000000F9F40F0F0B065555FFFFFFFF00001F +:105FC00000000000000000000000000004000000CD +:105FD00000000001000000000000000000000000C0 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:1060300000000000000000000C0000000000000054 +:1060400000000000000000000C0000000000000044 +:106050000C00000000000000000000000000000034 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000008C0200000000000062 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E000000080240E0000000000000000000000FE +:1060F0000000000000000000000000000000482038 +:106100001E2048201E2048201E2000000000000005 +:106110000000000000000000000048201E200000D9 +:10612000000048201E2090040C00000000004820C1 +:106130001E200000000048201E2048201E200000D5 +:106140008C0200000000000000000000000000C001 +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D0000000000000000000000080240200000019 +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:1062200000000000000000000000008000284C80FA +:1062300040B84D8040B84D8040B94D8040B94C8049 +:1062400040B84C8040B94D8040B84C8040B1488047 +:1062500040A84C8040B94D8040B84C8040B84D803B +:1062600040B94C8040B94D8040B84C8040B84D801A +:1062700040B94C8060B10000000000000000000048 +:10628000000000000000000000000000000000000E +:106290000000482012200000000000000000000064 +:1062A00000000000000000000000488060A94F804E +:1062B00060B94F8060B94F8060B94F8060B94F803E +:1062C00060B94F8060B94F8060B0000000000000EE +:1062D000000000800000000000000000000000003E +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:106340000000000000000000000000000000FFFF4F +:10635000FFFFFFFFF30300000000FFFFF303FFFF59 +:10636000FFFFFFFFDD110000000000000000000043 +:10637000000000000000FFFF272700000000FFFFD3 +:106380001B1BFFFF7722FFFF1B1BFFFF7722FFFF77 +:10639000DD1100000000FFFFF303FFFF550F0000B9 +:1063A0000000000000000000000000000000FFFFEF +:1063B000AA5566663C3C5A5A6666AA55AA55666650 +:1063C0006666CC333C3C3C3C666666663C3CCC3303 +:1063D0006666CC33CC33CC336666AA553C3CCC33B2 +:1063E0003C3C66666666CC33AA55AA556666CC33D5 +:1063F000CC33AA5566666666CCCC0000000000006F +:10640000000000000000000000000000000000008C +:10641000000000000000D3D733330000000000006C +:10642000000000000000000000000000000000006C +:10643000EA77CE5AD6D77EA88C36F41E8C223EE15F +:1064400046BB1CE186A5BEEAA40A1445210D000046 +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000B000402000000000000000000009B +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:1065600000000000000000000000000200008000A9 +:106570000004000000000000000000000000000017 +:106580000000000000000000000080240000C02087 +:10659000008A0020000040200000030004020000E8 +:1065A00020008001000080000008800100008000C1 +:1065B000000E00100000402000D000140000402019 +:1065C00000C000110000C020004C000000000000CE +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:1066600000000000000001000000000080000000A9 +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E000000000000000000000000000000080002A +:1066F0000000000100040000000000000000000095 +:1067000000000000000000000000000000008022E7 +:106710000000000100080024000000000010030039 +:106720000000000000008002000000010000801056 +:106730000000000100000021000000000000002017 +:10674000000000000010803400000001000800007C +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000C00000F9 +:1067E00000000000000000000000000000000000A9 +:1067F000C4C0000000000000000000000000000015 +:106800000000000000000000080000000000000080 +:106810000000000000000000080000000000000070 +:106820000000000000000000000000000000000068 +:106830000000000000000000000040004040004058 +:106840000040000000000000000000000000000008 +:106850000000000000000000000000000000000038 +:106860000000000300100000000000030010000002 +:106870000000001000C3000303000003002010000C +:1068800000000003001000000000000000000000F5 +:106890000000000000620000000000224400000030 +:1068A00000000023000000000000000000000000C5 +:1068B000000000000000000000000000E0000000F8 +:1068C0000000440000080000000000005400000028 +:1068D0000000036000000000000000000000000055 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:1069600010400000000000001000000000000000C7 +:106970000000000000020000000000000000000015 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000100D6 +:1069C0000140004000400000000000000000000006 +:1069D00000000000000000000000000000000000B7 +:1069E00000010000000000820000000000000082A2 +:1069F00000000000000000020390000808800082F0 +:106A00000000000000000080000000000000000006 +:106A100000000000000000800120000000000000D5 +:106A20000000000000000000000000000000000066 +:106A30000100000000000000010000000000000054 +:106A40000000000000000000400000000000008086 +:106A50000040000000000240400000000000000074 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD0000000000F000000000000000000000000A7 +:106AE00000008000000201C04100000000000040E2 +:106AF0004000000000F02C0000000000000000003A +:106B00000000000000000000000000000000000184 +:106B10000000002000000000000000200000000035 +:106B200000000000007000000000001000D00C0405 +:106B30000000000000000000000000000000000055 +:106B400000000000000800000000006000041400C5 +:106B5000000000C00108000020000000003000001C +:106B600000000EC0033000000000024000100000D2 +:106B70002000020000300118000002C001040E00D5 +:106B8000000013400038000000000000000000007A +:106B90000000000000000000000000036080000012 +:106BA000000800020080000000000C0000B000009F +:106BB0000000000020010000000000008001100023 +:106BC0000000001002002C07A00020200000010897 +:106BD000200002C88300CE16C0001F1002002C0443 +:106BE0004000000000000000000000000000000065 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000200000032 +:106C60000000000000000087053800000001000758 +:106C7000063800000001000006003C000000000093 +:106C80000000000000000000000000000000000004 +:106C900000000000000000800100000000000080F3 +:106CA0000100000000000C000000000000000C00CB +:106CB00000000008000000000000000000000000CC +:106CC000000000000000220000400000000000C0A2 +:106CD000010011060000000000800000000000001C +:106CE0000070280000000080050000000000104037 +:106CF00000400000000001000080100000002C4057 +:106D000000002C0000000C000880200000000000A3 +:106D1000000000000000000000000000000040072C +:106D20000038002001144007003800200100000056 +:106D30000038000000000000E000000000600000DB +:106D4000E0301C000014888003000000A500000053 +:106D50000000105120000220C2080C2651140E80A1 +:106D60000308800C5001000000000000000000003B +:106D70000008000000000000080000000000000003 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD000000000000000000000000008000000505B +:106DE000000000000000000000000000000004009F +:106DF000000000100008004000000000000000003B +:106E00000000000000000000000000000000000082 +:106E10000000200000000001000000000800000346 +:106E20001000000008000002000011000000000136 +:106E30000000110000000800200000000000000019 +:106E40000000000000000000000000000000000141 +:106E500024000100000002090000000001000004FD +:106E6000200080000208010820002C00001400000F +:106E700000008100000000008000A0000000000071 +:106E80000000C000000000010000A00000000000A1 +:106E90004000200000004000000000000000000052 +:106EA00000000006400400100004000C0000240054 +:106EB0000000240000100008000000004020100026 +:106EC0000008000080080A000000024000000000E6 +:106ED00068000CC30000802268004A420065000080 +:106EE0008000810000380000000000000000000069 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F5000000000000000000000000000000000072A +:106F6000003800000000000000000000000000A049 +:106F700001000000020000A001000000000000204D +:106F8000000C2100000000000000000000000000D4 +:106F90000000000000000E0000000000000006805D +:106FA000030000000000068003004000080000000D +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD0000B000000A00000000000000320012E2094 +:106FE00008000000000022A00100100010000C208A +:106FF00008100000000006A001800000A000026050 +:1070000003000000E001008001001000C002080041 +:107010000300000010000F00000000060000000048 +:10702000000000000000000000301C400040000094 +:10703000000C10C0010018000080000700000000D4 +:1070400000001C000004000000000800000406000E +:10705000030C100020000200020002412000020484 +:10706000020814C7117006200B0C1407E000000082 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E000000080030002000000000000000000001B +:1070F0000000000000000C00000000000000008004 +:107100000100000000000800D000000000000000A6 +:107110000000000000000000000000000000000A65 +:10712000000001000000000820000100000000082D +:107130002000000000000006000000000000000029 +:10714000100000000000000000000000000000002F +:10715000000000100000000A000000000000800A8B +:107160000002164001000001000000C00100000103 +:107170000000080000000010000000000000000FE8 +:10718000000200C00104000000020020000001060F +:107190001000001000000000E0000E0000000000E1 +:1071A00000000000000000000000000778043D001F +:1071B000000000070004000008000C000080002010 +:1071C000000000008030010000000000600040006E +:1071D0000000206001004A1840012264000080186D +:1071E000240162641808562FF88006400108562FC3 +:1071F000F002000000000000000000000088000015 +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:1072500000000000000000000000400000000000EE +:10726000000000000000000100000000000080009D +:10727000000000401000000000000040000000007E +:1072800000000000000000000000000000000000FE +:107290000210000000040000000000400000000098 +:1072A00000000001000000010000040100000001D6 +:1072B0000000000100400000000004014000040044 +:1072C000008000000000000000000000000004003A +:1072D0002000000240140000008008000000008C24 +:1072E000800000082004000020200004001040203E +:1072F0002020008420100020422040023000242062 +:1073000022508000402030212200200020800020D8 +:107310000200000000040180200000000040000086 +:10732000000000000000000000000000000000005D +:10733000000000000000001000100000000000002D +:1073400010100000101000100010000000000000DD +:107350000010100010001008001028100800102065 +:1073600000200000000000000022000000000000DB +:10737000000000000000000000000000000000000D +:107380000000000000000000000000000000B0004D +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000010000030000000020000004B +:107400000000000002000000000010000200000068 +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000100000000000003C +:10744000000000000000000000000000000000003C +:10745000000D0000000000000020000000000400FB +:10746000000000040000BD0800000E000005000040 +:10747000003030000E0000B0003000B0700070002E +:10748000300000B00030700000B00070000000005C +:107490000000A0A0000000400000A00000B000001C +:1074A000A00000000000000000000000A00000009C +:1074B00000000000000000000000001000100000AC +:1074C00000100000301000001030003000300000CC +:1074D00000000000002020002000005400200420B4 +:1074E0000400000000000000000000000000005048 +:1074F000000000000000600000000000000000002C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:1075600000003000000000000000000000000008E3 +:10757000000000000E0030000E800030007000009F +:107580008000003000700E000A0000000000800043 +:1075900000000000000000000800000000040000DF +:1075A00000000010000000000000000C0000000CB3 +:1075B00080010C8C0000008C800080DC009000803A +:1075C0008E008C8C30000C808030028000000080A7 +:1075D0000000800000000C0020000008100C0000DB +:1075E000003000000000001C30000000300C700073 +:1075F000203000007000B020202000302A00002041 +:107600003020300820702C213010300030101E3C0B +:107610003000300030100E30000000000E0C0C1A4C +:10762000000000000030000000000000000000002A +:10763000000000400000004040000000000000404A +:1076400000000001008000000000000000000000B9 +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000C0000000000000000000000000000FE +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000C00000000000000AE +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000300000000000006A +:1076F0000000000000040000000000000000000086 +:1077000000008000000000000000000000000200F7 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000030000000000000000000000019 +:1077400000000000400000040000000000000004F1 +:1077500000000000000000000000800000000000A9 +:107760000000000080402000000080300000200069 +:1077700000008000000020008000000000002000C9 +:107780000000000040002000000000000000000099 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000030000000000000A9 +:1077B0000000000000000000000000400000000089 +:1077C0000000A00000000000000000000000000019 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000040000059 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000100000037 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000080000098 +:107870000000000000000000000100000000000007 +:1078800000000000000020000000000000000000D8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000010000C7 +:1078C0000000000000000000200000010000000097 +:1078D0000000000100000000000000000000100097 +:1078E00000000000000000009020802000003060B8 +:1078F00080008020000030208000802030000020A8 +:107900008000802000000020200080000000000097 +:107910000000000000000000000000000000000067 +:1079200000000000000000000000000000B00000A7 +:107930000000000000000000000000000000003017 +:1079400000000000000060000000000000000000D7 +:107950000000000000000000000000000000000027 +:1079600000000000000000000100002400000020D2 +:107970008020000000000020010000200000000026 +:1079800000000000000000000000000000100000E7 +:107990000060000000000000000000000000000087 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000C0000000000007 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000400000000000000000000000000000072 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000001400000032 +:107A400043000000040000000000000000000000EF +:107A50000000000004000000000000000000000022 +:107A600000000000000000000000000000000020F6 +:107A70000000002000000020000000200000002086 +:107A80000000002000000020000000200000000096 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000200000000000000000000000000000A6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000002472 +:107AF0000000002000000000000000200000002026 +:107B00000000000000000000000000000000000075 +:107B10000020000000000000000000000000000045 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B8000000077447744000000001D1D1B1B1B1BD9 +:107B900053530000000035351D1D0000000000009B +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC0000000000000000000000000000000FFFFB7 +:107BD0002727000000000000000000000000000057 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000D1833330000000000000000000069 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D000000000000000000000C0000000000000067 +:107D10000C0000000C000000000000000C0000003F +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D5000000000000C000000000000000000000017 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E000000000000000048201E20000000000000CC +:107E100000000000000000000000008000000000E2 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF00000000000000000000000000000008024DE +:107F0000020000000000000000000000000000006F +:107F1000000000000000488040A84D8040B94C801F +:107F200040B84D8040B94C8040B84D8040B94C803D +:107F300040B84D8040B84C8040B0000000000000C8 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F7000000000000000D8A472A9DFA472B9DFA439 +:107F800072B9DFA472B9DFA472B9DFA472B9DFA439 +:107F900072B9DFA472B000000000000000000040D1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:1080000000000000000000000000BB11AF05BB1124 +:10801000DD11000000000000000000000000FFFF74 +:108020005533000000003F0C3F0C00000000000032 +:1080300000000000000077445533000000000000FD +:10804000000000000000FFFF5F0AFFFFDD11FFFFDF +:108050005F0AFFFFF505FFFF1D1DFFFF3F0CFFFF40 +:108060000F330000000000000000000000000000CE +:108070000000000000000000000000000000000000 +:108080000000FFFFFFFF00000000000000000000F4 +:10809000000000000000000000009999999999994A +:1080A000999933CCC3C3999955AA33CCC3C3A5A519 +:1080B00055AA33CC9999A5A5C3C3999933CCFFFF91 +:1080C000FFFF0000000000000000000000000000B2 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F000000000000000000000000F0FFF005555B9 +:1081000033330F0FFF0055553333FF0055553333CD +:108110000F0FFF0055553333F0F000000000000052 +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:108200000000000000000300040200002000000045 +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800004030000AC010000040300008C010001A5 +:1082900004038000C80C000004030000A8000000D4 +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000030000000000EA +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:1084000000004100800000004000410080000000AA +:108410002800C110800000018000410080000000A1 +:10842000400000000000000000000000000000000C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:108500000000000000000000000000000000000368 +:10851000C0E30000000000000000000000000000B8 +:1085200000000000000000C0002000000000000863 +:108530000003000000000008000300000000000825 +:108540000003000000000000000300000000000025 +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000080D3D380C093C9C300C0A6 +:10859000C080C000C8C300C000C88000C40000C0C4 +:1085A00000C88000C40000000000000000000000BF +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:108640000000000000000000040000000000000026 +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:108690000000000180200000000000000000000039 +:1086A000000000000000000000000040000000008A +:1086B00000000000008004000000000000800400B2 +:1086C00000000000008004000000000200800000A4 +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:1087000000000000000000000000802080028002C5 +:108710004021002080028000000300020100800050 +:1087200000000002000080000000000000000000C7 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000C804D +:1087A0006100000A00B80000280300000060800794 +:1087B0000038000001000082004A000005000000AF +:1087C0000000000000000090000000000000000019 +:1087D0000000000000000010000000002000001059 +:1087E0000000000020000000000000072000010041 +:1087F00000100018A00000000000000000000000B1 +:108800000000000000000000000000000000000068 +:10881000000000000000000000802D0000000000AB +:108820000000000000000000000000000000000048 +:108830000000000000000C00002C000600000200F8 +:10884000002C000A00000200002C00003002000092 +:10885000002C0000000000000000000000000000EC +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A000F0000000E0F00000002C0000E0000000FC +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:1089200000000CA06902400000300000E0380000A8 +:108930000014008703300020030040B208080080C4 +:10894000A300000000000000000000800B000000F9 +:108950000000000000000000000000000200000015 +:1089600000000C000200000000000C0000000000ED +:10897000000042A20080000008000000000000008B +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000001000C7 +:1089A00000000000000000000000000000000000C7 +:1089B0000000000000003C000000012002003C001C +:1089C000000000A001007C00C000000000003C008E +:1089D0000000220000000000000000000000000075 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000007000000000000000748 +:108A200000001000000000000080100000000000A6 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA0000000000000004240100000000001000033 +:108AB000200000000002000C04180000000000204C +:108AC000000000002800000000000000000000007E +:108AD0000000000000000000000000000000000096 +:108AE0000000000090000200000020009000020042 +:108AF0000000000090000100000000800000000065 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000008100022000000000810011 +:108B40000000010200008500000000000800850010 +:108B50000800000000008100000800000000000084 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B9000000000000000100008008000000010002D +:108BA000080080000000000002802000200000007B +:108BB0000200000020000000000000000000000093 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C200000000000000000000000100006000C061C +:108C3000C0600000800200000000408007000000CB +:108C400000000033080000000000000000000000E9 +:108C50000000006002AC0000E8000000000000001E +:108C60000000000000000000000022000000500092 +:108C70000000000006005400000000220200000076 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000004C2000000000000000000058 +:108CB00000000000000000000000020006002C0779 +:108CC0001800024000000C00180002000000000024 +:108CD000400302800100000010000800004000076F +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D2000C000000000000000C000000010300C0077 +:108D300000700000000000000000000000000000C3 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000C8037 +:108DB0000000CE1610650000100000000000000347 +:108DC0000000000030000000000000000000000073 +:108DD000000000000000004001080000A0020000A8 +:108DE000000000000000000000000000A0000000E3 +:108DF000000000062000000000005606C0008000B1 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E2000000000000000000000383C0000000000CE +:108E300000000000000000000000000000000880AA +:108E40000010002F40000040000000004000000023 +:108E500000000000000000000000001600000000FC +:108E600000380020000000000000000000000000AA +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000200000000000000020000BE +:108EB0007000000700040000000000070000000030 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000800000000000000000059 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F300020000000000000000000000040000000D1 +:108F40000000000000000000080100000000000018 +:108F50000000000000000080000000000000009001 +:108F600002000000000100000020040000000000DA +:108F7000003004000000000080800080000000003D +:108F800000020400000000000000000000020000D9 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000080000000000080C1 +:108FB000008000002000000020000022000000804F +:108FC0000020002000000048020200000100000014 +:108FD000020000000000408002000000800002004B +:108FE0000200000004100400000200000000000065 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000002000002000 +:109030000020000000000000000000000000000010 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:10908000000000000000000000000C0000000000D4 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C0000050000000000000000000000800725086 +:1090D000025000000000B0000010900000000000EE +:1090E00000000030007000000000000C00000000D4 +:1090F0000000000000300000000000000000000040 +:1091000000000000005C00100000000000000D00E6 +:1091100000007000000000000000000000000000DF +:10912000000000000000000000000000000000003F +:10913000000008000009000000000000000000001E +:1091400000000090000030000E0000080000000049 +:10915000000A000000000000000000000000000005 +:1091600000000005000000000000000000000000FA +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000060000000000000000B9 +:1091B00000000000000000000000000E000E000093 +:1091C00000000000000A0000000000000000000095 +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:1092200000000000000000007000000000000000CE +:1092300000000000000000003000000000000000FE +:1092400000000000300000000000000000000000EE +:1092500000040000000000000A0000000080002060 +:1092600000000000000000200400000000040001D5 +:1092700000100C000000000000100C0000000000B6 +:10928000203000300000000000010C8000000000D1 +:1092900000000000000800000000000000000000C6 +:1092A00000000000000000000000000070007000DE +:1092B000001000000000011000100000300000004D +:1092C00010000018000000100010F01040000030E6 +:1092D0000400000E0800000004000000020010104E +:1092E000040000002000080004000000000A000A3A +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000002000000000000000000D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A000000000008000000000000030000000000D +:1093B000000000300000000000000030000000004D +:1093C0000000003400040000000000000000000065 +:1093D00000000400800000000000043004000000D1 +:1093E000000000000000000000000000000000007D +:1093F0000000000000100000000000000004000059 +:109400000000000400000000000000000004000054 +:10941000000000000010000000000000000000003C +:10942000000000000000000000000000000000003C +:1094300000000000000000008000800000000030FC +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000040004000008000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B000000000020000000000000040000000006A +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:1095200000000000000000003000000000000040CB +:1095300000000000000000400000000000000040AB +:1095400000000001040000410401000100000000CF +:1095500000000000000401003000000000000100D5 +:1095600001000000000000000000000000000000FA +:1095700000000000000000000000000000000000EB +:1095800000000000000000010000000000000000DA +:10959000000000000000000000810000000000004A +:1095A00000000000000000000000000000000000BB +:1095B000000030000000000000000000300030001B +:1095C000000000400000000000000000000000005B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000003000002A +:10961000000020000000000000000000000000002A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:1096500000000000000000000010000000000000FA +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000001010000000000000000D8 +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C0000000000000000000240000000400000072 +:1096D000000004000000000004040000000000007E +:1096E000000000100000000000000000000000006A +:1096F000000000000000000000000000001000005A +:1097000004000000000800000400004042000000C7 +:109710000400000000080000040000000400000035 +:109720000000000000000000000000000000000039 +:109730000000000000002000000000000000000009 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000001000100000000000B9 +:1097900000000000000000001000000000000000B9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000020000069 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:10986000000000000000FFFFFFFF000000000000FC +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000040000000000000000000000F3 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000080240E000000C5 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000488040A94D8040B84C8040B84D806E +:109BF00040B94C8040B84D8040B94C8040B84D8051 +:109C000040B94C8040B94C8040B1000000000000D9 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000FFFF76 +:109CF0003F300000000000000000FFFF3F30000088 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D6000000000000000CC336666666666666666C4 +:109D7000CC336666AA553C3C3C3C5A5A66663C3C31 +:109D80006666AA555A5A66665A5A6666AA55000009 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000008003000080000000000000000000DF +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF00000000000000080000000000100040000DC +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A170000000000000000000000000C0000000001F +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000104E +:10A3000000800000000000000000000000000000CD +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000001040000000000000000C7 +:10A49000400000000000000000000000000000007C +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F0000000000000000000000016000000000046 +:10A5000000000000002C000000000000002C0009EA +:10A5100000000040002C0000300000100000000689 +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000140C080000000022 +:10A61000020800000001000000000000000000002F +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000028A00100340000000000CD +:10A690000000340010000040000034010000008081 +:10A6A00001000C000000000000000000000000009D +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000100000000000B9 +:10A790000000000004400000000000000000000075 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000100000081000000400086 +:10A810000000810000008000000081000000800036 +:10A8200000008000000002020000000000000000A4 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A90000000000000000000000000000000008003F +:10A9100000400C00000000000700000000000000E4 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000023E000000000C8000000FC +:10A9900008000000C001002002000000C00100000B +:10A9A00000000008C00100000880000750010000FE +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA90000000200000107C0000000000000000000A +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB000000000000000000000000024000000005FE +:10AB100000010840000000000001080000000000E3 +:10AB20000001080000000006000108400030002578 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000200000000000000000000000000035 +:10AC000000000000000000000000000000000080C4 +:10AC1000000000000000008000008008000000002C +:10AC20000000080000000000000010000000000408 +:10AC30000000000000000000000000001000000004 +:10AC400000000000040000000000000000081008E0 +:10AC500000000000040004000000000000000000EC +:10AC60000000000000000400000000000004480094 +:10AC70000800000000000008400008080000200054 +:10AC8000000000004000000000002000400810000C +:10AC90002000000000002080200080000000200034 +:10ACA0000000800000000000000000000000000024 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000100000000000000054 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD200000000000000000000A0000000000000019 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD8000000000000E000D000D0D05000A050C0569 +:10AD900000A000000000A00000000C0E0009000848 +:10ADA0000000BC0E000008000000B008000A3000DF +:10ADB0000D00000000000000000000000000000086 +:10ADC0003000000C0E0000000000000C0E0000001F +:10ADD0000008300C0E000E00000000000000000013 +:10ADE00000000000B00000000000000000000000B3 +:10ADF00000000800080000000000080800000C081F +:10AE000008090000090000000000007000000000B8 +:10AE100000B8300000000000000009000000000041 +:10AE20000D00090070000D0030000000000A7D00D8 +:10AE3000000000000D000070000000000000000095 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000900000000E9 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE00000000000000000007000000000000000F2 +:10AEF00000000000000000007000100000000000D2 +:10AF00000000000000000000000000000000000041 +:10AF100000000100000000100000000000000130EF +:10AF200000002000000001000000000000000001FF +:10AF3000000000000000000400000000000000000D +:10AF40000000000000000001000000000C000001F3 +:10AF50000000000000000000000000000C000800DD +:10AF600000000000000000020200020200000802CF +:10AF700002000202000C10020200020200000102A4 +:10AF80001200020201003002020002021000000062 +:10AF90007000100010007000100070000000101011 +:10AFA00030003000700010000000305080000000C1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000000000001000000000000000F0 +:10B0500000000000000000000000000000000000F0 +:10B060000030000080008000003000000000800000 +:10B0700000000000800080000000000000000000D0 +:10B080000030003080000000000000308000000030 +:10B090000000000080008000000080000000000030 +:10B0A0000000000000000000000000002000000080 +:10B0B00000000030400000000000003040000000B0 +:10B0C00000000000400040000000000000040000FC +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F000000000000000000000000000020000004E +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000020000F +:10B1200000000000000020000000000000002000DF +:10B1300000000000000020000000000000002000CF +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000400000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000040000030003000004000007F +:10B1F00000003000000000003000300000000000BF +:10B20000000000000080004030000000000000400E +:10B21000300000000000000030003000000020007E +:10B22000000000000000000000000000000000001E +:10B23000410000000000000030000000000000009D +:10B24000300000000000000030003000000000105E +:10B2500000020000000000000000000000000000EC +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B29000000000000000000000000000000000208E +:10B2A000800080200000002080008020000000201E +:10B2B000800080200000002080008020000000200E +:10B2C000800080200000000000000000000000005E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000200000000000000000000000000EB +:10B3600000000000000000000000000000000000DD +:10B37000000000000000000043000000000000008A +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B0000004000000000000000000100000000079 +:10B3C000000000100000000000000000000000006D +:10B3D000000000200000004000000000000000000D +:10B3E000000000000000008042000000000000009B +:10B3F000000000000000000000000000000000004D +:10B40000000000000000100000000000000000002C +:10B41000000000000000000000000000000000002C +:10B42000000000200000002000000020000000209C +:10B43000000000200000002000000020000000208C +:10B4400000000020000000200000000000000000BC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B580000000FFFFBB11FFFFF505FFFFDD11FFFF0F +:10B59000330F000000000000000000000000000069 +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B7000000000000000000000C0000000C00000021 +:10B710000C0000000C000000000000000000000011 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000008000000000000000000000081 +:10B7C00000000000000000A01228DDA452B8DDA493 +:10B7D00052B8DCA452B8DDA452B9DDA452B8DDA43D +:10B7E00052B8DCA452B8DDA452B8DCA452B8DDA42F +:10B7F00052B0000000000000000000000000000047 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000FFFF9999A5A57D +:10B9500033CC999933CCC3C3999955AA999999999C +:10B96000C3C3999933CCC3C39999999933CCC3C3B1 +:10B970009999999933CCFFFFFFFF00000000000068 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000FFFF89 +:10B9C000330F000000000000000000000000000035 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA100000000000000000000000FFFF7722FFFF91 +:10BA2000DD11000000000000000000000000000028 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD00004030000A8000000040300008800000028 +:10BAE000040300000401000004030000240100001E +:10BAF00004030000CC010000040200002C00000040 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC50000000410080000000400041008000000022 +:10BC60002800410080000000280041008000000002 +:10BC70004000410080000000800001000000000042 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD000000000000000008000E30000CB0000C075 +:10BDE00000C88000C000008000C8C000C40000C0BF +:10BDF00000C88000C00000C000C88000C000000073 +:10BE000000C00000C00000000000000000000000B2 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000200200000BF +:10BF6000040000200000800080000002000080002B +:10BF70000000002000008000800000200000800001 +:10BF8000800000020020000080000000000000008F +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E000000000000000000000000000000006004A +:10C0F0000000000040000000000000000000000000 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C15000000000004000000000000000000000009F +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000038000000090000001000003E +:10C1B000000000000200000000000000000000007D +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000020030000004002060000001C0047 +:10C270004002000000001C000800060000001C0036 +:10C280004002060000001C00400206000000000002 +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D00000000080010C00000001000000000000D0 +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C3200000000000200000000000400260880000C3 +:10C3300000800880070000100000000000000000DE +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E00000000000000000000030000000000B0012 +:10C3F00002101000400040400030100000000B0010 +:10C400000210100040000B000210100040002A0033 +:10C410000008000000000000000000000000000014 +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000400000000000000D8 +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000010000000001000006C +:10C4B0000040000000102200000001000000000009 +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000062000000000A5 +:10C57000C0000000060020060000222000009000FD +:10C58000E000000006002006000000000600200673 +:10C590000000000000000006000000000000000095 +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D0000000000000000000000000A000000000BB +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C620000000000000000000000000000000020008 +:10C63000000040000000001000000202060054103C +:10C6400000010000000000000000000000000000E9 +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000020002A +:10C6F0000000000F000206000000002020000040A3 +:10C700000100400700020600000000202000060093 +:10C7100000000020200006000000002000000000B3 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B00000000800001000000000008002608000FF +:10C7C0000000008A4200000000000000000000009D +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000080090 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000001000000000000000000038 +:10C8F00000000010000010000000040000141000F0 +:10C9000000000000001010000000000000000010F7 +:10C9100010000000000000101004000000000000E3 +:10C920004010100000040000001010000000000083 +:10C930000010204200000000000000020040020041 +:10C9400000000000020002020000000000000000E1 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA200000000800000000000000000000000000FE +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000008000C0010000000002082 +:10CA7000A809000C000000300000100000000000B9 +:10CA800000303000000000000030300000000000E6 +:10CA900000000030300000000000003030000000D6 +:10CAA00000090000083030000000000000303000B5 +:10CAB0000000700000300070700000000000007086 +:10CAC0007000000000000070000000000000000086 +:10CAD0000000000000000000000000000000000056 +:10CAE00000000000000C000000000000000000003A +:10CAF0000000000000000000000000000000050031 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000E00000001000100000035 +:10CBF00000000080070000010000000000000000AD +:10CC000000000C0000080000000000000000000010 +:10CC10000000000000000000000000000000000014 +:10CC200000080000000000003100000000080000C3 +:10CC30000000000000000000000010100E000000C6 +:10CC400000000000001004000000000000000404C8 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000001000000000000C3 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD000000000000000000000000000000300000F3 +:10CD1000000000000000000000000000000C000007 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD4000000000000000000080000C000000000057 +:10CD500000000000000000000000000000000000D3 +:10CD60000000003100010000000000000001000090 +:10CD700000000000000008000000000000000000AB +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000003000000000000000200043 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000200080069 +:10CDC0000000000000000000080008000000000152 +:10CDD0000000000000100000000000000000000043 +:10CDE0000000000000000000000000000001000042 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE900000B00000000000000000000C00000000D6 +:10CEA0000001000000000000000000000000000081 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000002000010041 +:10CED0000030000000000000000000000000000022 +:10CEE00000000000000000880004000000000000B6 +:10CEF000000400000100000000000000000000002D +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000080000000000091 +:10CF200000004000000000000000000000000000C1 +:10CF300000000000000000000000000000000000F1 +:10CF400008000200000000000000000002000200D3 +:10CF500000000C080000000000400000000000007D +:10CF600000000000000000000000000000000000C1 +:10CF7000000C0000000000000000000000000000A5 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D01000000000400020000000000000000082042A +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000002000000000000000000000B0 +:10D06000000000000000000000004002000000007E +:10D0700000000000000000000000000000000800A8 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C000000000000000000000000000000300005D +:10D0D0000000000000030400000000020300000044 +:10D0E000000000000003000000000000000000003D +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F0000000FFFF0F330000000000000000FFFFF1 +:10D200005353000000000000000000000000000078 +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D24000000000000000FFFF7744FFFF774400006C +:10D250000000FFFF5353FFFF5F0AFFFF3355FFFF3F +:10D260005533000000000000000000000000000036 +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000C00000000000000A1 +:10D38000000000000C000000000000000000000091 +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C0000000000000000000000000000C00000051 +:10D3D0000C000000000000000C0000000C00000029 +:10D3E0000C0000000C000000000000000000000025 +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D490000000008000284D8040B94C8040B94D808C +:10D4A00040B84C8040B94D8040B84C8040B94D8068 +:10D4B00040B84C8040B84D8040B94C8040B100002D +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D53000000000000000000000000000000000806B +:10D5400000284D8040B94C8040B94D8040B94C8096 +:10D5500040B94D8040B84C8040B94D8040B94C80B6 +:10D5600040B94C8040B94D8040B000000000000040 +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000FFFF666699996666CC3343 +:10D62000666666666666CC33AA55AA55CC33CC339B +:10D63000AA55AA55CC33CC336666666666666666BE +:10D64000666600000000000000000000000000000E +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C0000000553333CC9999A5A5999999999999C1 +:10D6D000A5A5999999999999C3C39999999999994E +:10D6E000A5A59999999933CCA5A5999999990000DF +:10D6F0000000FFFF272700000000000000000000DE +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000008000000000000CF +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA00000000400000800000000080000C00000A2 +:10DAB0000000C000000004000008080000C00000D2 +:10DAC0000000080000C000000000080000C00000C6 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB5000000000030010000000000083041000001B +:10DB600000000000000003000800000004000300A3 +:10DB70000800000000000100D000000000000000CC +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC20000000000000000000000080000000000074 +:10DC30000040800000200100000200000000000001 +:10DC40000040800000200000004080000020000014 +:10DC50000040000000000000000000000000000084 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD000000000000000008004000000000000843C +:10DCE000400000000000041100000200000040108D +:10DCF00000000200000040040400200001080000B1 +:10DD0000000004000000000000000000000000000F +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB00000001E000028000000000C800128000068 +:10DDC00000000EC00128000000001E000028000016 +:10DDD00000000000008000000000000000000000C3 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000080010000000001000080 +:10DE200000300000000000000000000000000000C2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE5000000000C0030000000000000000000008F7 +:10DE60000000000000000008001000000000000892 +:10DE7000010000000008010801001E0004002D88B8 +:10DE8000030000000000010040000000000000004E +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000800000000000080080000002DC064 +:10DF40000580080000002FC00780080000000000C6 +:10DF50000080080000000000003800000000000001 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000100000000071 +:10DFA000E000000000800000000000000000000011 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD00000000000000000800000000000000000C1 +:10DFE0000000000000000000C008000000800005E4 +:10DFF0000008000002000005802808000200000B55 +:10E0000000000810000800000000100008000000D8 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B000000000000000020000002800022402000E +:10E0C000000008000000020000000800000002003C +:10E0D000000080000A240200000008000000000088 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000200000000000000000000ED +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E15000000000000000000000000040040000007B +:10E16000000000000800000204000000080900028E +:10E170000410201002600002060000100851000286 +:10E18000041000000034200200000000080000001D +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000006A0088000002001062069 +:10E240000028000820000020000000082000002016 +:10E2500000000008200006800130000020010000BE +:10E26000000C1C070000000000000000000000007F +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E290000000000000000000000000000000000D71 +:10E2A0000000000000000000E0000000000000008E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D00000000000000000000000000000000080BE +:10E2E00003B00000E00010C001001C07000010C0D7 +:10E2F00001001C0700001C2002000007000050C0A5 +:10E3000081802007080001E00338000012800080AF +:10E3100000000000000100000000000000000000FC +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B0000000000000000000000020800010000F9E +:10E3C0000001064001280000F001060000000000E6 +:10E3D0000001060000000000000120800130000F55 +:10E3E00000010700000401380000000000000000E8 +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000007800C00300000000000000B1 +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E46000000000E0012A000000020E400014012F0D +:10E47000F0000E400004012FF0400E80013000201B +:10E48000F2000E4004380029C040064000300A095E +:10E49000F11000000000000000000000000000007B +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000020000000000000000000AB +:10E5500000000000000000000000000000000000BB +:10E56000000000000000000000100000000000009B +:10E57000000000000000000000000100000000009A +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B0000200001000000000000002000000100037 +:10E5C0000200001000001000011400000011000003 +:10E5D000101000000010000010400010008000101B +:10E5E0000000101000000418800010818030040228 +:10E5F000041100001410023080022020002022129A +:10E60000040000000000501A20820000820100086F +:10E6100000808001890102000000100081010000DB +:10E6200000000000010100000000010000000000E7 +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000001000001A +:10E6F00000000000000000000000000000A000007A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000008000000000000000000E1 +:10E730000000000000000010000900007800000048 +:10E7400008003000080000300000300000300000F9 +:10E750000030000030300000003000003000003099 +:10E7600000000030000030300000003800003000B1 +:10E7700000300000003C00603030003050B008B085 +:10E780005000003E50B080B05000303451AC01B564 +:10E790005D0A00045DB101B55C01000050B030B00D +:10E7A000500000000000000000A000010000000078 +:10E7B000A0A0000000000000010000000000000018 +:10E7C0000101010000000000000000000000000046 +:10E7D0000100000000000000000000000000000038 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000002000A8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000040000000000000000000000000084 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000001000000000067 +:10E8B00000000000000000000C000000000000004C +:10E8C00003000C00010000000D000000000000002B +:10E8D000040C000000040000000000000000000024 +:10E8E0000010000000300000000000000000005098 +:10E8F0000000000C0030000C0C09000000000C307F +:10E900000008113000301C0C0C000000000010004A +:10E91000302900000C0400000020300C0804040022 +:10E9200000000000080C000000000000080C0000BF +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000200000000000000000000017 +:10E9E0000000000000000000000000000000000027 +:10E9F000000000000000000000000C00000000000B +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA20000000003080000000800000000000800036 +:10EA3000000000008000040080000008000C0000BE +:10EA40008000000000000000000000000000000046 +:10EA500000000000000800000000000000080000A6 +:10EA600000000000001880008000400000108000BE +:10EA700080004000000000000000000000100000C6 +:10EA80008010000000000000801000000000000066 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB000020000000000000000000008000000004C +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000010094 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA00000000000000000403000000030000000C5 +:10EBB00000003000000000003000010000000002F2 +:10EBC0000001000000000000000000000000000044 +:10EBD0000000000000000000000200000000000033 +:10EBE00000020000000000000042000000001000D1 +:10EBF0000040000000001000800000000000000045 +:10EC0000004000000C400000000000000040000038 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000C00D8 +:10EC300000000000080000000000000000000002CA +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000010000024 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000004000000000000000000000000BF +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED7000000000000000000000000000000400008F +:10ED8000000000000000000004000000000000007F +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB000000004000000000000000000000000004F +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF00000000000000000000000F30347473F301F +:10EF00005353000000000000000000000000FFFF5D +:10EF1000353500000000FFFFDD11FFFF7722FFFF06 +:10EF20003535FFFFAF05FFFFDD11FFFFF303FFFFE7 +:10EF3000DD11FFFF1D1DFFFF3F30FFFFF30300004A +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC000000000000000000004000000000000003D +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F0700000000000000000000000000000009024DC +:10F080001E0090241E000000000000000000000090 +:10F09000000000000C000000000000000C00000058 +:10F0A0000C0000000C0000000C0000000C00000030 +:10F0B0000C0000000C0000000C0000000C00000020 +:10F0C0000C00000000000000000000000000000034 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000008000000B7 +:10F15000000000000000000000000000000000A00F +:10F160001228DDA452B9DCA452B9DCA452B8DCA444 +:10F1700052B9DCA452B8DCA452B9DCA452B9DCA464 +:10F1800052B9DCA452B9DCA452B100000000000066 +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D00000000000000000A01228DCA452B9DCA44A +:10F1E00052B8DDA452B8DDA452B9DCA452B8DDA4F3 +:10F1F00052B8DCA452B9DDA452B9DCA452B8DDA4E3 +:10F2000052B9DCA452B8DDA452B9DDA452B8DDA4D1 +:10F2100052B8DAA432B8DAA432B8DAA432B8DAA42E +:10F2200032B8DAA432B8DAA432B8DAA432B8DAA43E +:10F2300032B8DAA432B8DAA432B8DAA432B8DAA42E +:10F2400032B00000000000000000000000000000DC +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C00000000000000000000000000000000040FE +:10F2D000000000000000000000000000000000002E +:10F2E0000000FFFF55AAA5A59999C3C3999933CCEE +:10F2F00099999999999933CC99999999999933CCE4 +:10F3000055AA9999999933CCA5A599999999FFFFEF +:10F31000FFFF0000000000000000000000000000EF +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000FF7F2DF039CC0D +:10F3600059AAC9C3C9C3A9A5C9C359AA59AA39CC9D +:10F3700039CC59AA39CC39CC59AA59AA59AA39CC6D +:10F3800039CC59AA59AA99C399C399A559A999A53C +:10F3900099C399A599C3778877888787778877886D +:10F3A0007788778877887788778877887788878756 +:10F3B0007788878777888787778887877788878719 +:10F3C000778877888787FFFFFFFF00000000000035 +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F460000000000000000000040300008C01000008 +:10F4700004030000C800000004030000A80000000E +:10F4800004030000880000000403000004010000E1 +:10F490000402000024000000000000000000000042 +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E0000403000088000000040300000401000081 +:10F4F0000403000024010000040300004401000094 +:10F50000040300000000000004038000200000004D +:10F51000040340204000000004030000CC01000070 +:10F5200004030000AC010000040300008C01000093 +:10F5300004030000C800000004030000A80000004D +:10F540000403000088000000040200000400000022 +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000004100800000005A +:10F5F00028004100800000008000410080000000E1 +:10F600004000410080000000280041008000000010 +:10F6100028000100000000000000000000000000C1 +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F6600000004100800000002800410080000000F0 +:10F6700028004100800000004000410080000000A0 +:10F6800080004100800000002800C10080000001CF +:10F6900040044120800000008008410080000000FC +:10F6A0008000410080000000400041008000000018 +:10F6B0002800410080000000800041008000000020 +:10F6C0004000410080000000280001000000000010 +:10F6D000200000000000000000000000000000000A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F76000000000000000000000000000000000C0D9 +:10F7700000C08000C8C880C800C0C40080C880C85D +:10F7800000C0C40080C880C800C0C40080C8C0C811 +:10F7900000C08000C0C8000000C00000C4C000005D +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E00000000000000000000080C503CB0000C83E +:10F7F00000C09301C30000C000C0DB238300008071 +:10F8000000C01301C71300000080C723C90300C054 +:10F8100000C3CB00830300C700E20303931300007F +:10F8200000C00113C71300C000001303C5C00000CF +:10F8300000001303C5C0C00001C81303C5C0000009 +:10F8400000C89303C500C4C08100DB03C5000000ED +:10F850000000C300C700000000000000000000001E +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F00000000020002080000000800000020000C6 +:10F9000080008000000200008000800000020000F3 +:10F9100080008000002080008000000200020000C3 +:10F9200000200000000000000000000000000000B7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F9600000000000000000000000000000024080D5 +:10F97000040000000020800184000020000200003C +:10F98000C000000200020001400000000002400030 +:10F99000400100A0000A0480C00800200002040109 +:10F9A0008000000000024000400000020000000152 +:10F9B00040200000000000014002800040000001E3 +:10F9C000402000000000800140000002A000000173 +:10F9D000400000020000C000040000000000000021 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA7000000000000000000000000000000200C0C4 +:10FA800001000020000000C001000000000000A0F4 +:10FA9000000000000000008000000000D000000016 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000020014 +:10FAF00000F0002640001FD00300000440000880F2 +:10FB00000080000440000C80018001044002088055 +:10FB100000841C0440000CD00381000400903ED0FF +:10FB20000380C00409000C90003A4007000001C0A7 +:10FB300003168080030017E00348010001601610DF +:10FB40000478008002020100000000E00170200043 +:10FB500004008080026000000000001E00700000B1 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF00000000000000000000000000000001C00E9 +:10FC0000C000000000001C060000000000001C00F6 +:10FC10000000008008001C000000000008001C001C +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000214004385C0050010E8003001C0489 +:10FC80004000188000389C0C50011C800138800C0A +:10FC9000C00118800038900C50011C8023389C0C47 +:10FCA0002080028B03380C8921000C8003088C040F +:10FCB000000006800008280702004C82633008001C +:10FCC00002804C82E1002000A2004E2200001003BE +:10FCD00030080200E7001017B0000000000010001C +:10FCE000000800000000000000000000000000000C +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000100073 +:10FD8000000034010000008000101000100000800E +:10FD900000101004400000000010100400000000DB +:10FDA0000030100400000000000800040000000003 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000008000080010004000A0008B +:10FE0000003090022C0011000514100000001000BA +:10FE1000051000000000110005200A00000011007C +:10FE20008014100028000100001004802800420007 +:10FE30000310000000008110040000010000800099 +:10FE4000104000400000830000800000000000001F +:10FE5000020003401000085000260200000260006B +:10FE60000008038000000000000000000000000007 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF00000000000006001000C0011E000E000C08DA +:10FF100000001E000E000C0B000018000800000B73 +:10FF20000000090008000C03000116000000000397 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000028400094000F76 +:10FF8000C0000E8009380006200110E0013800078B +:10FF90001000322000109400080001800000800052 +:10FFA000C0001080E031C0011060904D032C80C86B +:10FFB0002102182002808000E00040830980800038 +:10FFC0000000224006801001E0094023E0B1000853 +:10FFD000E0092D808555150EE0000E80C310000E3F +:10FFE000E0001E0C8001140E000000000000000064 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000010080002200036 +:1000900000001700A000200000000100A0002000C8 +:1000A00000000000D00022000000170F0000010037 +:1000B0000000000700000000000000000000000039 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F000000000000000000000000000000002C03E +:1001000001000020A00202200002002F0000008059 +:1001100001340025D000004003527C07200102601A +:100120000380401AF002006063000008D00400550C +:1001300000004C04D400204000800E07F002002094 +:1001400000800006F0020240008001A1033200801E +:100150000200002400720C4000309480C30A2EE09C +:100160000108804011020E00000080C0011800004C +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000010000000000000000000000DD +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:10024000000000000000000000100000000000009E +:10025000000000000000000000000010000000008E +:10026000000000000000000000000000001000007E +:10027000000000000000000000000000400000003E +:10028000000000000000000000000000000000006E +:100290000000000004000000000000000000020058 +:1002A000004000100080000000408000000000843A +:1002B000044000000020901000400000000050109A +:1002C000802000000080218180200000208000002C +:1002D0008C010000202000004420100002200000BB +:1002E0008C0010000000000004000000000000006E +:1002F00004000000000000000000000000000000FA +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000005000000D +:1003A000000000000000B000B00000000010B0002D +:1003B000B000000000000000000000000800000085 +:1003C00000000000000000000000000000300000FD +:1003D00000000000000000000000000000000030ED +:1003E000000000000000000000000000000000000D +:1003F00000300000000000000001000000000000CC +:1004000000000010000000000000000000000000DC +:10041000000000000000000070000000001000005C +:100420009000000000000010000500000000000027 +:1004300000000000000000A00050000000000000CC +:10044000000000000000A0050000000000000040C7 +:100450000060000C00000046006C0000000000007E +:10046000006D00020000300000A00000000000004D +:100470000000000000000000A0007000000000006C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:10053000008000000000000000000000000000003B +:1005400001000000000000000000000000000000AA +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:1005800000000100300000D000000000000000006A +:100590000000000000000000000000020C000050FD +:1005A00000800000000008000020000050200070C3 +:1005B0000020100200000024041000000030200081 +:1005C00000200000000010000010020A0020382067 +:1005D00000000000002000010408000000310000BD +:1005E000341000000C300000040000000000000087 +:1005F00004000000000000000400000000000000F3 +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A0000000000000000000000000000C0000003E +:1006B000000000000030000000000000000000000A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:100700008010000000000000000000100000002029 +:100710000000801000100200001000000000020025 +:100720000C10001002000000001000000200020087 +:100730000000000002002200000000000000000095 +:1007400000102030000002000030000002000240D3 +:100750004000000002000200000000000000020053 +:100760000000000000000240000000000000020045 +:100770000000000000300200020000000000000045 +:1007800000800000000000000000000000000000E9 +:1007900000000000000000000080000000000000D9 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:10083000000000000000000000B000000000000008 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:1008800000000000004000000000000000000040E8 +:1008900000000080000000400090040000400000C4 +:1008A000000016000040004004000000004000006E +:1008B00004000400000000000400440000000000E8 +:1008C00000000000004000000000040000000000E4 +:1008D00004000430100000000400040000000000C8 +:1008E00000000400000000000000043000000000D0 +:1008F000000004000000000000B004000800000038 +:100900000000400000100000000000000000000097 +:1009100000000000000000000000000000100000C7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B000000000000800000000000080022000008D +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000001000000000016 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000200000B6 +:100A2000000000000000200008000000000000009E +:100A300000000000000000000000000000000000B6 +:100A40000000000000000000000010100000000086 +:100A50000010000000000000000000000000000086 +:100A60000000000000000000000000000000000086 +:100A70000000000003000000000000000020000053 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD000000047471B1B330F7744550F3F305353DB +:100BE0003535000000000000000000000000CF03C9 +:100BF000553300000000000000000000000000006D +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D500000000000000090241E0090241E0090243B +:100D60001E0090241E000000000000000000000093 +:100D7000000090241E0000000000000000000000A1 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000090241200902498 +:100EE00012000000000000000000000000000000F0 +:100EF000000090241200000000000000000000002C +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000400000000011 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:1010500000000000000000000000000000005353EA +:101060003F307722F3030000000000000000000082 +:1010700000000000000035353F3000000000000097 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E000000000000403C020CCCF00000000C0209D +:1011F00000D6000000000000000000000402800093 +:10120000080C0000000000000000000000000000CA +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000C1208000000180088020F3 +:1013700000000001000400000000000000008100E7 +:1013800000000001800000000000000000000000DC +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000C86383C3CA00C1 +:1014F000800000E200070300000000000000000080 +:101500000000002300030300C000000000000000F2 +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000205A +:10167000C00C048080000010000008000100000081 +:1016800000000000000000400201080010000000FF +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F000000040AF01810004421000001849008041 +:1018000001300000000000000000000000050D296C +:1018100000B0000000000000000000000000000018 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:1019700000000000000000C32030A83C01F100007E +:1019800060000010003400000000000000000000B3 +:10199000E00010010048000000000000000000000E +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF000000000000000000000001000082420008A +:101B000000080004000000000002000000000000C7 +:101B100000001100000008000000000000000000AC +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C700000000000000000000000000000001C4305 +:101C8000123000071004001FF04100C001000000E6 +:101C900000000000000001001000140600E0000039 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E00000000414D1030002000380000703800C044 +:101E100009000000000000000000020070004020E7 +:101E200000040000000000000000000000000000AE +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000008000808DA +:101EF00008000000080000080800000008000000BA +:101F000000000000080000000000000000000000C9 +:101F100000000400000000000000000000000000BD +:101F200000000000000000000000000000000000B1 +:101F30000080000000000000000000000000000021 +:101F40000000002000000000000009000000000068 +:101F5000000000000000002000000400000000203D +:101F6000000004000084002002020400020000209F +:101F700004020400000400020402040000008400C3 +:101F800004040400001020004404000000000020AD +:101F90000010044002000020810024000000002006 +:101FA00000020400000000200002240000000020C5 +:101FB00000020400000000200002040000000000F5 +:101FC0000402040000000000000200000000000005 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000900000000000067 +:1020700008090808080000000800B008080000006F +:102080000809B00000000000080000000000000087 +:102090000000B00000000000000000000000000090 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000A000000000000F6 +:1020E0000000000000000000000000000E000000E2 +:1020F000000E0000010000000001000001000000CF +:10210000000D00000005000100300000010000018A +:1021100000000000001000003000003E0000000041 +:102120000000000E003000000000000E0000000063 +:102130000D00B000000009000000000000000000D9 +:102140000D00000000000000000000000000000082 +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000080000000000B6 +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000010000000007E +:10225000000000000000000000000000000000205E +:102260000000040000000020000004000024002002 +:102270000C0804000800002A000804000000010CFB +:102280000408040000003000045404000000200092 +:10229000140C000000000020000004308C0000A09E +:1022A0003C00140000000020008804000000002012 +:1022B0000008340000000020000804000000002096 +:1022C00000080400000000000408040000000000F2 +:1022D00000080000000000000000000000000000F6 +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C00000100000000000000000000000000000FD +:1023D000000000000000000000000000800000007D +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:10240000000000000200000040000000000000008A +:10241000000000400000000000000000000000007C +:1024200000000200000000000000000000000000AA +:10243000000030000000000000000000000000006C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000040000000000000000000004B +:10255000000000000000000000000000000000007B +:10256000100000000000000000000000000000005B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000200000002B +:10259000000000000000000000000001000000003A +:1025A000000000000000000000000000000000002B +:1025B00000000000000040000000000000000000DB +:1025C00000000000003000000000000000000000DB +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:102680000000000000000000430000000000000007 +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000001000000000000000A9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000002000000000000069 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:10289000000053533F300000000000000000000023 +:1028A00000001B1B3F300000000000000000000083 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000400000000000000000000000000000063 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000090241E00000000000000E4 +:102A200000000000000090241E00000000000000D4 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B600000000000000000000000800400000000E1 +:102B70000000000000000000000000000000000055 +:102B8000000000000000902412000000000000007F +:102B9000000000000000000000009024120000006F +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000040000000000000000024 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE0000000000000000000000000000000FFFFE6 +:102CF000FFFF0000000000000000000000000000D6 +:102D00000000000000000000000077440F330000C6 +:102D100000000000000000000000000000001B1B7D +:102D20002727000000000000000000000000000055 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E700000010000800000000000000000000000D1 +:102E80000000000000000000000000000000000042 +:102E90000402000004000000000000000000000028 +:102EA00000018000000000000000000000000000A1 +:102EB00000008000000E0000000000000000000084 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000004400800000004000000000000000CD +:1030000000000000000000000000000000000000C0 +:10301000000001000000000080000000000000002F +:103020000000C0008000000140040000000000001B +:10303000000080000000000100000000000000000F +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:1031700000000000000000000000C8000000000087 +:10318000000000000000000000000000000000003F +:1031900000000000000000C0002300230300000026 +:1031A00000000000000000C000010003C800000093 +:1031B000000000000000000000000000C00000004F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000200000000AB +:103320004000000000000000000000100001020842 +:10333000008000000000000000000000008000008D +:10334000100000000000000000000000000000006D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:1034800000040C000000000000000000000000002C +:103490000000000000000000000000000000070025 +:1034A0000000000400000000000000000000000018 +:1034B000E0000000103A00000000000000000000E2 +:1034C000F000000000B80000000000000000000054 +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000001000000000000000000B9 +:1036100000000000000000000000000000000000AA +:1036200000000E000000400000000000000000004C +:10363000000000000000000020100000000000005A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A000000000000000E0000080000000000000B9 +:1037B000000000000000000088220000005000000F +:1037C0000000000000000000A080000000000000D9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:103900000000000000000000000000000080000037 +:1039100000000000000000000000000000000000A7 +:10392000000000000000000000000C00004800073C +:103930000000000000000000000000402200010024 +:10394000E000000000000000000000006030010006 +:10395000000800000000000000000000000000005F +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000020014 +:103AB000003800200000000000000000000000C0EE +:103AC00021100000F0420000000000000000000093 +:103AD0007000140000300000000000000000000032 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000000000000000000008000800F5 +:103BC00000000008080008000000000000000000DD +:103BD00000000000000000000000000100100000D4 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C00000000008000000000000000000000000034 +:103C1000000000200000000000080808000000006C +:103C20000000000000000000000000004000000054 +:103C300000000000400000000400000020402020A0 +:103C40000000000000000100400004000000042407 +:103C5000002000000400040000000100000000003B +:103C60000004000004000400000004000000000044 +:103C7000000004000000000000000400000000003C +:103C8000000004000000000000000400000000002C +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D4000040004000000000404000400000000005F +:103D5000080000000000000000000000000000005B +:103D60000020000000000000002000000000000013 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D9000000000000000000000000000000C0C0CFF +:103DA0000000000000000000000A00000000000009 +:103DB00000000000000500050100000000010000F7 +:103DC000015000010000000001000000000100009F +:103DD00001000000010108000000000000000000D8 +:103DE000500C000000000000000000000000000077 +:103DF000000000000E0900000000000C00000000A0 +:103E00000000000C000030000000000C000000006A +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED0000000000001000000000000000A000000D7 +:103EE0000000000C000000000000008000800000C6 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F10000000000000000000000000300000000071 +:103F20000000000000000000000000000000000091 +:103F30000000000010000000000000003000000041 +:103F40000C000000001A102000000000000A0C0005 +:103F500010000C00000000200E000100000A00000C +:103F600080000C0000010000000400800000000040 +:103F700000000C000000000000000C000000000128 +:103F800000000C000000000100008C000000000197 +:103F900000000C0000000000000000000000000015 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000008000800030 +:1040900000000000000000000000000000000080A0 +:1040A00000300000000000000000000000100000D0 +:1040B00000000000000000000030000000000000D0 +:1040C0000030000000002000003000000000000070 +:1040D00000300000000000000000000000000000B0 +:1040E00000400000800000000040001000000000C0 +:1040F0000000001000000000004000100000000060 +:1041000000000000000000000000000000000000AF +:1041100000000000300000000000000000000080EF +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000300040000000000000000000001E +:1042300000900000000000000000000000400000AE +:1042400000000000004000000000400000400000AE +:10425000000000000000000000000000000000005E +:10426000000000000000000030000000000000001E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:1042900000300000000000004000000000000000AE +:1042A00000000030000000000000000000000000DE +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:1043500000000040420000000000000000000000DB +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000002000000000000000000000DD +:1043C00000000000000000000000000000000101EB +:1043D00000000000000000000010000000000000CD +:1043E00000000000000000000000000000000000CD +:1043F000000000100000000000000010000000009D +:10440000000000100000000000000000000000009C +:10441000000000000020000000000000000000007C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:1045600000000F8F1B1B00000000FF8055D50F8F30 +:1045700033B3FF8055D50F8F33B3FF8055D50F8FE1 +:1045800033B3FF8055D50F8F33B3FF8055D50F8FD1 +:1045900033B3FF8055D50F8F33B3FF8055D50F8FC1 +:1045A00033B3FF8055D50F8F33B3FFFFFFFF0F8F5E +:1045B00033B3000000000000000000000000000015 +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:104620000000000000000000000000000400000086 +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000090241E0000000000902444 +:1046F0001E0090241E0090241E0090241E00902472 +:104700001E0090241E0090241E0090241E00902461 +:104710001E0090241E0090241E0090241E00902451 +:104720001E0090241E0090241E0090241E000000F5 +:104730008C0290241E000000000000000000000019 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A00000000000000000000000000000000040C9 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E0000000488040A84C8040B84D8040B94C80C3 +:1047F00040B94C8040B84D8040B94D8040B94D80A3 +:1048000040B84D8040B100000000000000000000F2 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:1048400000000000000000000000900400009084C0 +:1048500000284C8040B84D8040B94C8040B84C8016 +:1048600040B84D8040B84D8040B84D8040B84D8034 +:1048700040B84D8040B84C8040B94D8040B84C8025 +:1048800040B94D8040B84C8040B94D8040B84C8014 +:1048900040B94C8040B84D8040B94D8040B84C8004 +:1048A00040B94D8040B84C8040B94C8040B94D80F3 +:1048B00040B84D8040B94C8040B10000000000007D +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000004000000000000000000000000037 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000003C3C3C3CC3C33C3C5A5AA5 +:104970005A5A3C3C5A5A3C3C3C3C5A5A5A5A5A5A4B +:104980005A5A5A5A3C3C5A5A5A5A000000000000DF +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C000000000000000000000000000000033555F +:1049D000FFFF5533A5A533CC999955AAA5A599995B +:1049E0009999C3C39999999933CCA5A533CC999931 +:1049F0009999999933CC55AAC3C3C3C3999955AA18 +:104A0000999999999999999933CCC3C355AA999928 +:104A1000999933CC55AA9999C3C355AA55AA99997E +:104A2000C3C333CC55AA9999C3C333CC55AA33CC4D +:104A3000A5A555AA33CC9999A5A533CCA5A5000069 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000004030000240100000000000029 +:104B60000000000000000000000000000000000045 +:104B70000000000000004020000000000000C020F5 +:104B800000CE00000000C02000D600000000C020C1 +:104B900000E600000000C020004C00000000C02023 +:104BA000005400000000C020006400000000C0208D +:104BB00000A200000000C020009200000000800061 +:104BC000000A0000000000000000000000000000DB +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C9000000800000000000000000000000000000C +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000041008000000040000000D3 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000200000000000088020EC +:104D00000000000100088020000000010004802055 +:104D10000000000100108020000000010008802039 +:104D2000000000010004802000000001001080202D +:104D3000000000010010802000000001000480003D +:104D40000000000100000000000000000000000062 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000080000000000000008000000A3 +:104E000000000000000000000000000000000000A2 +:104E1000000000000800000000000000000000008A +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E6000D30008000000C0000000000000000000A7 +:104E70000000000000000400000000C0000000006E +:104E80004400000000C0C0004400000000C000005A +:104E90004403000000C0C0004400000000C0C00087 +:104EA000440000C000400000C000000000C0C0007E +:104EB0004400000000C0C000440000C00040E0000A +:104EC0000000004000100000C000000000000000D2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000008000002000000000800011 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE0000000000080000000000001000000000040 +:104FF00000000000000000000000000000020004AB +:10500000000000000000004000041000000000400C +:1050100000040000000800400004100000000040F0 +:1050200000041000000000040020010010000040F7 +:1050300000041000000000400004100000000010F8 +:1050400000200000010000400040000010000000AF +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000008A8 +:10510000200000000050400AA000000000700108CC +:10511000200000000030002BB00200000080602161 +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:1051600000001EC00300000010000E80012C000093 +:10517000100000000034000000020000002C0000BD +:1051800000024022000030C003000E088000140618 +:10519000017A020224001000017800036000000080 +:1051A00009FA0048F000080009F80042F000000683 +:1051B0000978004F6000000601F800422000000658 +:1051C00009F81E4410000006017800C01302000612 +:1051D00010F80000000000000000000000000000C7 +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000012000000000000C03A00000004E +:10529000000008012000000000801003A0000000B2 +:1052A00000000C00000000000000000000000000F2 +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E000000000000000000000000000000001407D +:1052F00000000000000000000000000000002E8000 +:105300000500340000000000020000400000010819 +:1053100060301C20031400080010284002402E8832 +:1053200065301C8003300088E5381E80033000881B +:1053300025101C800314008865301C200330008871 +:1053400025101C800310009865321C2003140000F7 +:105350008030280000300000000000000000000045 +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000800228000000000080066C +:105410002800810000008002280000000000100128 +:105420000400000000000000000000000000000078 +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:105460000000000000000000000000000004000038 +:10547000000081000000000040000000000000006B +:105480000000010000004000000020240210002065 +:1054900000002000081938020000242C483A086057 +:1054A0004008200440191440000020A81056144061 +:1054B0000004018420991442000420A0403F1002FF +:1054C0000210208460391002000000882039100288 +:1054D0000000000062150002001000000000000043 +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000080040030001000000000000100000208F +:1055A00000008000C000000000008000000000003B +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000300007C000000000000000A0002320D1 +:105600000800300F00000C200000000110000D27E2 +:10561000000000CBC100000C0A0014C6057900038D +:105620006A0000C605F00100080000C60579010304 +:1056300068302CC605695017E03800C7154880044B +:10564000880814C605614015C80000C605715025BC +:10565000C03000C8C5700000C0888008007000001D +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000011100200000076 +:105710000000000000000006000002000000000081 +:105720003000000000000008000000000000001A27 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:1057700000001E0002040020D00208000034000FC8 +:10578000C00208800134002610001C000000000048 +:1057900000000E4F0070800608020008F40400E0CC +:1057A00003E00003F030002B02824808F40414A048 +:1057B00002E00203F034102F02400007F034102002 +:1057C000D2401C04F0087CA002641C05F0083CE0F8 +:1057D00003F80004F08800C003FA1C00F028160447 +:1057E000D0E0000000000000000000000000000009 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:1058800000000000000000000000000000400000D8 +:1058900000000000000000000000001000000000F8 +:1058A0000000000000400000000000800000000038 +:1058B00000000000001000000000000000000000D8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F0000000008000000000000000800000400068 +:105900000000008000000000000000800000000097 +:1059100040844080000400000484008040100000A7 +:10592000008400100004001000000080004000000F +:105930000010009000100000000000800000000037 +:1059400000000080000000000020008000000050E7 +:1059500000200080000000000080008000000000A7 +:105960000200000200000000000000000000000033 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000008000A080A0072 +:105A10000000080006080A000000086002000010EC +:105A2000000008600020000400000060020000B0D8 +:105A300000000060000000200030000000000000B6 +:105A40000000000000000000000000200000000036 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000B000000000000000800000E6 +:105A90000000000000000000000000000E00000CEC +:105AA000001000000E70001C0900001C00000E0019 +:105AB0000070000000100E300010003000000000E8 +:105AC0000000000000300000003000000500000071 +:105AD000005000100000000A00000000000000005C +:105AE0000000003000000000000000000000000086 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000010014 +:105B900000010000001001000001000000000100F1 +:105BA000000000000000010000800001001600005D +:105BB0000000003000000000000000800000000035 +:105BC0000000000000000000000000000000008055 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF0000000000000007000000000300000700095 +:105C000000000030700010000000003070007000D4 +:105C100000010030700070001034303000040000CB +:105C20000C380031300070008034000170047001C5 +:105C3000000000307020700000000030000070F0A4 +:105C4000000000300000700000F0003000807000A4 +:105C50000010003000007010003000300000000024 +:105C60000030003000000080040000080000000048 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000200053 +:105D30000000000000002000000000000000000043 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000001000000000000013 +:105D800000000000000000000000000000000020F3 +:105D900000000000040000200000002000000000BF +:105DA00000000020000000000000000000000000D3 +:105DB00000000000000000000000000000000000E3 +:105DC0000000000000800000000000000000000053 +:105DD0000000000000000000003000003000000063 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB0008000800000000000000080000000000062 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF0000000000000000000000000000080000022 +:105F00000000000000000000000000000000000091 +:105F100000000080000000000100008400000080FC +:105F200000002000000000800000200000000000B1 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000001000000000000041 +:105F500000000000000000000000000000B0000091 +:105F600040000000000000000000000000000000F1 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000004040 +:106020000000000000000000000000000000000070 +:106030000000000000200000000000000020000020 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:1060900000000000200000000000000020000004BC +:1060A00000000000000020000000000000002000B0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E0000020000000000000000000000000000090 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000488040A84C8040B84C802B +:1065300040B94C8040B94C8040B84D8040B84C8048 +:1065400040B94C8040B94C8040B1000000000000D0 +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000CC33CC3333CCED +:1066B000CC33CC33AA55CC33AA55CC33CC33AA55E2 +:1066C000CC33CC33666666666666CC33AA5500006A +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000800000000000000000000AF +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B3000000000000000000B00200300000000081F +:106B4000000300000000000800030000000000082F +:106B50000003000000000008000300000000000027 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB0000000000000000000000000800000020052 +:106CC00000000000008004000000000000800400BC +:106CD00000000000008004000000000000800000B0 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E300000000000000000000000000000000880CA +:106E4000000000000000088000041400000008801A +:106E50000000000000001E0000000100000008000B +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC000000008A008000000000008A00800100051 +:106FD000000008A0080030000000000000001400BD +:106FE0000000080000101000000000000000000079 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:1071400000000000000062000A0000000000C20011 +:10715000000018000000C200000000000000000055 +:10716000000000000000420000401000000000008D +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000120002000000AA +:1072D000000000000800000000012E200200000055 +:1072E000100027000F6C0008E000100000000008EC +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:1074400000000000000000000000000000000240FA +:107450000000000000000C000000000500000C000F +:1074600000000000000008800128000050020C000D +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000080808000000000000000000000000B3 +:1075C000000000000000000000000000002000009B +:1075D00000000000000000000200000000000000A9 +:1075E0000200000000000000020000000000000097 +:1075F0000200000000000000000000000000000089 +:10760000000000000000000000000000000000007A +:10761000000000000000000000080008000000005A +:107620000000000000000000000000000004000056 +:107630000008000000000000000000000000000042 +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000040000A6 +:1076E0000000000000000800000000040D00000081 +:1076F00000000000000D002000000000002000003D +:107700000000000400040000000000000000000071 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:1077300000000000000C080C000000000000000029 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000B00009000060 +:107770000000009000000000000000000000300049 +:1077800000B000B000000000000000000000000099 +:107790000000000000000000000000000008000CD5 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000C00000000000000000000BD +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:1078500000000000000000000000000000006000C8 +:107860000001000000000000000001000000000115 +:107870000000000000000000000000800000000088 +:107880000080000000000001000100000000000076 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D0000000000000200000000000000000000088 +:1078E0000400000070000000040000000000000020 +:1078F0000400000000000000040000000000000080 +:1079000000008000000000000000000000000000F7 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000040000000000000000000043 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A4000080000000000000000000000000000002E +:107A50000000000000000000000000000000000125 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000010000000000000000F5 +:107A900000010000000000000000000000000000E5 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE000000000080000000000000000000000008D +:107BF0000000000000000000000000000000000085 +:107C0000000000000000000000000008000000006C +:107C1000000000000008000000000000000000005C +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D100000000000000000000000810000000000E2 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D4000000000000000000008000000000000002B +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED0000000000000000000000000000000FFFFA4 +:107EE0005A5A33CCCC33AA55AA555A5A3C3C5A5A02 +:107EF0003C3C666666666666666666666666666676 +:107F00006666666666666666666600000000000075 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000040000000000000000000000AD +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:10806000000000800C284C804CB84D804CB94D80ED +:108070004CB84D804CB84D804CB84D804CB84C80BD +:108080004CB84D804CB84D804CB94D804CB0000080 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E0000000000000000000000000A01228DCA435 +:1081F00052B9DCA452B9DCA452B9DDA452B8DCA453 +:1082000052B9DDA452B8DCA452B8DDA452B9DCA442 +:1082100052B8DDA452B100000000000000000000D0 +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C000000000000000000000000040000000006E +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:108360000000000000000000000000000000FFFF0F +:108370000FF0C3C3A5A5C3C355AA99999999999913 +:1083800033CC9999A5A5999933CC9999C3C3999957 +:1083900055AA9999C3C3999955AAFFFFFFFF0000F9 +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F00000000000040300008C01000004030000E1 +:10850000C800000004030000A800000004030000ED +:1085100088000000040300000401000004020000C1 +:108520002400000000000000000000000000000027 +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000041008000000028004100D0 +:1086800080000000800041008000000040004100A8 +:108690008000000028004100800000002A00010046 +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000010003C000CA80006B +:10880000210003C803C0C400220103EB00C0000123 +:10881000A20303E30080C800220303EB0085C0002D +:108820002223032300C00000C40000000000000059 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000020000420B3 +:10898000008088002000040040020800020140002E +:1089900000282001020140200002080002014000DE +:1089A00000028800020040020002400000000000B7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B000000000000F000008EEB000100500000C0EB +:108B10000110810050034000A0100104500000C06B +:108B2000A110410050000000A11001000000000051 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C8000C000000000000000C0001C88F1010600C8 +:108C900000009C030000060000000020011546149F +:108CA00080081CC00115060780081C20031506005B +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E0000000000000003000000001000022110809C +:108E100002100006401010004020A0044010000086 +:108E2000012081005870102000208004481D10008F +:108E3000002080000028000000000000000000006A +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F80000000000000000000600000000000000081 +:108F900000080000011110A5C8000008C000000072 +:108FA00008000000C001004000002000C0000000D8 +:108FB00008009C00C0000000000000070000000046 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:109110000000000000803C0005148005580102009A +:109120000001080000008000000108400000BC00B1 +:1091300000010800001401100001080000000027D1 +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000010000000000000000000000000EE +:1092700000000000001000000000000000000000DE +:1092800000000000000000100000000000000000CE +:109290000000000000000000001000000000004876 +:1092A0000000000000400028000000400040004096 +:1092B000000A000000400020000000000040000004 +:1092C000000200000000000000000000000000009C +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F0000000000000000008040000000804000056 +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000900000000A4 +:1093C000000000000000000000000000100000008D +:1093D0000000000000000800000000000000000085 +:1093E000000000000000003000000000000000004D +:1093F000000000000000000000300000000000003D +:10940000000000000000000000000030000000002C +:10941000000000000000000000000000002000002C +:109420000000000400000000000000000000000038 +:109430000000000E0000000000000000000A000014 +:109440000000000E0000000000000000000000000E +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000400000000E8 +:1094800004000000000000000000000000000000D8 +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000800000000000000000000100000000008A +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A000000000000000000000000000002000009B +:1095B0000000000000200000000800000020003033 +:1095C0000000000000200000000800000000000073 +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:1096000008000000000C0000000000000000000046 +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000010000000000000000000000000000009 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000900000000000000000000008 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B0000000820100000000000000000000000024 +:1099C0000000000000000000000000000000810016 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A400000000000000000000020000000000000F6 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000FFFFFEFF000000000000000000009A +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900004000000000000000000000000000000C0 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D400000000000000000000C0000000000000007 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000004000000000000000000000000002 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000009024120000000000000000000000EC +:109EB000000000000000000000000000000000A002 +:109EC0001228DDA452B8DCA452B9DDA452B9DCA436 +:109ED00052B9DDA452B9DDA452B8DDA452B9DCA454 +:109EE00052B9DDA452B9DCA452B100000000000058 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F1000000000000000000000009024120000007B +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F90000000000000000040000000000000000081 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A02000000000000000FF8033B3000000000000CB +:10A03000000000000000FEFF00C0FFFFFEFF000068 +:10A040000000FFFF33CC999933CC999955AA99997F +:10A0500055AA999999999999999955AA99999999D6 +:10A0600055AA99999999999955AA999999990000F8 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000F8F22 +:10A0A00055D5000000000000000000000000000086 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A000000000000000000000010000400000006E +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000004030000C8000000C0 +:10A1D00004030000A8000000040300008800000041 +:10A1E0000403000004010000040300002401000037 +:10A1F0000402000004000000000000000000000055 +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A2200000010000800100000000000000000000AC +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000004000800000006D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000004100800000004C +:10A3500080004100800000004000410080000000BB +:10A36000280041008000000028004100800000001B +:10A37000400001000000000080000000000000001C +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000004000800000000800000000000000E5 +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B00000010000C80000000000000000000000D3 +:10A4C00000000000000000001000000000000080FC +:10A4D000C4C000C0C80000C000C88000C48000C064 +:10A4E0000080C400C80000C000C88000C00000C0D8 +:10A4F00000C88000C40000C000000000C4000000CC +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A5200000000000000000000080000000C80000E3 +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A630000000000000010000000000000000000019 +:10A6400000000000000000004000000000000000CA +:10A650000000000200200020000000020000800036 +:10A660000004000200020000000000200000800042 +:10A6700080000002000080000000000200000000D6 +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000020000A8 +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000060007800004000000081 +:10A7C000000000000000000002001C00F00001007A +:10A7D0000000080E00000000000000000000000063 +:10A7E00000810000001000000000000000000200D6 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A8200000000000000000000000000000000080A8 +:10A8300001800000D00000000000000000000000C7 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000C0030800004C +:10A940005001000000000000000000200200010093 +:10A95000400200000000410000002C000700000041 +:10A9600000002C0007381C000080000000001C00C4 +:10A970000000000007001C000000000007001C0091 +:10A9800000000000002C000000000000000000009B +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B000000000800138000050000000000000008E +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000040000000000000000000000000004006 +:10AAD0000000000000000000000000000000200056 +:10AAE0000010000000008000023010000010810003 +:10AAF0000230100000004100023010000000810010 +:10AB0000023010000000A000001000000000000053 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB300000000000000000000500200000000000F0 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000008009000000E0000000000000009B +:10AC500000000000020000004000060000000000AC +:10AC60000000006000000000C0000000C008800676 +:10AC7000E0010C800700800EC00000000000000E04 +:10AC8000E0010C0000008006E001080000008006E2 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB00000000000000000000000004006B00C0092 +:10ACC0004000000000000000000000000000000044 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC000000000000000002000040000F00200006D +:10ADD0000000000000000050010000000001200001 +:10ADE00000000014000000C001000000000200404C +:10ADF000010300070042004001000000F002004093 +:10AE000001000026000200400100000700020000CF +:10AE1000000000070000000000000000000000002B +:10AE20000000000000000000000000000000000022 +:10AE300000000000000000000000000000000040D2 +:10AE400000882800F0020000000000000000000060 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000800000000000000000039 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000020000000000000100000020000BF +:10AF6000000020002000000220002000000000005F +:10AF7000200000022000000020000020000000004F +:10AF80002000000020000000200000000000000061 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000080000000000000000000000000000001 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000080000000000B8 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000009000E69 +:10B0D0000800000000000000000000000000000068 +:10B0E0000040000000000000000000000000000020 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000C000000000000000003 +:10B14000000000000000000000000000000E00A051 +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000004000000000BE +:10B25000000000000B0000000000003000000000B3 +:10B26000000000000000000000002000200000049A +:10B270002000200000000000200000002000000E40 +:10B28000200000200000000020000000200000003E +:10B29000200000000000000000000000000000008E +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000001000000008D +:10B2C000000000000000000000200000000000005E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000003000006D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000020000000003D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000001000002C +:10B41000000000000000000000000000001000001C +:10B42000000000000000001100000000000000000B +:10B43000000100000001000000000000000000000A +:10B4400000000000000000000000000000000001FB +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000008000000000000000000000000000008B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B56000000000000000000000000000010000805A +:10B57000000000000000000000800000000000004B +:10B58000000000800000000000000000000000003B +:10B59000009000000000000000000000000000001B +:10B5A000000000000000000000000000000000009B +:10B5B0000000000000000000000C0000000000007F +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000003000000000000000000000000000001B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B670000000000000000000000000000000810148 +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F0000400000000000000000000000000000046 +:10B700000000000000000020000000000000000019 +:10B710000000000000200000000000000000000009 +:10B7200000000000001000000000000000000010F9 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000002000000000000000000000A9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000004000000E3 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB70000000000000000000000000000000D8A449 +:10BB800072A9DFA472B9DFA472B9DFA472B9DFA40D +:10BB900072B9DFA472B000000000000000000000D5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000FF0055555555FF000F0F33330F0F3F +:10BD10003333FF0055553333AAAAFFFFFFFF00005E +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE80000000000000010000800100000403000029 +:10BE90008C01000004030000C80000000402000040 +:10BEA000280000000000000000000000000000006A +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C0000000000000000040008000000040004100EF +:10C010008000000028004100800000008000010036 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C180000000000000000000000000C80080C8009F +:10C190008000C0C0C480C800C000C0C8C4C0C08087 +:10C1A00080C8000000C00000C4C000000000000003 +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C3100000020000800080200002000080008000F9 +:10C3200000208002800000020002000000200000C7 +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C6200000000000E0000000000000070000000023 +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000100000098 +:10C7A00000001000080000000800200001008000C8 +:10C7B0000000000000080000000000000000000071 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000060000000001 +:10C9300000000000000010000000000000000000E7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000D00000000000002096 +:10CAB0000000000000000000D0000000000000079F +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC1000000000000000000000040000000400000C +:10CC20000000000000000000000000000000000400 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF200000040000000400000000000000000000F9 +:10CF300000000000000000040000000000000000ED +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000100000BE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000C000000000001D +:10D35000000000000000000000000000800000004D +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000002000000000000000000000000000001D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D510000000000000000000000033B3FF800000A6 +:10D52000000033B30F8F0000000033B30F8F0000F3 +:10D53000000033B333B333B30F8F33B30F8F33B331 +:10D540000F8F0000000033B333B30F8F0F8F33B34F +:10D550000F8F33B30F8F33B30F8F33B30F8F0000A1 +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000400000000000000F6 +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D6900000000000000000000000000000009024D6 +:10D6A0001E000000000090241E00000000009024D6 +:10D6B0001E000000000090241E0090241E009024F4 +:10D6C0001E0090241E000000000090241E009024E4 +:10D6D0001E0090241E0090241E0090241E00902402 +:10D6E0001E0000000000000000000000000000001C +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000400000075 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000902454 +:10D82000120000000000902412000000000090246C +:10D8300012000000000000000000000000000000D6 +:10D840000000000000009024120000000000000012 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000FF8055D50000000033B333B3000002 +:10D9B000000055D555D50000000000000000000013 +:10D9C0000000000000000000000033B333B300008B +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB2000000000000000000004028000040A000061 +:10DB30000402800000200000040280002000000099 +:10DB400000008000000800000000C02000CE00009F +:10DB5000040240202CD000000000C02000E600009D +:10DB60000000C020004C00000000C0200054000055 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA00000000000000000000000810000000001F2 +:10DCB00080008100000000012000810000000001C0 +:10DCC000000480000000000100008020000000012E +:10DCD000000801200000000000008020000000017A +:10DCE00000108020000000010008802000000001DA +:10DCF0000004000000000000000000000000000020 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000C00300C000000000000303C000000099 +:10DE400000C00300C000000000000300000000004C +:10DE500000C04400E000000000C04700E0000022D5 +:10DE600000C00000E000000000C04400E00000220C +:10DE700000C00000E0000000000000000000000002 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000020500100000000000050144 +:10DFC00010000000000205001000000000400800E2 +:10DFD0000000000000100200000000000002040029 +:10DFE000000000000010020000000000001002000D +:10DFF000000000000010020000000000000000000F +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000009008400000000001F0033 +:10E14000F400000000001F0084002C2700380000AD +:10E15000F40000000060400F8400000000005F0F2A +:10E16000001000E7010000036080008002900004BE +:10E17000108000C00110400884B41000014000006D +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000E00E00041002F +:10E2C00000400E00E000000000480E00E0001406D0 +:10E2D00000400000E000000000400007E0000040B7 +:10E2E00004680E070080004604004013603A305076 +:10E2F00004B04014803A004004E00000603802405E +:10E3000006E0000000000000000000000000000027 +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E430000000000000000000000000000000480094 +:10E4400000240008004300008020910200400800E2 +:10E4500080040200004000000004000000460000AC +:10E460000000198000C180000004240000000002A8 +:10E470001000000000000008902400000040000090 +:10E4800000800000004000000000000000000000CC +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C00000000E00E030000F00700D00C0001407C6 +:10E5D00000040E00C03081080070000030390000D7 +:10E5E000000880056038003000008C05003800C746 +:10E5F0000100000760380020028400056038000038 +:10E6000000000015600200A003B40000000000003C +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E740000000000000002E007831002400E02200CC +:10E7500074B8002F00782E006478000400F80000E0 +:10E760002000000000004004780300000000600466 +:10E77000003400EF0B008007D07B3C000000400716 +:10E7800070393C40088840000001008001300000E2 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000080000000000000000000070 +:10E8A0000000000000000000000100000000000067 +:10E8B0000010000000000000000000000000000048 +:10E8C000000000100000000000000004000100042F +:10E8D00000000000001000040000000410001004FC +:10E8E00004000804000800000000000410000004F8 +:10E8F00010000004000000040000004410000004A8 +:10E9000040000004000000040000000400000000BB +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000008000000000000EE +:10EA200000000000080000000000000000000000DE +:10EA300000000000003000000000000000000000A6 +:10EA40000000000000000030000000000000000096 +:10EA500000000000000000000010000000000000A6 +:10EA6000100030000000080000BC000000000000A2 +:10EA70001000000010000000000050000000000026 +:10EA80001000000050000000000000000000000026 +:10EA90005000000000000000000000000000000026 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000010000000000000064 +:10EBB000000C000000000000000000000000000643 +:10EBC0000000000000000000000000000A0000003B +:10EBD0000000000000080004000000000E00000417 +:10EBE000000000000000000404000000000000001D +:10EBF000000000000000000400000000000000040D +:10EC00000000003000000008100000000E000004AA +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000400040000000000CB +:10ED40000000000000300000000000000000000093 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC00000000000000000000080000000000000C2 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000010000000000000D1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000001010000000000000000EE +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400008000800000000000000000000000000B0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000020000040 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F0000000000008000000000000000000000006 +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D0000000902412000000000000000000000066 +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F650000000000000003F0CBB1100000000000093 +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000402000023 +:10F7E0000800000000000000000000000000000011 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000100A6 +:10F960000000000020000000000000000000000077 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000C000C4000000000000000000000092 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000008000000000000000000014 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE000000000000000000000000600000080008D +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF6000000000000000000000000000000022006F +:10FF70000000000100000000000000000000000080 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F00000004000000000000000000000000000C0 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:100270000000000000000700004000000000000037 +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F0000000000000000000000026000028000FA0 +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:100590000000000000010008000400000814000032 +:1005A000000400000804000000040800000400002B +:1005B000000408000004000004040800000400080F +:1005C000040400000004000400040800000400000B +:1005D00004040800000400000004080000040000F7 +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000400000000D5 +:100720000430000000000000040000000000000091 +:100730000000002000000000000000000000000099 +:1007400000000004000000000000000000000000A5 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:10089000000000000000000000000000000C02004A +:1008A0000000000000040000000000000004000040 +:1008B000000000000004008000080000060800009E +:1008C0000400000000040000000000000004000814 +:1008D0000000000000040000000000000004000010 +:1008E0000000000000040000000000000000000004 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000004010001000000000000F1 +:100A000000000000000000000800000000000000DE +:100A100000000000000000000000000004000000D2 +:100A20002000000000000000200020000000000066 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000100000000000000000000000000086 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B7000000000000000000000000004000400006D +:100B80000000000000000000000000000100000064 +:100B90000000000000300000000000000000000025 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000008000000000000000000095 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000020000000000000000000000000002 +:100CF00000000000000000000000000000000800EC +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000020000000000000B3 +:100D200000000000080000001000000000000000AB +:100D30001000100000000000000000000000000093 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000003F3055330000000000005B +:100EA000000000000000000000000F8FBB11335550 +:100EB0001D1D33553F0C474777221D1D772200002B +:100EC00000000000000000000000DD11550F0000D0 +:100ED0000000000000000000000000000000000012 +:100EE00000007F7F330F0000000000000000FFFFC4 +:100EF000FFFF0000000000000000000000000000F4 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000C00000000000000000000000000000015 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:101010000000000000000000000090241E000000FE +:10102000000000000000000000000000000090240C +:101030001E0090241E0090241E0090241E00902468 +:101040001E000000000000000000000000009024CE +:101050001E00000000000000000000000000000072 +:1010600000000000000000000C0000000000000074 +:10107000000000008C0200000000000000000000E2 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000400000000000000000000009B +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:1011800000000000000000000000000000009004CB +:101190000000908400284C8040B94C8040B84D80BD +:1011A00040B84D8040B84C8040B84D8040B94D802B +:1011B00040B84D8040B84D8040B94C8040B84D801B +:1011C00040B94C8040B94D8040B94C8040B84C800B +:1011D00040B84C8040B94D8040B94C8040B84D80FB +:1011E00040B94C8040B84C8040B94C8040B84D80EC +:1011F00040B94C8040B84C8040B94C8040B10000B0 +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000003355FFFF553355AA33CCA5A5999945 +:1013200033CC55AA9999A5A5C3C333CC999955AA8D +:10133000A5A555AAC3C39999999955AA999933CC4A +:10134000C3C355AA999933CCA5A555AA55AA99996D +:10135000C3C333CCC3C3999955AA55AA999933CC21 +:10136000C3C3A5A5999933CC33CC33CCA5A5999902 +:1013700033CCA5A5999933CC33CC33CCA5A533CCAC +:10138000999900000000000000000000000000002B +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000004030000C80000007D +:1014A000000000000000000000004020004000009C +:1014B00000000000000000000000800000020000AA +:1014C0000000C020008A00000000C02000200000B2 +:1014D0000000000000000000000080000008000084 +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:101610000000000000000000000041008000000009 +:10162000800000000000000000000020000000001A +:101630000010000000000000000080000000000119 +:10164000000480200000000100088020000000014C +:1016500000100000000000000000800000000001F9 +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A000C8000000C0000000000010000300E0C0FE +:1017B000004000004400000000000000000000C0E5 +:1017C000000000000000C0220300E00044C000C789 +:1017D00002C00000440000000000040000000003FC +:1017E0000000C000C0000000000004000000000075 +:1017F00000000000000000000000C0040000000025 +:101800000000040000000040000004000000000090 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:101920000000000000000000800000000000000037 +:101930000200000400200000000100000000000080 +:101940000000001000000000010010002120000035 +:10195000004000040510000000200000000000000E +:1019600000000008028001001000000000000000DC +:101970000000000000200000000000000000010046 +:101980000000000000000000000000400000000017 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA00000000000000008A0000000000000082066 +:101AB000005000060000080000020000C10008807D +:101AC00001380100C0000C00F400140600780888FA +:101AD00081345480C260488305303080CAE00C00F5 +:101AE00000340000C0000C001034400600040C80DC +:101AF00001340000C00000A00134000000000C0010 +:101B000060340006000000A00134000000000C80DA +:101B1000018000060000000000000000000000003E +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C2000000000000000000000000080090000002B +:101C3000000000800100140800000008001000608F +:101C4000A2030000000000000001000000000108E5 +:101C5000000000040000152000718000004030509A +:101C60000041080000003000000100802502140837 +:101C70000060010000003000000100C00100B0065B +:101C80000000008005020008004400C00100B0060A +:101C900000000040003028080000000000000000A4 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA00000000000000000000000000000004000F3 +:101DB0000000010400004800000030000000410065 +:101DC0000010020000004180001000000400240008 +:101DD0000010010000084090000000000128408829 +:101DE0004000004010020600000000000000A400B7 +:101DF0000001000000000080000000000000000062 +:101E0000000000000000040080020000000200004A +:101E100000000000000004000010000000000000AE +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F30000000004000000C06C00000600000140813 +:101F400050008080000020CE270010000000000814 +:101F500020010E6000141401C071222368002821A2 +:101F6000000422000802A8000000002008008000F1 +:101F700050000E0088010C0050C022200800A8006C +:101F8000000022200800A8000000012088000000B6 +:101F9000500822200800A800000001000E002800C0 +:101FA0000001000000000000000000000000000030 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000001E4000000000D0020840A8 +:1020C00000000000000000240000002400020E00B8 +:1020D0000000000400000040F0040020409A8080CE +:1020E00001010025003800820100008521380080B0 +:1020F00001000005000000800100400050800080C9 +:1021000001000005000000800100000500000E80B5 +:1021100061000005001000800100000500000E8035 +:1021200001000005000000000000000000000000A9 +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000004000000CA +:1022100000000010000000000000000000000000AE +:10222000000000000010000000000000000000009E +:10223000000000000000001000000000000000008E +:10224000000410000000000000100000400000002A +:10225000000100401000401000301010300000005D +:102260000041500010000000002400100000000099 +:10227000000090201001000001008000000002001A +:1022800000028000000100000100800040200000EA +:1022900000008000000002000000800040000000FC +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000003000000000000000000D +:1023A00000000000000000000030000000000000FD +:1023B0000000000D000000000000003000000000E0 +:1023C00000000000000030000000000000300000AD +:1023D0000009000000000000300000200030003044 +:1023E0002000900000500000100050060600002061 +:1023F00006B20006000000002600000606B000003D +:10240000020200060000000076020006000000A0A4 +:1024100006020006000000000202000600000000A4 +:1024200006B20006000000000602B0060000000030 +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:10250000000000000000000000000A0000000000C1 +:1025100000000000080000000000000000000000B3 +:1025200000000000000000000000000000000000AB +:10253000000000000000000000500000000000004B +:102540000A000E000000000000080000000000006B +:1025500000000000000000400008002000002000F3 +:1025600000000000300000000008300000004200C1 +:10257000003C00000000006000003010000400007B +:102580000400307000000800000830000004000063 +:102590000400300030100000000030000000000097 +:1025A00000003000300000000000000000000000CB +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000100000001000900001F +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D0000000002100010000000000002030200068 +:1026E00000000020000000200000000000000000AA +:1026F000000000000000000000400000000000405A +:102700000000000020002000000008400000000041 +:1027100000000000000000000000000000000000B9 +:1027200000000000000400000000000000000000A5 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:10282000000000000000000000000008000000049C +:10283000000600000000000000000000000000088A +:102840000000000000000000000000000200000086 +:1028500000000200000000B40004000000000000BE +:1028600000B00000000000800000008000000000B8 +:102870000000000000000000000000000020000038 +:1028800000000020000000000000000000000920FF +:102890000000000000000000000000000000000038 +:1028A00000000001000080000001000000000000A6 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A00000000000000000000000000000008200A5 +:1029B0000000000000000000000000000000000017 +:1029C0000000000400000000000000000000000003 +:1029D00000000000000000002000002000000000B7 +:1029E0000000000010201000200000000000000087 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000200000010001000A4 +:102A100000000400000000000000000000000000B2 +:102A200000000000000000000000000000000000A6 +:102A30000041000000000000000000000000000055 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B600000000000000000000000553377225533BC +:102B70002727000000000000000000000000000007 +:102B80000000000000000000000000000000550FE1 +:102B900077220F333F0C000000000000000000000F +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000400000040 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE0000000000000000000000000000000902430 +:102CF0001E0090241E0000000000000000000000E4 +:102D000000000000000000000000000000000000C3 +:102D1000000090241E0090241E000000000000000F +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100004000000000000000000000000000000AE +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E900000009024120000000000902412009024F2 +:102EA0001200000000000000000000000000000010 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:10301000000000000000BB11353500000000F50580 +:103020003F3053531B1B0000000000000000000055 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:103170000000000000000000000000000000C0206F +:1031800000CE000000000000000000000000000071 +:1031900000000000000000000000000004028000A9 +:1031A00028040000040340208840000000000000C4 +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F000000000000000000000000000000080202E +:1033000000000001000800000000000000000000B4 +:10331000000000000000000000000000000081002C +:10332000000000010004412080000000281000007F +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000C044C0000000C0000000000000B8 +:10349000000000000000000000000000000000002C +:1034A0000000C4C003C00000C400C74082C80000C0 +:1034B000E0C000000000000000000000000000006C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:103600000000000000000090000400000110000015 +:1036100000000000000000000000000000000000AA +:1036200000000000000000024110000000004020E7 +:103630008400000000040000000000000000000002 +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:1037800000000000000000000000400014000000E5 +:1037900002400000000000000000000000000000E7 +:1037A00000000000000000000000080004100000FD +:1037B0000038100000000E86D50000000000000058 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:10391000000201A0013C00000000000000000000C7 +:103920000000000000000000000000000000100087 +:10393000000022080000000000004C96C1020000B8 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A9000000000040002028000000000000000009E +:103AA0000000000000000000000000000000000016 +:103AB00000008000401001000000100800002248B3 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C10000000000000000000800000200004000000 +:103C20000000000000000000000000000000000094 +:103C3000000000000000220000029100000426EDB8 +:103C400000001C26400100000000000000000000F1 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D9000000000000000000000000000000000C063 +:103DA0000100000000000000000000000000000012 +:103DB000000000000000000000000C0000001016D1 +:103DC0000038004300003420000200000000000022 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000080000000000000008A1 +:103F10000000000000000828102008000000000831 +:103F20000000000000000000000000000800000881 +:103F30000000400000000008000000000000100821 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:1040800000000000000000000000000C0000000024 +:104090000000000C0C00000000000C0C2A000800BE +:1040A0000000000C000000000000000000000C30C8 +:1040B0000C09000C00007C000000000C0000B8009F +:1040C0000000000C000000000000000000000030B4 +:1040D00000000020007000007000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000010001000000000000207C +:10422000002000000000000000000000000000006E +:1042300000000180000000000000310000000000CC +:10424000000001000000000000000000000000006D +:10425000000000800000008000000000000000005E +:10426000000000000000000000000000000000004E +:104270000006000000000000000000000000000038 +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000200000000000CD +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:1045400000000000000000000000000000008000EB +:10455000000000000000000000000000001000004B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:1046700000000000000000000000000000000040FA +:1046800040000000000000000000000000000000EA +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000100000000000000000000000DA +:1046D0000000000000008200000000000000000058 +:1046E00000200000000000000000000000000000AA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000FFFF5A +:104860004747000000000000000000000000FFFFBC +:104870001D1D00000000FFFF553300000000000078 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E000000000000C0000000000000000000000BB +:1049F000000000000C000000000000000C0000009F +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000FFFF3F30000057 +:104CF0000000FFFFDD11FFFF27270000000000007C +:104D000000000000000000000000000000000000A3 +:104D10000000FFFF3F300000000000000000000026 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:105170000000000000000000000000000800000027 +:105180000000000008000000000000000000000017 +:1051900000000000000000000000000000000010FF +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:105300000000000000000400000000000000000099 +:10531000100000000000000010000000000000006D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:105480000000008B0200000000000000400040000F +:1054900000000000020000000000014002000000C7 +:1054A00000000000000000000000000600000000F6 +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:105600000000460400000000000008000000140034 +:105610000800000700000000000040050000140022 +:10562000000000000000000000002C00000000004E +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000200000000000100020014 +:105790000000100000000000000000800000000079 +:1057A00000000000000000000000000000000000F9 +:1057B00000000002000000000000000000000000E7 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:10590000000000000000000000000C050000000086 +:105910000000228001002000000100030028000098 +:105920000000000000000000020000000000000075 +:10593000000008000000000000000000000000005F +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000A00076 +:105A9000000000000000002000000018000080004E +:105AA00000020000000000000000000000000000F4 +:105AB00000000000000020000000000000000000C6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000004000000000001 +:105BA00000000000040000000000000000000000F1 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000800000000CD +:105BD00000000408040000000004000800000800A1 +:105BE000080000000000080008000008000008008D +:105BF000080000080000080008000008000808006D +:105C0000080000080000080008000008080008005C +:105C10000800800808000C080A0001080880080035 +:105C20000800000808009800080000080800088222 +:105C30000900200808000802080000080000080009 +:105C4000080000000000000000000000000000004C +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000C47 +:105D5000000000000000000400000000000000043B +:105D60000000000004000000000000000400000427 +:105D70000000000004000004000000000400000413 +:105D800000080000040000040000000004000004FB +:105D900004000000040000040409000804000004DA +:105DA00004000000040000040400100004000004CB +:105DB000040000B00400000404001000040000040B +:105DC00000000000040000000000000000000000CF +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000800000000000000000008000000E2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED000000000000000000000000C000C000000AA +:105EE00000080000000000000000000000000000AA +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F100000000050000000000000300000000800F9 +:105F20000000080000200000000000000000100039 +:105F30000000000000000038040000000000800C99 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00002000000000000000000000000000000DE +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000004000005F +:10620000000000000000000000000000000000404E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:106230000000000008000000000000000000000056 +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E0000000000000000000000000000000FF7F2E +:1064F00039CC59AA59AA39CC39CC59AA39CC59AA7C +:1065000059AA39CC59AA39CC59AA39CC39CC59AA6B +:1065100039CC39CC59AA59AA39CC99C399A599A58E +:1065200099C399C399C359A959A977887788778856 +:106530007788778877887788778877888787778854 +:106540007788778887878787778877888787778826 +:106550007788778887877788878700000000000022 +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000C00000000000000000000005E +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000A01E28DDA45EB9DCA45EB8DDA485 +:106680005EB8DDA45EB9DCA45EB9DCA45EB9DCA4AE +:106690005EB8DDA45EB8DCA45EB9DDA45EB8DCA49F +:1066A0005EB9DDA45EB8DCA45EB8DDA45EB9DAA490 +:1066B0003EB8DAA43EB8DAA43EB8DAA43EB8DAA40A +:1066C0003EB8DAA43EB8DAA43EB8DAA43EB8DAA4FA +:1066D0003EB8DAA43EB8DAA43EB8DAA43EB0000070 +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000400000000000000E5 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B0000000FFFFF303FFFFF505FFFFF303FFFFF9 +:1069C000BB11FFFFBB11FFFFBB11FFFF2727FFFF1D +:1069D000BB11FFFF1D1DFFFF5353FFFF1D1DFFFFD9 +:1069E0007722FFFFAF05000000000000000000005C +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000800016 +:106B0000002000000000C020001000000000C02095 +:106B1000000800000000C02000CE00000000C020DF +:106B200000D600000000C02000E600000000C020E9 +:106B3000004C00000000C020005400000000C020F5 +:106B4000006400000000C02000A200000000C0207F +:106B5000009200000000C020008A00000000C02059 +:106B6000002000000000C020001000000000000015 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000800094 +:106C800000000001000080200000000100048020BE +:106C900000000001000880200000000100088020A2 +:106CA000000000010004802000000001001080208E +:106CB0000000000100088020000000010004802086 +:106CC0000000000100108020000000010010802062 +:106CD0000000000100048020000000010008802066 +:106CE00000000001001080200000000100040000EE +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000C0030044007B +:106E1000C0C000C003400000C04000C003C000224A +:106E20000040000000C04400C000004003C000005B +:106E3000C040004003C00000C040004002C000004D +:106E4000C0E0000002C04400C0E0E00003C0000059 +:106E50000060C0C00300E0004440000000C04400E7 +:106E600000C0C0000007E3004440C0C000C04700AD +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F800000000000000000000040010000000004BC +:106F900020400000101000042020010010200004F8 +:106FA00020100100002000400004000010400020DC +:106FB00020100100102000202010010010200020CF +:106FC000040401001010010004040000101000006F +:106FD00020100100002010102040000000200100BF +:106FE00000040000001010000040080000201010F5 +:106FF00000042000804000000000000000000000AD +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000F4021C006D +:107110000038000784002C800364400320000040F6 +:1071200000F00000F000000000F0400F68001C00BC +:1071300001C0400A6C001C0001C0400A6C501C00D9 +:107140000190002F6804000002F0600FF400004678 +:10715000C038A007600300C00948200F6400000089 +:10716000D54880077801008006C0400770000018ED +:107170000538401FF4080080E6C000000000000051 +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:10729000000800000014C002E00000200130800758 +:1072A0008000002001384002600100C00438000066 +:1072B000000000B001300007000000A0033000070C +:1072C000000000A003300080200000B0013808A7B3 +:1072D000E00C4020093008A7F038340000140804FE +:1072E000F038008003140C07E0001C900130000708 +:1072F000E038008003600007E000009001400000DB +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000008080080000000028800E00802E +:1074200000020002500C00000001002C80060050F9 +:10743000010400020005080000830028800A0850AB +:107440000006000800080A000002001810041800D6 +:107450002010000000000000028820010000004110 +:107460001080011000180001108030018000100011 +:10747000202020044009200020C1300020100800F6 +:10748000208200000000000000000000000000005A +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:107590000000000000000000E008000000180007E4 +:1075A000E000000000000007E00000A00334000736 +:1075B000E000000000080007E000001004000007E1 +:1075C000E02800000008000FC02B00200284000FFC +:1075D0008203202002140000020C118001780000B8 +:1075E000020000C001F00087070C10C001780007FE +:1075F000064000C005090007062C0C4000600E077D +:1076000000284C400870000000000000000000004E +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:1077100000000000000000000000000010713C00AC +:107720000090000770002C400888800778012C80AA +:1077300001000007700020000000800778012C0085 +:107740000000000770802C000000000400802C0066 +:107750000000001400002C000060001000000D87E5 +:10776000077000100000168AA700400000002D08D6 +:10777000247040007008560F007040000000201078 +:10778000D470400000800106C4000000000000002A +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000400000200000000000008800F0 +:1078B0001000000008000000000008400000000167 +:1078C0001000000018000000000000000040000050 +:1078D000100100400840000000000040000080202F +:1078E00020008040000140080000800000000000EF +:1078F0000000000000011080000000004080000037 +:107900009000400000820000010000000000000024 +:107910000100000000000000000000000000000066 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000900000000000000060000000047 +:107A300000000C0610000000000000060000080016 +:107A4000000000A010000000100000060000000070 +:107A50000000000630000000A80000060000000042 +:107A600000090000000030000000000C00000000D1 +:107A70000000100000000D000000100000000000D9 +:107A8000009000A00000A0000000B0000900A000CD +:107A900000000030A000A000000000000000000076 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B90000000000000000000000000000070500025 +:107BA00000700000000000000000000020005010E5 +:107BB0000000005000001000000000005000000015 +:107BC00000000000000000080000000000000000AD +:107BD000507000000010600050780010001000008D +:107BE000000000100000301010009010000830005D +:107BF000500010000000800000000000000800108D +:107C00000000000030100A0020001000002C0000CE +:107C100004000000000000800400000000000000DC +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D0000000C000000000000000000000000000067 +:107D10000030000000000000000000200000000013 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000020000000011 +:107D700000100000000000000000000000000000F3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000100000000000000000000F1 +:107E90000000000000800000000000000000004022 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000200000000000000000000000A2 +:107EC00000000000000000000000000000000000B2 +:107ED0000000000000000000000000000000004062 +:107EE0001000100000400040000010000000004C96 +:107EF00010001000009000000000000000000000D2 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:10801000000000000000000043000000000000001D +:108020000000000000000000000000000000000050 +:108030000000000000000000200000000000000020 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:1080600000000000200020000000000000002000B0 +:1080700000000000200020000020000000000000A0 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D00000000000000000000000FFFFAF050000ED +:1081E00000000000000000000000FFFF1B1B00005B +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000FFFF330F00000000FFFF20 +:10822000330F00000000000000000000000000000C +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:108360000C00000000000000000000000000000001 +:108370000C000000000000000000000000000000F1 +:1083800000000000000000000000000000000000ED +:108390000000000000000000000000000C000000D1 +:1083A000000000000C0000000000000000000000C1 +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A0000000FFFF35350000000000000000000062 +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000A000000000000000000000000000D6 +:108B00000000A000000000000000000000000000C5 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B3000000000000008000000000000000000002D +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC0000010000000000000000000000000000094 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E0000000000000000000004100000003000001E +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E300000000000000000000000008702000000A9 +:108E400000000080020000000000000000000000A0 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F70000000000000000000000000006000000091 +:108F8000000000000000000000000000E000000001 +:108F900000080000000000000000000000000000C9 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC000020000000000000002000000000000009D +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000100000000010000000000000000000003F +:10911000209000000000000000000000000000009F +:10912000000000000000000000000000000000003F +:1091300000000000000000000000000000002020EF +:1091400000000000000000200000004000000000BF +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000C001000000000000000000001D +:10929000000000000030000000000000000000009E +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C00000000E0300800000000000070000000006 +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:1094000000000000000000000000000000600000FC +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:1094400000000000000000000010284F0100000094 +:10945000000000800100000000000000000000008B +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:109530000000040000000000000000000000040023 +:10954000000000000000000000000000000000001B +:10955000000000000000000000000001000000000A +:1095600000000000000000000000000004000000F7 +:1095700000000008000008080000082000000000AB +:1095800000000008000008000000002000000000AB +:10959000000000000000008000800800002800108B +:1095A00000000808800880200008080800880000E3 +:1095B000001808082008000000000880008900004A +:1095C00000100000008020000000000000000000EB +:1095D000004100000000000000400000000000000A +:1095E00080010040000000000000000200000000B8 +:1095F0000000010000020000000000000000000068 +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E00000000000000000A00000000000000000DA +:1096F0000000000000000004000008080000000056 +:10970000000000000000000C00000C000000000041 +:1097100000000000000000000000000D000A08002A +:1097200000080035000E0804000C000C000C08585E +:109730000508090A001C080800080500000A0800BE +:109740000008000A0030000000000E0A000C0000B3 +:10975000000A990A0200005070100E0A0050000022 +:1097600070000000900000000000000000100000E9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000008000000000020 +:109840000000000000000C0000000000000000000C +:109850000000000000000000000000000000000008 +:109860000000000C000000000000000100000000EB +:109870000000005008000000000000000000000090 +:109880000000003A0000000000000000000000504E +:109890000000003000000050000000000000002028 +:1098A0000020000000100000000000003000203107 +:1098B00000000000002000000000000030700000E8 +:1098C000000000200028000000000A000020100016 +:1098D0000001000E00000000003C000000800000BD +:1098E0000E10000000000000100C0010000000002E +:1098F000008000080000000000000C0000080000CC +:109900000000000000000600000000000000000051 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000200000000057 +:1099F00000000020002000000000800000000000A7 +:109A000000008000004000200020802100000000B5 +:109A10000020000000000020002080350000000031 +:109A20000020000000000000000000000000000016 +:109A30000000000000000040000040022010000074 +:109A400000000000000000000000400020000000B6 +:109A50000000000000000000000000000000000006 +:109A600000000000000400400000000000000000B2 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A90000000000000000040000000000000000086 +:109AA00000000000000000000000000000000000B6 +:109AB000000800000000000000000000000000009E +:109AC0000000000000000000004000000000000056 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B400000000040000000000000000000000000D5 +:109B50000000000000000000020000000000000003 +:109B600000000000000000000000000000000040B5 +:109B70000000000000000040004000000000100055 +:109B800000000000000010000030004000401044C1 +:109B900000000000004000000000004000401006EF +:109BA000000000300040000008000000000000003D +:109BB0000000000000000000000000100000300461 +:109BC0000000000000000000000000000000300065 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000100000000065 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000300000000014 +:109C20000000000000000000000000000000000034 +:109C30000000000000010000000000000000000023 +:109C400000000000000000000000000000200000F4 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D200000000010000000200000000004000000FF +:109D30000000000000000000000000000000000023 +:109D400000000000101000100000000000000000E3 +:109D500000000000100000000200000000000000F1 +:109D600000000000000000000000000000080000EB +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000001D1D98 +:109E90004747000000000000000000000000000034 +:109EA0000000FFFF5353FFFF7722FFFFDD11FFFF8D +:109EB0003535FFFF5353FFFF3535FFFF3355FFFFA8 +:109EC000272700000000FFFFFFFFFFFFFFFF00004C +:109ED00000000000000000000000FFFFFFFF6666BA +:109EE0005A5A5A5AAA556666666666663C3C666663 +:109EF000AA553C3C66666666CC33666666665A5A08 +:109F0000AA556666AAAA0000000000000000000032 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB000000000000000000000000000040000009D +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A01000000000000C000000000000000000000034 +:10A0200000000000000000000C0000000C00000018 +:10A030000C0000000C0000000C0000000C000000F0 +:10A040000C0000000C000000000080240E008024A2 +:10A050000E0000000000000000000000000080244E +:10A060000E0048804CA94D804CB94D804CB94D80B4 +:10A070004CB84C804CB94C804CB94C804CB84D809D +:10A080004CB94D804CB94D806CB10000000000000F +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000809F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C00000000000000000000000802402000000E9 +:10A1D000000000000000008000284D8040B84D8045 +:10A1E00040B94D8040B84D8040B94D8040B84C805A +:10A1F00040B94D8040B94D8040B0488040A94C8066 +:10A2000040B94D8040B84C8040B94D8040B84C803A +:10A2100040B94D8040B94C8040B94D8040B00000FD +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A26000000000A01228DFA472B9DFA472B9DFA435 +:10A2700072B9DFA472B9DFA472B9DFA472B9DFA426 +:10A2800072B9DEA472B000000000000000000000FF +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000800000000000000000000000000E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F00000000000000000000000000000007722C5 +:10A30000BB11000000000000000000000000000081 +:10A31000000000000000000000000000000000003D +:10A320000000000000000000000000000000FFFF2F +:10A33000353500000000FFFF5533FFFF330F0000ED +:10A340000000FFFF550FFFFF1B1BFFFF3F30FFFF0C +:10A35000FFFF0000000000000000FFFF3C3CAA558A +:10A36000666666665A5A5A5ACC33AA555A5A5A5A87 +:10A37000CC33CC335A5A66666666AA553C3C33CC1D +:10A3800055AA33CCA5A5A5A599999999A5A599995B +:10A39000999933CCA5A555AAA5A533CC999955AAC9 +:10A3A0009999FFFFFFFF000000000000000000007F +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000FFFFFF000F0F3333333386 +:10A3F0000F0F5555FF00FF00555533330F0FFF006A +:10A40000555533330F0FAAAACCCCFFFFFFFF000036 +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D0000000080004028000082400000000402062 +:10A4E0000080000000000000000000240000402068 +:10A4F00000800000000000000000000000000000DC +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000004030000E4 +:10A57000CC01000004030000AC0100000403000053 +:10A580008C01000004030000C80000000402000069 +:10A590002800000000000000000000000000000093 +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A650000000000000008102000000012000002432 +:10A6600000000000001000000000000000000020BA +:10A6700000000000000800000000000000000000D2 +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E0000000000000000000000000000000410029 +:10A6F0008000000080004100800000004000410018 +:10A70000800000002800410080000000800001005F +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A0000000000000000000008000000000000029 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D000000000000000000000000000030303C3AD +:10A7E0000003E007440003004400000000000000F4 +:10A7F00000000000E2400000000500000000000032 +:10A800000004000000000000000400000003100528 +:10A810000004000000000000C00400000000100060 +:10A820000302000000001000030000000000000010 +:10A830000B0000000000000000000000000000000D +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A87000000000E3C481DB00C50000A300C3C700E3 +:10A88000CB0000C000C88000C40000C000C8800029 +:10A89000C400000000C00000C00000000000000074 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A92000000000000000000000040000000400001F +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000020000000000000D7 +:10A96000080104280201000000002000000000008F +:10A9700000000000000000000420000000000000B3 +:10A9800000000000000000000000000000000000C7 +:10A99000008000008400000000000400410000006E +:10A9A0000000000082800000000000008200000221 +:10A9B0000000000002000000000000000000000095 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F00000000000000000200003000040000002F2 +:10AA0000000304004000000200008000000000027B +:10AA10000000800000000002002000008000000014 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000C000010000000000000000000009A +:10AAA0000000000000000000000000006400000042 +:10AAB0000060000000000000000000000000000036 +:10AAC00000000000003000840100000004100000BD +:10AAD000A100000000080080A10000000400089A06 +:10AAE0004100000000062C000000000000E8080003 +:10AAF0000000080000700000C000008061700800C5 +:10AB0000C00000838510AC00003000889500100064 +:10AB1000A88052C021B80E80EA3840089008004052 +:10AB2000E000808801000E00000008400038001698 +:10AB3000000008000000000000000000000000000D +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB8000000000000000000E0000000000000000B7 +:10AB9000E000000000000000E000000000000000F5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC100000000000000008000080000000000000AC +:10AC20000000000000000000000000000000000024 +:10AC3000F0000000006000000000000000000000C4 +:10AC4000000000000000400760300008020008AF6C +:10AC5000E09000000200402762BD2800020040870B +:10AC600005082A0002010000003800000000000072 +:10AC7000003802000000100000002C000000064018 +:10AC8000600C2E0400304253E04C1406001D0000FE +:10AC9000E0001C000A300E00003A2C1008140007D7 +:10ACA00060BC28C40C000002080000000001000085 +:10ACB00000400C0000000000000000000000000048 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD000000000000E0000000000000000000100053 +:10AD10000000000000001000000000000000000023 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000C20000000004ED +:10ADA00000000000000000000000000000000000A3 +:10ADB000000000000002000000420000000000004F +:10ADC000000000000000000000000000003900004A +:10ADD00000200200284400408020000020000000E5 +:10ADE00080200000000A00000020000000803000E9 +:10ADF000000000000000020020000100000000052B +:10AE000024000300400144088008020000004600BE +:10AE10000000280001000A00040190000114000055 +:10AE2000000001008001080C00002800020000243E +:10AE3000000004800000100400006000000800040E +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE8000000010000800000008000000040000029C +:10AE9000000000000200000020000000020000008E +:10AEA000200020000008000000000000000000005A +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF1000000000000000000000000000000026000B +:10AF200000004003000000000000000000000000DE +:10AF30000000000000000000E038000000000000F9 +:10AF400000000000000000000000000000006C0095 +:10AF50000000000000802C80003000000000400055 +:10AF600000000000000106806500000802000000EB +:10AF70000000010000000020003802000000004036 +:10AF80000000000020010000C00100015001880005 +:10AF9000083C001000010285013C000EA00080402A +:10AFA000004840000081004000102006408102005F +:10AFB00000000006000100A00900000E00010200D0 +:10AFC000000000060000000000000000000000007B +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000100030 +:10B0100000000000C0000000000000000000000070 +:10B02000000000000000000000000006000000001A +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A000000000000000000A000000000000000096 +:10B0B0000000000000000000000000007802000016 +:10B0C0000000000000000000000000000000000080 +:10B0D000000000000038000F001000000070000F9A +:10B0E000020000000000000000000100000000005D +:10B0F000000000000000000000000000000200004E +:10B10000000000C001000006000000000000000078 +:10B110000000200500000C8002004820000096007E +:10B120000060008501383C06C00000401002001895 +:10B13000C061068000000018C00000000000000090 +:10B14000C00000000050000F0000000000000000E0 +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000002000000000007A6 +:10B1A0000000000000000007000000000000002078 +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B2200000000000000000400000000000010000DD +:10B23000000000000000800000000000000000018D +:10B240000000000000000800000000000000800076 +:10B250008000000000008000000800000000804026 +:10B2600000000000800080000000000002100000CC +:10B270000000000008018000880080408410000861 +:10B28000210011001020300011003000300020207B +:10B29000200120404020404020200A1050204148FA +:10B2A0002020102050280131410020004820524821 +:10B2B00000204020402800005000000040200000F6 +:10B2C00040200000010000000000010000002000FC +:10B2D000000001000000010000000000000000006C +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000A000083 +:10B3C0000000000000000000000C0800B0000000B9 +:10B3D0000000000000000000000000020008060657 +:10B3E00000000052B2000606000000020210060033 +:10B3F000001008020000060604000D090C100000F1 +:10B40000003000100000200020000000100030007C +:10B4100000000060B000B00000500070400000204C +:10B42000305000000E00200A20040010000000A090 +:10B430000400200000000000005800A03000A00020 +:10B4400000000000B00090000050000000007000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B5200000000000000000000000000000000020FB +:10B5300000000000000400000000000000003000D7 +:10B5400000000000000000080000000000010000F2 +:10B550000000000000003000B00000000300304098 +:10B560000200004000013012020002402200304080 +:10B570000080024008000140020002400008100064 +:10B5800030821000300000800800085000000000E9 +:10B590000C000A5030000000305800102E3000001F +:10B5A0001000085000000C001010003000000C3893 +:10B5B0002C50005000000C00001020200A00000059 +:10B5C0000E00000000000000001000000C00000051 +:10B5D00000000C000000100000000C00000008003B +:10B5E00000000000000E000000000000000000004D +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C00080000000000000000000000000000000FA +:10B6D000000000000000000000000000000000006A +:10B6E0000000000000040000000000000000000056 +:10B6F0000000000000000000000002000000000048 +:10B700000000000000000000081000000000000021 +:10B7100020000000800060000000004004002000C5 +:10B720002000600000002000000000000000200059 +:10B7300000000000004000000000000000002000A9 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000001000000028 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000080000088 +:10B8400000000000100000000000000000000000E8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000002000000000000D6 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000200008001900000A5 +:10B890008000000000000020B00000200000002018 +:10B8A0008000802000004020000080200000002058 +:10B8B0008000802000000000002000000000000048 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000100000E7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B990002000000000000000000000000000000087 +:10B9A0000000000000000000000000000000004057 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000020000000000000100000300000102096 +:10BA20000020000008000020100002200000082074 +:10BA300000000020000000200000000000000000C6 +:10BA400000000000000010000000000000000000E6 +:10BA500000000000000000000010000000000000D6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000020000000000000000000000000000046 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB300000000000000000000000000000000F33C3 +:10BB400077225533774433550F5553533535FFFF1F +:10BB50005F50FFFF553300000000000000000000B0 +:10BB60000000000000000000000033550F550000E9 +:10BB70000000000000000000000000000000FFFFC7 +:10BB8000FFFF0000000000000000000000000000B7 +:10BB9000000000000000FFFFFFFFFFFFFFFFFFFFAF +:10BBA000FFFFFFFFFFFF0000000000000000FFFF9D +:10BBB000FFFFFFFFFFFF0000000000000000FFFF8D +:10BBC000FFFFFFFFFFFF00000000FFFFFFFFFFFF81 +:10BBD000FFFFFFFFFFFF000000000000000000006B +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000008599FF000000000089444A +:10BC100033330000000087D3555500000000EBAE21 +:10BC20005555C7D75555A11E333351145555FBA54E +:10BC30000F0F6B3C55550D18FF0000000000000071 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000400000000000000B0 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC000000000000C0000000C0000000C00000050 +:10BCD0000C0000000C0000000C0000000000000040 +:10BCE0000000000000000000000000000000000054 +:10BCF0000C00000000000000000000000000000038 +:10BD0000000000008C0200000000000000000000A5 +:10BD10000000000000000000000080240E008024CD +:10BD20000E0080240E0080240E00000000000000A1 +:10BD3000000080240E0080240E000000000000009F +:10BD4000000080240E0080240E00000000008024EB +:10BD50000E0080240E0080240E0000000000000071 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD80000000000000000000000048201E2000000D +:10BD9000000048201E200000000048201E20000057 +:10BDA000000048201E2048201E2048201E20482039 +:10BDB0001E2048201E2048201E2048201E20000053 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000000000000000000000000004000000000F2 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE70000000000000000000000000000000008042 +:10BE800000284C8040B94C8040B84C8040B84C8071 +:10BE900040B94C8040B94C8040B84C8040B84D808F +:10BEA00040B94C8040B84D8040B0802402008024CE +:10BEB000020080240200802402008024020000008E +:10BEC0000000000000008024020080240200802482 +:10BED000020080240200488040A84D8040B84D8078 +:10BEE00040B94C8040B84C8040B84D8040B94C803F +:10BEF00040B84D8040B94C8040B94D8040B1000001 +:10BF000000000000000000000000000000004820C9 +:10BF10001220482012204820122048201220000021 +:10BF20000000488060A94F8060B94F8060B94F80A1 +:10BF300060B94F8060B94F8060B94F8060B94F8061 +:10BF400060B00000000000000000000000000000E1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF8000000000000000000000000000000000C0F1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC00000000000000000000000FFFF7722FFFFDC +:10BFD000FFFF000000000000000000000000FFFF65 +:10BFE000772200000000FFFF7722FFFFFFFF000025 +:10BFF0000000000000000000000000000000000041 +:10C000000000AF0533CC33CC9999C3C333CC999995 +:10C01000C3C3C3C3999999999999C3C333CC9999C7 +:10C02000C3C3A5A5999933CCC3C3A5A533CCFFFF42 +:10C03000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:10C04000FFFF0000000000000000FFFFFFFFFFFFF8 +:10C05000FFFFFFFFFFFFFFFFFFFF3C3CCC33AA5574 +:10C06000CC33AA555A5A666666663C3C3C3CAA5597 +:10C070005A5A3C3C66665A5A5A5A3C3C6666666650 +:10C08000AA550000000000000000000000000000B1 +:10C09000000013C03333238DFF00CB30FF00811F1E +:10C0A00033330000000000006E7EF466FEA50EE74C +:10C0B000643C7C66105AD699BAA594C31EE0F4F984 +:10C0C000606114511930FFFFFFFF00000000000005 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A00000000000000000000000C02000A2C0222B +:10C1B0000001C02000934900040300004401C52091 +:10C1C0000403C02000200000000000000000EB3548 +:10C1D0000403C0204008E70104038000CC0F0013D3 +:10C1E0000000C02000D60000000000000000000099 +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C210000000000000004924040340204481452020 +:10C220000403402000000030000040200010803552 +:10C230000000C020000880310000C02000CE0013A4 +:10C240000000C02000D600140000402000C0000004 +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C320000000000000000000000080340000000158 +:10C330000010C42180000001400461008000000062 +:10C340008000C934800000012810000000000000B7 +:10C350000000E122800000018008C9108000000177 +:10C3600080008021000000010004000000000000A7 +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000006120800000009C +:10C3A00080084924800000002810002100000000BF +:10C3B000000080220000000100088034000000011D +:10C3C000000880210000000100040020000000009F +:10C3D000001000000000000000000000000000004D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000005D7 +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000030003030003000000B0 +:10C4800000000000000000000000000000000000AC +:10C490000000000000000042000202000202000052 +:10C4A0000000000000C000000000000000000000CC +:10C4B0000322C0070040C08103D3C9E2A180C803A2 +:10C4C0000301800000D38201C307C0C844C9000033 +:10C4D000000000000000C8CB0380C3CB47A2CB0301 +:10C4E000C080130000C0E0034600030000C000004D +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C5100000000000000000000000000000000122F8 +:10C5200000230000000002230001000000000022A0 +:10C5300000000000000000220300000000001000C6 +:10C540000022000000000022000000000000002285 +:10C5500003000000000000000000000000000000D8 +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D00000000000000000000000000040004000DB +:10C5E000400000000000000000000000000000000B +:10C5F0000000000000000000000000800080020039 +:10C600000200000000000000000000000000000028 +:10C610000000000000000000000000C000800200D8 +:10C620000280000000000000004000000000002028 +:10C630000000008008001040002010052110200498 +:10C6400020020001040180000002840184401200E5 +:10C650000001000000000000000000080582300119 +:10C6600020020408828201000010000804C020009B +:10C6700000100000000000000000000000000000AA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A0000000400000000000000004000001000045 +:10C6B00000000000000000000000040002800000F4 +:10C6C0000000008004000000000040000080000026 +:10C6D0000000000202000000000000000000000056 +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C750000000000000000000000000000000600079 +:10C7600060302C00013800027400140004084000FE +:10C770000400100000000010000C00000000000089 +:10C780000000000000000000100000000080000019 +:10C790000000000000000240009080000000000047 +:10C7A0000000000030000000001000000000200029 +:10C7B000003000003000004000301400C0000100D4 +:10C7C00000100C0000000000002800000000000025 +:10C7D00000380C0000000000000000000000000015 +:10C7E000001020000000000000D06C00000000409D +:10C7F000000814000000080000080009000000C044 +:10C8000001080036000000000008000020020000BF +:10C810000002800000000000000000000000000096 +:10C82000000000000000102802BC100000003008CA +:10C8300002BC00000000001F0000000000009F1F5D +:10C84000F20010000000111882001CCAA300114F52 +:10C85000F1001404A00002040028000A00000000F7 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E00000000800703000200314000200000180E6 +:10C8F00001600000E6000000000800800300000066 +:10C9000000000000000000000000000080000000A7 +:10C91000001000000000000000000200089028063F +:10C9200000002E0000000006000000000090280615 +:10C9300000000E000040000600000000084016063F +:10C9400008000E000000150600000000003800007E +:10C9500000000000001015000000000000000000B2 +:10C960000000000000300000000000000000140083 +:10C9700000002240068C80040000000000800000BF +:10C980000000000000800C010000000000800C008E +:10C99000080000A0000828060000000000000000B9 +:10C9A00000000000000000000000008003381400B8 +:10C9B00004020E0000380051200000850300000032 +:10C9C0000C000E87C3003C0000000000C00082EB9A +:10C9D000B0650E40072DB62CB1150210004040C3C3 +:10C9E0000400000000000000000000000000000043 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA6000000000000000010000190411000400345F +:10CA70004000000000400000080008000000000026 +:10CA80000020000000000000000000000000000086 +:10CA900040210000000000000000000000004300F2 +:10CAA0000000000000000000000080000000A00066 +:10CAB0000040800000000000000080000000200016 +:10CAC0000020C60000002000001CE600000000005E +:10CAD0000038000000000000003C340000000000AE +:10CAE00000000000000000000050100000000000E6 +:10CAF00000200000000001000800380000000400D1 +:10CB0000020000001000018000000200200001006F +:10CB10000020820000000000000000000000000073 +:10CB20000000000000000000000000000000000005 +:10CB300000241848000000080200C8300C00001053 +:10CB400000200010100020088A058826288881000F +:10CB5000000124040080810000240000000062081D +:10CB600000080008000000000000000000000000B5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE00000000000000000000000800000000810AD +:10CBF00000800004704100C00100008F036C001031 +:10CC000000000020002800000000000000000000DC +:10CC100000000000C00100000070000000000000E3 +:10CC2000000000800F808000000027400700000007 +:10CC3000C00208800F800008C002276000000000CA +:10CC4000C0020820080010010000020000100001CE +:10CC500000000000000C3C00000000000008100074 +:10CC6000000000000000000000000000003C300058 +:10CC70000000000000000C00000010000280000016 +:10CC8000D000002000008006B0001000000000006E +:10CC9000D001100000000000D00118400000000882 +:10CCA0004001000000000000000000000000000043 +:10CCB00000000E000038802010001000000C1CC383 +:10CCC000A00000000038004000001C800138620411 +:10CCD0004D1208B008025C044D1208B7082A002F54 +:10CCE000E0041600008002D70100000000000000F0 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000004BF +:10CD70000000018002300000603000C00B000000A5 +:10CD800000380080F278000000900000F000000001 +:10CD900000000000000000000010000000F800008B +:10CDA000000000000000000000000000F00006404D +:10CDB000010000000000000000000000F00006403C +:10CDC000010000000000004001081D0F00000000ED +:10CDD00000341400000000000010000000000000FB +:10CDE000003800000000000000000000000000000B +:10CDF0000050300000000000000A5E000000080043 +:10CE000000083C0000000040000000160000020086 +:10CE100000000000000002000010000F000022C00F +:10CE200001000006000200000000000000000000F9 +:10CE3000000000000000300802383C0002000C93A3 +:10CE400001783D0000000018003A3C0020000C83EF +:10CE5000610000044000088220001C044000488259 +:10CE600020282120F002060500000CAF0B00000076 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC000000000000000000000000000040000005E +:10CED00000000020000020000400200000000000EE +:10CEE00000000020000000002000300000000000D2 +:10CEF00000000000004000000000001000200200C0 +:10CF000000000002020A040002000040220010019A +:10CF100000000000020400300000000000001000CB +:10CF200000080001000000000010010001001002D4 +:10CF300000000040001001020201202000200C022D +:10CF40001022240202242014020214224120200272 +:10CF50000211342000002022040054000040202050 +:10CF60001400003020001004002124009100000172 +:10CF70001000101420400000200110210020028029 +:10CF80003028003000208000200010200020900079 +:10CF90003000002010300080200000000020000041 +:10CFA0000000100000000000000000000000000071 +:10CFB0000000000010000000000000000000000061 +:10CFC00000000000100000100010100000121010EF +:10CFD00000001000100000000010000010101010E1 +:10CFE00020001020040404020100000004000000DE +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D07000000000000000000D000A00600C0000101D +:10D0800000000000A0000000000800000000007088 +:10D0900000001D000E0C00600000003000000002C7 +:10D0A0000000120C000C000000000000003A00001C +:10D0B0000000300000000000663000060000003074 +:10D0C00002020C0030020C000632000600091000BB +:10D0D00006020006002030000600000600A0000046 +:10D0E000000A000E30A960000C0C280E0D695000DB +:10D0F0002D0008051D1E000C000A0D4C000000004C +:10D100000D009CA0000000390000A00E00003E00B1 +:10D110000000150C3005000A3E300050A000000051 +:10D12000000090000000300000009050000000005F +:10D1300000000000000000002000000000000000CF +:10D14000000000000000000010000030003000006F +:10D15000401010100000000000406000000000605F +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000020C000000000000000000000041 +:10D1E0000C0000000000000000000E3200000A00E9 +:10D1F000100030000000000000000000001000409F +:10D20000010000004200000000008A00000C0C50E9 +:10D2100000000230B000028C00010002080C023055 +:10D220000200000000000001000240484A000040E7 +:10D23000504008714870404C504000104A00084C63 +:10D240000C4810B04030404C0A0C11484890014046 +:10D25000080C000C381E000C0804000000003020F0 +:10D260000400280000303E2000000030910101043D +:10D27000000830003400015C008000012010000133 +:10D280002008002C00200D202000012000201A0082 +:10D29000200000204020100120000020002000304D +:10D2A00020000000C020000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E00000000000000000002000002004040404EE +:10D2F0000800000004000000000000000000000022 +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000010000000000009C +:10D390000000003000000400000000000000000059 +:10D3A00000000000040000000000000080000000F9 +:10D3B000000000400020000020002000002030007D +:10D3C00000000000040030003000904000000080A9 +:10D3D0000000100010100004000000000040344065 +:10D3E000300040400040000010001060A040A0004D +:10D3F00030000040304C00001000104000000040A1 +:10D40000100010400000000040000000000000007C +:10D4100000002000000000000000200000000000CC +:10D4200000002000000000000000200000000000BC +:10D43000000020000030000000000000000020007C +:10D44000000000000000000020000000000030008C +:10D4500000000000000000002000000000000000AC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000300000000000000000000000000000000C +:10D4F000000000000000000000000000000000002C +:10D500000000000000000000008401100004000082 +:10D510000000000000000080000002000000000089 +:10D5200000000020000000000200000000000000D9 +:10D530002000000000000030004000004500800096 +:10D540000040000001000000020000000000501038 +:10D5500000000020000040004080000001000000AA +:10D56000002000100000001000300030000000100B +:10D570006030600C00003010B0310000000000107E +:10D5800000002014000000900000000000000000D7 +:10D59000000000208000802000000020800080200B +:10D5A00000000020800080200000002080008020FB +:10D5B000000000208000802000B00000000000007B +:10D5C000000040000000000000000000000000001B +:10D5D0000000B0000000000000000000000000009B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D6100000000000000000000010000000000000FA +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D660000000000020000100000000000000000099 +:10D67000000000000000000000000080000000002A +:10D680000000000000002004000000002004002032 +:10D69000000000002000000000000000000000006A +:10D6A000000000000000002000000000000000005A +:10D6B000000000000000000000000000000000006A +:10D6C000040400000000100000140000000010100E +:10D6D0001000000000000000000000000000000832 +:10D6E00000100010000018001200000000000030C0 +:10D6F0001010109000008204100020002000000094 +:10D70000100010000000200410001000000000C2F3 +:10D7100000000000000000200000002000000020A9 +:10D720000000002000000020000000200000002079 +:10D730000000002000000020000000200020000069 +:10D74000000000000000000000000000000000C217 +:10D75000100000000000E0010000000000000000D8 +:10D7600010000000000000000000000000000000A9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000020000069 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D00000000000000000000000FF7FC9C393C6E6 +:10D7E00093C693C693C693C693C663C993C693C69E +:10D7F00063C963C963C963C963C963C993C663C99C +:10D8000063C995A695A695A695A665A993C665A98B +:10D8100095A693C695A693C699C399A599A539C906 +:10D8200099A599A599A599A559A999A587877788B3 +:10D8300077888787878777887788778887877788C3 +:10D84000878777887788FFFFFFFFFFFF4747FFFF46 +:10D85000FFFF00000000FFFF335500000000FFFF46 +:10D86000FFFF0000000000000000000000000000BA +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C00000000000000000000000000000005F5F9A +:10D8D000FFFF00000000000000000000000000004A +:10D8E0000000000000000000000000000000000038 +:10D8F000000000000000000000006D6633330000EF +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D95000000000000C00000000000000000000A01B +:10D960001E28DCA45EB8DCA45EB8DCA45EB8DCA42F +:10D970005EB8DCA45EB8DCA45EB8DCA45EB8DCA44F +:10D980005EB8DCA45EB8DDA45EB9DDA45EB9DDA43A +:10D990005EB8DDA45EB9DCA45EB9DCA45EB8DDA42B +:10D9A0005EB9DCA45EB9DDA45EB9DDA45EB9DDA418 +:10D9B0003EB8DAA43EB8DAA43EB8DAA43EB8DAA497 +:10D9C0003EB8DAA43EB8DAA43EB080240E000000CF +:10D9D0000C0080240E000000000000000C0000007D +:10D9E000000080040C0000000000000000000000A7 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA5000000090040C000000000000000000000026 +:10DA600000000000000000000000000000000000B6 +:10DA7000000000000000000000000000000048203E +:10DA80001E20000000000000000000000000000058 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD00000000000000000000C000000000000003A +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000A01228DCA4AB +:10DB200052B8DCA452B9DDA452B0000000000000DD +:10DB30000000000000000000000000000000802441 +:10DB400002000000000000000000000000000000D3 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000488040A94D8037 +:10DB700040B94C8040B84D8040B94D8040B84D8090 +:10DB800040B84D8040B84D8040B94C8040B84D8081 +:10DB900040B0000000000000000000000000000095 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC50000000000000000000000000C00000000004 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000077443F0CFFFF80 +:10DCA000A5A5C3C333CC9999A5A5999933CCFFFFFA +:10DCB000BB110F550F55FFFF7744FFFFF505FFFF21 +:10DCC0007722FFFFFFFFFFFF3535FFFFCF03FFFF89 +:10DCD0005353FFFFF505FFFFF303FFFF550FFFFF52 +:10DCE0003F300000000000000000FFFF35353C3CE5 +:10DCF00066665A5A5A5A3C3CCC335A5A5A5AAA5512 +:10DD00003C3CAA553C3C66663C3C6666AA55CC3316 +:10DD10006666AA553C3C00000000000000000000C0 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000C02053 +:10DDF000004C00000000C020005400000000C020C3 +:10DE0000006400000000C02000A200000000C0204C +:10DE1000009200000000C020008A00000000C02026 +:10DE200000202A000403C020201026000403C02084 +:10DE3000400800000000C02000CE00000000C0200C +:10DE400000D604000001C02080E700000000C020D0 +:10DE5000004C80000000C0200054800000008000C2 +:10DE6000002400000000000000008001000080008D +:10DE700000020000000000000000000000000000A0 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED00000000000000000000000000300008000BF +:10DEE0000020000000000000000000000000000012 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000200000402091 +:10DF100000400000000000000000000000000000C1 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF60000000000000000000000000000000802011 +:10DF70000000000100088020000000010004802053 +:10DF8000000000010010802000000001001080202F +:10DF90000000000100048020000000010008802033 +:10DFA000000000010010E120800000014004C920B1 +:10DFB000800000018008802000000001000880200F +:10DFC000000000010004C020800000010810802033 +:10DFD0000000000100088022000000010004801001 +:10DFE00000000001000000000000000000008002AE +:10DFF000000000010004000000000000000000001C +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E050000000000000000000000000000000800040 +:10E0600000000001000000000000000000000000AF +:10E0700000000000000000000000000000000000A0 +:10E08000000000000000000000000000000000216F +:10E090000000000000080000000000000000000078 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F000000000400000C0000040000000C04400DC +:10E10000E0C0004000C0E000004000000000C0C0CF +:10E11000444700C00040E0C00000000000E2C00032 +:10E12000004000000000C0E2E0000322D4D3C4633A +:10E13000C080000047C0E00345C800C0000044C0E4 +:10E14000E0D000C000C000C74440E0000000CB2287 +:10E1500080C300000000030003E2A003A08744C0C6 +:10E16000A000000000000000C0000000010000004E +:10E170000000000000000000C000002000000300BC +:10E18000000000200000100000000010000300004C +:10E190000000000004031000000000000003100055 +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000003000000000000000000000000002C +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000200000000000000000000000000FC +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000002000001040012000000D +:10E280000090004000040020001000400120000029 +:10E290000040100400200010002000100140004049 +:10E2A0000004104000204020004010040000050041 +:10E2B000000402201002014040200008400000102D +:10E2C000040000840010001002040110002000402F +:10E2D00000002040801800400400090020100208BF +:10E2E0000404000400000000000000801000000092 +:10E2F00020000000000000000000000010000000EE +:10E300000001020000000000000100000000000009 +:10E3100000800000000000010080000000000001FB +:10E32000008000000000000000000000000000006D +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000008000000000000000000A5 +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E390000000000000000400000000000000000079 +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F00000000000000000000000000320841C005A +:10E400000110400FF4041CC009B8400FF4000000D4 +:10E410000000400FF40000000000400FF400000076 +:10E4200000004008508000000190404701050200B4 +:10E43000CAB8400FF04954C40190001B842828CA70 +:10E4400001144188913056801B02400214C800001C +:10E45000D560400FF40800800B3040050C002C10F4 +:10E4600049B8001F00841C8111004010000E0C866A +:10E470000100000004000101001000000000010084 +:10E48000C0000000000000000000000000040200C6 +:10E49000A00108400000000AB000000000300000A9 +:10E4A000000002C001000000C000000000000000E9 +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000F00000003C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000F00000000EC +:10E520000A000000000000000000000000000000E1 +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E580002038000002940007E000008001300007FE +:10E59000E0001C1004000007E0001C000008000759 +:10E5A000E0001C100400000060391C2003B00007CC +:10E5B00083001C8001310080612C1408F460000F7E +:10E5C000E38000C801E006C7E54010800114002781 +:10E5D000E238001000140007E0B428800110008029 +:10E5E000E7000080013040050208280007002C07E2 +:10E5F00000102800000002000000010000080000D8 +:10E60000000000000000000000001001A8000C0045 +:10E61000000000002000100000002C00000000801E +:10E6200000402C000000000000000000000100007D +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000C0020000000000000000000000A8 +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E7000000000011402108300004000080240800AF +:10E71000021000088012104000460002002410A0E1 +:10E720000008002880121040004200086034185091 +:10E73000000400014F0210400500000826240800D4 +:10E74000008200002008402000800020200D008072 +:10E75000102011044000000000B0100200040080EE +:10E7600000652004000002002100010000040800F0 +:10E77000400000000024088220003000000000005B +:10E7800000000000080000002000200000009000B1 +:10E7900008009000000000083000208000200002E7 +:10E7A000000001000400000200002C8000000000B6 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000080000000000000000000000099 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000002000000000000000000000C8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000008008803B0C000048C00031 +:10E8900060300C0000004000E00000200030000765 +:10E8A000E030000000040000E08000200070000361 +:10E8B000103020C00068408001000080A10C0025BD +:10E8C000F0300CD005F0908BE90C144F40708205AD +:10E8D00000BA00C001F20E17008000C001700604EB +:10E8E0000070200000400824C38C14200040000069 +:10E8F00000481C5800006E0000301C0000000C0096 +:10E9000000000000008000800300000000001200F2 +:10E91000000000081001060000000000C0020C808A +:10E9200001008000E00010000000000710010E0050 +:10E9300006001000E00000000000000000000000E1 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000003000000000000067 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000001400C00100000092 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA0000000000000000000000000005003201C00E +:10EA10000340000400393D0004040007008000802A +:10EA200001388007780100C0057800077080000079 +:10EA300002B8800010303C800260008C91843C0061 +:10EA40000A40404011303D960300089768002D466B +:10EA50000A4A010720002CCF2B80400000B83C015F +:10EA6000D870410500082C090070400000397C1E58 +:10EA70000004000000302D86E7000000003801008F +:10EA8000D0000000000000000000000000000000B6 +:10EA9000D0000200000000000000010000000000A3 +:10EAA000C00000200008000000020E0002700020DC +:10EAB000C0000E00002C0116000200000000000043 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF00000000000000000000000000070020000A4 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000B0030340076 +:10EB300008000000000000000000000000000000CD +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000040000000001025 +:10EBA00010101000000000001000000020402000A5 +:10EBB00000101010100000001000100000102000C5 +:10EBC0002010005020001000444004000000188075 +:10EBD00042500420001100401C200440200430203A +:10EBE000209002402030201204021020500010100B +:10EBF00000000024210121202104002410043200FF +:10EC00000401002020000120001010240000220038 +:10EC10000420001030810101042400000080040061 +:10EC200000010080000081100000101204000000AC +:10EC300000111010000000801210140000010000EC +:10EC400000100010000000000000040000000000A0 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000100000000000000074 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000A00000000700C0D60 +:10ED200070000030301030000000000030000000A3 +:10ED30000000000000101010300000003000100033 +:10ED4000001000000010B0100000300000B06000A3 +:10ED500000400470001000B2003A00003000006073 +:10ED600000001000001000000030003000003000F3 +:10ED7000300A303000000000004000B000B0000059 +:10ED800030003000406060B000003000A0103DB0A6 +:10ED90000000500050B050301000000050B0700023 +:10EDA00050400060000000A050B000304040000023 +:10EDB00000000000003000000000A0700D000A708C +:10EDC0000009007E7000001000007000500000007C +:10EDD0000000B000000000B00000000000000000D3 +:10EDE000000000000000000000000000000000081B +:10EDF0000000000000000000000000000000000013 +:10EE000000000000000000000000000030000000D2 +:10EE100000000000000000000000000000005000A2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000B0000022 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000010071 +:10EEA0000000B10070C0000000000A8000000000F7 +:10EEB0000000000010201000000000000000000012 +:10EEC00000000100000010002000000020000000F1 +:10EED00008000C000000402004000C200004023058 +:10EEE00000208C0020002020A0300C002010200CDE +:10EEF000040C0010300E000000C20A1028083C105C +:10EF00000C040A3000042C0004480A3020008C2035 +:10EF1000000E00380E003C0E0C300000303C080C97 +:10EF20000C180000003008000E5C002040003C007F +:10EF30000000000800000E00000400000000003087 +:10EF400000000C00000800000000000000000000AD +:10EF500000000400000001000000000000000000AC +:10EF600000000000000000000000000000000000A1 +:10EF70000000000100000000000000000000000090 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000400000000000000002000000000BC +:10F030000030000000000000200000000000000080 +:10F0400000000000000000000000000000000000C0 +:10F05000000000000000A0000000000000000040D0 +:10F060000010000040000000000000000000000050 +:10F0700000004000000020000000000000300030D0 +:10F0800000004020000000000002A000400000003E +:10F0900000106000000020000000000000000000E0 +:10F0A00000000000000000000000000000800000E0 +:10F0B0000000000000000000000000000040000010 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000200010 +:10F0E0002000000000000000000000000000000000 +:10F0F00000300000000000000000000000000000E0 +:10F1000000000000000000000000200000000000DF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F14000000000000000000000002000000000009F +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000030002F +:10F1B0000000000030B0000000000000400000002F +:10F1C000000000000000000000000000000000003F +:10F1D00000000000000000000000A000000000008F +:10F1E000000000000080000020000000000000007F +:10F1F000000000000000000000000000000000000F +:10F2000000400080000020000000000000006000BE +:10F21000200000000090A00000008000000000001E +:10F22000800000000000000000000000000000005E +:10F2300000100000000000000000000000000000BE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F2600000004000400000000000000000003000EE +:10F27000000000000080000000000000000000000E +:10F28000000000000000000000000000000040003E +:10F29000000000300000000000000000000000003E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C00000000000000000000000000000004000FE +:10F2D000000000000010000000000000000000001E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000080000000000D5 +:10F3300000022010000000406220000000000000D9 +:10F3400000000000000000000000000000000000BD +:10F35000000000000000000000001000000000009D +:10F360000820000000000000000000000000000075 +:10F370000100000000000000000000000000100478 +:10F38000000000000000000000000010000000006D +:10F39000000000000000000000200000000000004D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C00000000000000000800200000000000000BB +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F00000002000000000000000000000000000ED +:10F4000000000000000000000000000000000000FC +:10F410000000C0010000002000000000000000000B +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F44000000000C2000000000000000000000000FA +:10F45000000000000000000000200000000000008C +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F0000000000000000000000000000000FFFF0E +:10F50000FFFFFFFFFFFF5A5A3C3C5A5A5A5A5A5AB9 +:10F51000CC336666AA555A5A3C3CCC336666AA552B +:10F520003C3C66665A5A6666666666665A5A0000CB +:10F5300000000000000000000000000000000000CB +:10F54000000000000000FFFFFFFF000000000000BF +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200008000000000000000000000000000000D2 +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000080240E0080240E0048804CA84D808D +:10F690004CB94D804CB84C804CB94D804CB84C8026 +:10F6A0004CB94D804CB84C804CB94D804CB84C8016 +:10F6B0004CB100000000000000000000000000004D +:10F6C0000000000000000000000000008C020000AC +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000004000000000000000000000055 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000802465 +:10F8000002000000000000000000000000000000F6 +:10F810000000000000000000000080240200000042 +:10F820000000000000000000000000000000488010 +:10F8300040A94D8040B84C8040B84D8040B84D80C4 +:10F8400040B84C8040B84C8040B84D8040B94D80A5 +:10F8500040B84D8040B000000000000000000000F3 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F9600000000000000000000000FFFFBB110000CD +:10F970000000FFFFFFFF000000000000000000008B +:10F980000000FFFFFFFF00000000FFFFCF030000AB +:10F990000000FFFF7722FFFF3535FFFF330FFFFF2A +:10F9A000FFFF000000000000000000000000000059 +:10F9B000000033CC55AA55AA33CC33CC33CC99991B +:10F9C00033CCA5A533CC33CCC3C333CCC3C355AAE6 +:10F9D00055AA9999C3C355AA99990000000000003F +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB00000000000000000800040200002C000000BB +:10FB10000000C02000E600000000000000004000DF +:10FB20000001000080000000000000000000000054 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000001000000000073 +:10FC9000000080340000000100100000000000009F +:10FCA0000000600080000000400000000000000034 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000008000000C0003B +:10FE000000000000000000000000000000000000F2 +:10FE10000000C00000C000000008004300074000D0 +:10FE2000000800000000C800000080050008000075 +:10FE300000000005000000000000000000000000BD +:10FE400000000000000000000000000000000000B2 +:10FE50000000000000000000000000000000004062 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000010000000000000000000000000070 +:10FF90000000000000008000002000000000012898 +:10FFA00000000100000000000020000000008000B0 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE00000000040000000000000000000000000D1 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:100120000080100A0000010420510080D20001026A +:100130001005000000000002000100000030000473 +:10014000800114C001000C44800310C001003E2057 +:10015000003000000000004000000020000002808D +:100160000100001800000040000000000000000036 +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:100280000000080000000000000000000000000066 +:10029000000000000000000000000000001400004A +:1002A00000000C0000382A0800000600E0003400BE +:1002B00000000E00E0000E1800600000E0000010DA +:1002C00000600000E000010000304C82E5100220D8 +:1002D0000130080002800000000002000000000061 +:1002E0000001006002003C000000000000003C0033 +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000011000000000000000000DB +:1004100000000000000000000000000000000000DC +:1004200000000000000003000000010000000104C3 +:10043000402000800000010C4080020000080024E1 +:1004400000000000008A000400000400000842844C +:10045000200004000008A0000000000000000200CE +:100460000100000400002400000001004000A08002 +:10047000081000060000000000000000000000005E +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:1005800000000000000000000000080000800000E3 +:10059000000000000000000000000000000000005B +:1005A000000000000010000000000C0000900C0093 +:1005B0000000008007000000000108000068000043 +:1005C000020880030000000000600002C0010080FB +:1005D000010010808500000040020C800D1000001A +:1005E00040022C200A00000400000D00000008005A +:1005F00010000C8003000004100000000000000048 +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:100710000008000F000000000000000000000000C2 +:1007200000000000000000000002000000000000C7 +:10073000000A3C000000400884083C0F0000000351 +:1007400000080100003000050000000000008000EB +:100750000000140004000C000000140500000080DC +:100760000102000000000080010000000000020003 +:1007700000000000C0000C0000500000F00000006D +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000040000000000000000000084 +:100870000000000000000000000000000000000078 +:100880000000000000040000000000000400000060 +:100890000000000000040000000000000000000054 +:1008A0000001000040000000404000000000000087 +:1008B0000000100040402000200000000000000068 +:1008C00040480000004000000040101040000010B0 +:1008D00000805010000010300080103004003010F4 +:1008E00000001020041000200010902004100000D0 +:1008F00000100000000000000000000000110000D7 +:1009000000000000001000000000000000000000D7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E000000900000000000000000000000D0000F1 +:1009F0000000700000B000000000000000000000D7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000A0000000000000000026 +:100A30000000000000003000000000020000020280 +:100A400000060000000000003000000000003D3003 +:100A500000700030000030100070101000003010E6 +:100A60004040101000403060400060600010306076 +:100A700040006060001000004000000000400200E4 +:100A80004006000000400000700070000000000000 +:100A90000000500000000000000000000000000006 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000004000081 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000004000061 +:100B9000000001000100010001000000010100014E +:100BA0000100000001010001010800003101000006 +:100BB0001030004000000000000000403030100005 +:100BC0003000000000000000303000408030004065 +:100BD00000300040300000400030300000000010C5 +:100BE0000030001000001000000000100000003075 +:100BF00000001010000000000000000000000000D5 +:100C000000000000000800000000000000000000DC +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000030C4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D40000000000000000000200000000000000083 +:100D500020000000200020002000000020000000F3 +:100D600020000000000000000000000000000080E3 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E800000000080000000000000000000000000E2 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC00000000000000000000000800080008000A2 +:100ED000810400008024000081044000802401007F +:100EE00081100000802401000100002000040100A6 +:100EF00001000030000000000000000000000000C1 +:100F00000000002000000020000000200000002061 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000040000000000000000000000000A0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:10105000000000000004000400240020000400043C +:1010600000240020002000040024000000030024CD +:10107000000400000000000000000000000000006C +:101080000000000000000020000000200000002000 +:1010900000000020430000000000000000000000ED +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:102530000008000000000000000000000000000093 +:10254000000000000000000000000000000000008B +:10255000000800000000000040000000000000082B +:1025600000800000000000000000001000000000DB +:10257000000000000000000000000010000000004B +:1025800000000000000000000000400040000000CB +:1025900000000000400000000000000020000000DB +:1025A00000000000000020002000100000000000DB +:1025B00000000000000020000000000000000000FB +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A00000000000000000000000000D000000001D +:1026B00000000000000C000000000000000000000E +:1026C000000000000000000000000000000000000A +:1026D00000000000000C00000000000000000800E6 +:1026E000080000080900000000000000000E0010B3 +:1026F0000070000000000000000000000D0000104D +:10270000000000000D00000000000900000000B003 +:1027100000000000000009000000000000090000A7 +:102720000800000008090800000008090000100067 +:1027300000900D0009B0309000000EB0380030005D +:102740000000000000090000000000000D790A00F0 +:102750000000000000000800000000000000000071 +:1027600000000000000000B00000000000000000B9 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:10279000000000000000000000000000000D00002C +:1027A00000000000008000000000000000000000A9 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000080000000000000000018 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860003000010001000000003000000000700096 +:1028700000000000000070000000000000000000E8 +:1028800000000000000070000000000000000000D8 +:102890000000100010000000000000001000700098 +:1028A00000000000310000000100010000001100E4 +:1028B0001000000000000000000080000000300058 +:1028C0008100800100000000010001000000000004 +:1028D0000000000000000000010081000000000076 +:1028E0000000800000000000000000000000000068 +:1028F00001000000000000000000000000000000D7 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E0000000000000000000000000800000000067 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A20000000000000000000000080000000000026 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000100056 +:102A700000000000000000400000000010001000F6 +:102A8000000000000000080000000000100010001E +:102A90000000000000001000000000000000100016 +:102AA0000000000010000080000000003000300036 +:102AB00000000000000000000000000030003000B6 +:102AC00000000000000030000000000000003000A6 +:102AD00000000000300000000000000000000000C6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000003035 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA00000000000000000000000000000003000F5 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000001000000000C5 +:102C000000000000000000000000010000000000C3 +:102C100000000000000000000000000000000000B4 +:102C20000000000000000000000000300000000074 +:102C30004000400000000000000000000000000014 +:102C400040004000000000000000400000000000C4 +:102C500000004000000000004000000000000000F4 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000001000000000000000000043 +:102D80000000000010001000000000000000000023 +:102D90000000000010001000000000000000100003 +:102DA0000000000000001000000000001000000003 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000002FA +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:108600000000000400000000000000000000000066 +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000CF0375 +:10A91000CF03000000000000000000000000000065 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000020000000000000000000000000000001 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B09000000000000000000000000000000000A010 +:10B0A000013400002001000000000000000000004A +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B2200000000040020000002000000000000000BC +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000800000001D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B5200000000000000000000000002008000000F3 +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B8200000000000000000000000400000000000D8 +:10B830000000000400000400000000000000000000 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB300000003000000000000000000800000C00C1 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000400000000000000EE +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC000000000000000000000000000040000006D +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE1000000000000000FFFF3535FFFFFFFF00009E +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000C008024D1 +:10DFA0000E00000000000000000000000000000063 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E100000000000000000000000000000800000007 +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000080090000800000060000DD +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A00000000000000000000000800000000001EA +:10E5B000002000000000000000000000000000003B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000C0000000000000000000000019 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000200100000000000000046 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA30000000000000008000003440800200000060 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB00000000000000000000000000200000C1037 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000400000000000000000000000000002 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000010000000000BF +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C00000000000000000008000000400040200B5 +:10F1D000040000000000000000000000000000002B +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D00010000008000C04000C00000000000000F8 +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000020002000004000000A2 +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F95000000000000000000000000800000000009F +:10F960000000080008000000000000000000000087 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000030000000000000000000000000054 +:101990000000000000000000000000000000000047 +:1019A0000000010000000000000000000000000036 +:1019B0000000000000000000000000000000000027 +:1019C0000000010000000000000000000000000016 +:1019D0000000000000000000000000000000000007 +:1019E00000000100000000000000000000000000F6 +:1019F00000000000000000000000000000000000E7 +:101A000000000100000000000000000000000000D5 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E1000000000000000C0220000000080820000DE +:101E200000000000000000000000000000000000B2 +:101E3000000000000000F03900000080C04C0000ED +:101E40000000000000000000000000000000000092 +:101E5000000000000000B0390000000180540000C4 +:101E60000000000000000000000000000000000072 +:101E7000000000000000C02200000000104500002B +:101E80000000000000000000000000000000000052 +:101E9000000000000000E033000020041083000078 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F900000000000000000000000C42100008001DB +:101FA00008E3000000000000000000000000000046 +:101FB00000000000000000000000C02800004000F9 +:101FC000008B000000000000000000000000000086 +:101FD00000000000000000000000C02800004000D9 +:101FE000408700000000000000000000000000002A +:101FF00000000000000000000000C421000080017B +:1020000000E30000000000000000000000000000ED +:1020100000000000000000000000C42100000000DB +:1020200000E30000000000000000000000000000CD +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:102120000000000000000000000000000000C000EF +:102130008000C0E300CB0000000000000000C805E4 +:10214000C783000300C3E023C383C1D3A3CBC80369 +:10215000A380C4D3C0C7000000000000000000003E +:10216000000000C000D300000180C0EB03C30000EA +:10217000030380C7A313000000000000000000005C +:102180000000C8C000C0000000D3C4C701C50000E3 +:1021900000C0C483CB8700000000000000000000E6 +:1021A00000030300CB0000030003C701D3050013A5 +:1021B000000303C3810700000000000000000000CE +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B0000000100080000104000100000000000088 +:1022C0000000000002030001000C00001282C02088 +:1022D0000801000108020040012000000000000089 +:1022E0000000000000000004000200004004100094 +:1022F0004084000040088020020000000000000030 +:1023000000000000000000040002000000100002B5 +:10231000404000000002000C02020000000000002B +:10232000000000000008200040000080000820019C +:1023300080000000000108A0A000000000000000D4 +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:10242000003000200000000000100020000000002C +:102430000030002000000000000080000000401D6F +:10244000042C4C000800000004004C0000000000B8 +:1024500004004C000000000004304C000000401D4F +:1024600004480820000000000028002000000010A0 +:1024700004001C2000000010040020000000401890 +:10248000000089000000000004300C000000000083 +:102490000000002000000000003400000000802048 +:1024A0000438302000000010045034000800000000 +:1024B0000001012008000000042C2C000000000096 +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000006000000600000000200000069F +:1025B00000000000600000040000000060000C014A +:1025C0000000000503009184010000000700910451 +:1025D00000000020020091E401000000008091E46E +:1025E00001000005030036E60000000087400021DE +:1025F00001000000800002E601000000030000016D +:10260000000000006000122101000080054001214F +:1026100001000080270100C3050000202300800185 +:10262000000000000000104300000000600080C4B3 +:1026300000000080810000060000000000008C0304 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:102720000000000000000000001100000000000098 +:102730000011000000000000001100000000000077 +:1027400010000200000000002080000000000000D7 +:102750000080003000000000088000000000000041 +:1027600000800000000000000004200400000000C1 +:10277000180420040000000008000200000000000F +:1027800000008000000000000805000000000000BC +:10279000280480000000000000000000000000008D +:1027A00000010000000000010010102000000000E7 +:1027B0002080000000000000040000040000000071 +:1027C00000021000000000000000000000000000F7 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A00000000000000000000000800C80011C07F8 +:1028B0000000000080011C070000000080019C0354 +:1028C00000000000200080000000800CE6089CC092 +:1028D0000100008023088040000000802308800061 +:1028E000050000A080099C00000080AC803110260B +:1028F00000000000832910C60100000022018100B1 +:10290000050000A0200000000000800A23298000AC +:1029100004000000820800C301000020230100031E +:1029200001000000E30100070000800C820108277D +:102930000000000066283067000000002600202408 +:1029400000000000660022270000000000000000D8 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000004A2 +:102A300000003D200000000000003D2000000000DC +:102A4000000001000000000004000000000000077A +:102A500000003D000800002004000000000000000D +:102A6000040000000000000000000100000000045D +:102A700000003C0000000000000000000800000012 +:102A80000000000000000000040000200000000220 +:102A9000000000000000000000000020080000000E +:102AA000000000200400002000000020000000249E +:102AB00000000120000000000000002000000000D5 +:102AC00000010E00000000000000142000000000C3 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B8000000000000000000000000000000008003D +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000040021 +:102BB0000000000000000000000000100000000005 +:102BC00010000000000008040000000040040004A1 +:102BD00000080000100400000000002100000000B8 +:102BE00008000000000010000810000010000000A5 +:102BF0002280008000004000020800040020000045 +:102C000002104C00000000004220000008000020DC +:102C100022302000282000000020000000000010CA +:102C2000003028000000000010000820084080202C +:102C30002048000020000200000010100000048066 +:102C4000040404000001000000800800141804209F +:102C5000000000000014040204000004001400003E +:102C60000000000000000010000000000000000054 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA000000000000000000000080000000000001C +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000C00000000000000000000000000A7 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000C00001077 +:102D400000090000100900090A00040000000870D2 +:102D50000000B002B804B60D2000080D00000CB64B +:102D60000000004004606000000000400000060613 +:102D70000400000200000000000000000900000044 +:102D8000005000000010040000000A0000B0000025 +:102D9000000008000010000000000000700000BAF1 +:102DA00000000A390039040A00000D7C20000400EC +:102DB00000000C090A70005A0D00A00E0A00303500 +:102DC000090A0AB000000D0A0800000C050008708E +:102DD00000180A0000080000003000000000000A8F +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E2000000000000000000000000000000800009A +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB000000000000000040000000000000000000E +:102EC00001000000000000000002000000000001FE +:102ED0000001010030050000010000000044010075 +:102EE00000000128000100000000000000010000B7 +:102EF00000600000010000000C30003000003000D5 +:102F00000C000204002000000C002C000000004017 +:102F10003C000000000141000C00200010208080D7 +:102F200000000000000000000E1010004000000132 +:102F300000000010003011208020800000000800F8 +:102F400000000000000008308D080400010C0001A2 +:102F50000030000004000420010100000004040C03 +:102F6000040000040000000E00000000000000004B +:102F70000000000000000000000E00000000000043 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000040000AC +:10302000020002000004000002000E000004000084 +:103030000200020000000000020000000000000486 +:103040000200000000000004020000000040000038 +:103050000240020000002004020000000000000006 +:103060000000020080000000100402008000000048 +:103070000202000080000000020002008040000008 +:10308000024000408040000000400000800000003E +:10309000004040008040000002400020800000000E +:1030A0000040002001000000000010088100000026 +:1030B000004000000100200000000000000040006F +:1030C000000000400100000000400000000000007F +:1030D0000200004010000000020000003000000468 +:1030E0000000300000000000000000002000200070 +:1030F000020030000040000000400000100000000E +:10310000000000001000000000000000200020006F +:10311000000000002000000000000000000000008F +:10312000000000002000000000000000200000005F +:10313000000000002000000000000000000000006F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000400040000000000040005000E +:1031B0000000000004000400000000000400000003 +:1031C00000000000040000000000000004000000F7 +:1031D00000100000041004000000000004000000C3 +:1031E0000000000000000400200000004000040077 +:1031F000200000000404000020000000040004007F +:10320000200000000400000020000000000000007A +:10321000200000000000200020002000040000002A +:103220002000000000000000040000000000000179 +:1032300024000000002000000400000000000080C6 +:10324000000000000000003004000000001000003A +:103250000000000000000000000004000000080062 +:103260000000000000000000080000000000000056 +:103270000800000000000000000000000000000046 +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000008000000000000000800008D +:103330000000000000080000000000000002000083 +:103340000000000000020008000000000002000869 +:103350000000000000020000000000000002100851 +:103360000008000000020000000000000002000051 +:103370000008000000020000000000000000000043 +:103380000800000000020000000000000000000033 +:10339000000000000000000000000000000020000D +:1033A00000000010000000000000001000000000FD +:1033B00000001000000000000000000000001000ED +:1033C00000000000000000000000100000000000ED +:1033D00000001000000000000000101010080400A1 +:1033E000000014001000000810001000041000007D +:1033F0000000000014001000000010000000000099 +:10340000000000001000000000000000100000009C +:10341000000000001000100000000000100000007C +:10342000000000000000000000000000100000008C +:10343000000000001000000000000000100000006C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000300B7 +:1036100000000000000000000000000000000000AA +:103620000000000000000000000000000000010099 +:10363000000000000000000000000000000000008A +:103640000000000000000000000000000000010079 +:10365000000000000000000000000000000000006A +:103660000000000000000000000000000000010059 +:10367000000000000000000000000000000000004A +:103680000000000000000000000000000000010039 +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000701900002001C0CF000000000000DD +:103AB0000000000000000000000000000000000006 +:103AC00000007002000000048054000000000000AC +:103AD00000000000000000000000000000000000E6 +:103AE00000003019000000048054000000000000B5 +:103AF00000000000000000000000000000000000C6 +:103B00000000B0390000000480C100000000000087 +:103B100000000000000000000000000000000000A5 +:103B20000000D03900000004105500000000000023 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C2000000000000000C02800000000008B000021 +:103C30000000000000000000000000000000000084 +:103C4000000000000000C02100008000408700004C +:103C50000000000000000000000000000000000064 +:103C6000000000000000C028000080004087000025 +:103C70000000000000000000000000000000000044 +:103C8000000000000000C0280000800008B3000011 +:103C90000000000000000000000000000000000024 +:103CA000000000000000C028000040000087000065 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000003E1001F +:103DC000CBE3000000000000000000C1A3130300CB +:103DD000C70000DB03050301C30300070105CB0394 +:103DE000C7130000000000000000000000001300E6 +:103DF000C300000100030313E3C50003000301EB4C +:103E0000A303000000000000000000000000C0C884 +:103E100000C3000001C0C8C303C1000013C0C083B9 +:103E200001E3000000000000000000070003130091 +:103E30000000C023C3C5011300000005CBC103006F +:103E4000E30000000000000000000000000000008F +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000008400002020000000000000000000520 +:103F50000200080040000000040020015080000022 +:103F60004000080102000000000000000000000006 +:103F700000000000A0000001000840000440000113 +:103F80000008400020800000000000000000000049 +:103F900000008000000C00002010008404030000DA +:103FA0000002010C400400000000000000000000BE +:103FB000000100000000800021104000000000000F +:103FC0000821020040000000000000000000000086 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A00000000000000000000000000000380020B8 +:1040B000000000000079002000000000003800002F +:1040C00000000000000000000000000500280000C3 +:1040D0000000000004000000000000000400800058 +:1040E0000000000004302C0000000005042810200F +:1040F0000000000004000000000000000034000088 +:10410000000000100400000000000005042820202A +:10411000000000000430960000000000003900207C +:104120000800000004002C0000000005002800002A +:1041300000000000000000000000000004489C0097 +:104140000800000004300000000000000000000033 +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000E000000600000000E000000600000000B2 +:10424000E000000300000000800180060000800DF7 +:10425000E7400021010000802500002101000080CE +:1042600027000CE10100000000808CE40000800DBC +:104270000740002601000080050080C405000020E2 +:10428000630028E101000000830000000000800DB1 +:104290000740002601000080054000210100008049 +:1042A000E740288401000000000080000000800D2D +:1042B0002740002101000000260028210100000005 +:1042C0000200028101000020C28080030000000083 +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000001100000000000000000000EC +:1043C000000000000011000C0000000000000000D0 +:1043D0000000002018042008000000000804001855 +:1043E00000000000000003000000000000000200C8 +:1043F000000000280806080000000000088068008F +:10440000000000000005800000000000000000081F +:104410000000000008044000000000000804000044 +:104420000000000000000000000000000000641018 +:10443000000000000805C000000000000001C000EE +:10444000000000000400010000000000080040001F +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000800C80011C07000000004B +:1045400080011C070000000080011C00000000002A +:10455000C000800400008005C32894C4010000004E +:10456000C208808401000000C6008800050000A089 +:10457000E00C9C0000000004832800C301000080C0 +:10458000830914000100000083319C00050000A095 +:10459000800108060000800C832930C6010000005D +:1045A000E20800C301000020E31080C00100000009 +:1045B00026011CC60100800C832914C10100008063 +:1045C00081310821000000A083010AA7010000003A +:1045D00082011C0700000000000000000000000035 +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000400003D2099 +:1046C0000000000000003D2000000000000001008C +:1046D00000000000040000000000000404000000CE +:1046E00008000000040000000000000004000000BA +:1046F0000000000000010F0000000004000028205E +:10470000080000000000000004000020000001007C +:1047100000000000000081000000000400000E0006 +:1047200008000000000100200800000000813C009B +:10473000080000000000000000000004000001006C +:1047400008000020000201000000000000000C2012 +:104750000000000000000100000000000000000058 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000200000000000000000000000076 +:1048400000000000000010200000200000002000F8 +:104850000000000000000200000000240000220010 +:10486000002008001400000A000800000802008A66 +:104870000000000210100200004080200000200014 +:104880001000000204000100108000800000000001 +:1048900010000000000004300000002004802020F0 +:1048A0000020000004000102001042040000080083 +:1048B0000000040000480000100000210008003043 +:1048C00030000000800010120000001011100434AD +:1048D00080202012041000140011001000100400A9 +:1048E00010100010001080000010000000000000F8 +:1048F00010000000000000000000000000000000A8 +:104900001000000000000000000000000000000097 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000040000000000027 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000100000000000D7 +:1049D00000000000000000000000B900000A000014 +:1049E0000D00000000000005100000080500000098 +:1049F0000400000800000000101000000C0005007A +:104A000000000000350A0500B010000015000E9CE3 +:104A10000D00000E050E0A053000003A750A0EACB6 +:104A200000A0000E0A000E0000000000053A5A0C1B +:104A300000000400000809080000000D100008B084 +:104A40000000781D0000080578000E10A00000107E +:104A5000001A00300E0D0010001000300C09090C77 +:104A6000000C0C0010000D0000009005000000007C +:104A7000000009001000000000000000000000001D +:104A80000000000010000000000000090C00000001 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000009000000000000000000CD +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000004000000000020043 +:104B300000000000000002000000500850000000CB +:104B40000000000000000000000000000000000065 +:104B500000003000000010000000000000000C0009 +:104B6000000000000000245000200000004000046D +:104B70000000000000080024000000000000040005 +:104B800001302000000020000000000C04D008507C +:104B900000200011000000000000800080000C30A8 +:104BA0000080003104302020002000000400080CA8 +:104BB00000001801000000000001080100300000A2 +:104BC0000000012800000F20000001003100000C4F +:104BD000000000000800003010101000000000006D +:104BE0000F08000100010500000000000000100097 +:104BF0000000810000000000000001000000000033 +:104C000000000001000000000000010000000000A2 +:104C10000100010100000000800000000000000011 +:104C20008000000000000000000000000000000004 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000300000000000000000000000000024 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB0000000000000008000000000200000000054 +:104CC0000000000000000000000040000000400064 +:104CD0000000000000004000000000000000400054 +:104CE00000000000004040020000300800004002C8 +:104CF00000022200000000300010020000004030DE +:104D000000400002004200020000000000024030AB +:104D100000000002000240000000200000024030BD +:104D20000040000080400000000000000000000083 +:104D3000000000000000200000000000040102004C +:104D4000000000006240020000000000002000207F +:104D50000000000000410000000000000000000012 +:104D600000800000000000000000000000000000C3 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA00000000000000000000000000002000200FF +:104DB00000000000000000400000000002000200AF +:104DC000000000000000024000000000000002009F +:104DD00000000000020000000000000000000000D1 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000010000000004022 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E6000000000000000000000200004000080029C +:104E700000000004000440000000008000800000EA +:104E80000000308000000004000000040000040066 +:104E900000003080000000000000300000004000F2 +:104EA000000000800000040020000000000004005A +:104EB0000000000000000000000040000000200092 +:104EC0000200000000002000400000000000000080 +:104ED0000000000000300000000000100000000092 +:104EE0000000000000300000000000000000000092 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000110000000000000000002100000AE +:104FE000000001100010000000000010021000007E +:104FF0000000011000000000000000100000080088 +:105000000000000000000800000000100000080080 +:105010000000041000000000000000000000080074 +:105020000000010000000000000304100000000068 +:105030000300040000000000000000000000000069 +:105040000008200000000008000020000000000010 +:1050500000000000001010100030000000000020D0 +:10506000010300000000000000000000000000003C +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A00000000000000000000000000000001008E8 +:1050B00000000000000010000000000000000000E0 +:1050C00000000000000010000000000000001000C0 +:1050D00000000000100000000000000010000000B0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000680E0C000180EB +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/06-07-08-09-SystemOnChip/Board/ise/systemOnChip.xise b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip.xise new file mode 100644 index 0000000..076ab77 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Board/ise/systemOnChip.xise @@ -0,0 +1,331 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/06-07-08-09-SystemOnChip/Documentation/AMBA_AHB-Lite_SPEC.pdf b/06-07-08-09-SystemOnChip/Documentation/AMBA_AHB-Lite_SPEC.pdf new file mode 100644 index 0000000..99e720c Binary files /dev/null and b/06-07-08-09-SystemOnChip/Documentation/AMBA_AHB-Lite_SPEC.pdf differ diff --git a/06-07-08-09-SystemOnChip/Prefs/hds.hdp b/06-07-08-09-SystemOnChip/Prefs/hds.hdp new file mode 100644 index 0000000..c0dda32 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds.hdp @@ -0,0 +1,82 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +AhbLite = $SCRATCH_DIR/AhbLite +AhbLite_test = $SCRATCH_DIR/AhbLite_test +AhbLiteComponents = $SCRATCH_DIR/AhbLiteComponents +AhbLiteComponents_test = $SCRATCH_DIR/AhbLiteComponents_test +Board = $SCRATCH_DIR/Board +Common = $SCRATCH_DIR/Common +Common_test = $SCRATCH_DIR/Common_test +DigitalToAnalogConverter = $SCRATCH_DIR/DigitalToAnalogConverter +DigitalToAnalogConverter_test = $SCRATCH_DIR/DigitalToAnalogConverter_test +Lattice = $SCRATCH_DIR/Lattice +NanoBlaze = $SCRATCH_DIR/NanoBlaze +NanoBlaze_test = $SCRATCH_DIR/NanoBlaze_test +RiscV = $SCRATCH_DIR/RiscV +RiscV_test = $SCRATCH_DIR/RiscV_test +SplineInterpolator = $SCRATCH_DIR/SplineInterpolator +SplineInterpolator_test = $SCRATCH_DIR/SplineInterpolator_test +SystemOnChip = $SCRATCH_DIR/SystemOnChip +SystemOnChip_test = $SCRATCH_DIR/SystemOnChip_test +UVM = $SCRATCH_DIR/UVM +UVM_test = $SCRATCH_DIR/UVM_test +WaveformGenerator = $SCRATCH_DIR/WaveformGenerator +WaveformGenerator_test = $SCRATCH_DIR/WaveformGenerator_test +[hdl] +AhbLite = $HDS_PROJECT_DIR/../../Libs/AhbLite/hdl +AhbLite_test = $HDS_PROJECT_DIR/../../Libs/AhbLite_test/hdl +AhbLiteComponents = $HDS_PROJECT_DIR/../AhbLiteComponents/hdl +AhbLiteComponents_test = $HDS_PROJECT_DIR/../AhbLiteComponents_test/hdl +Board = $HDS_PROJECT_DIR/../Board/hdl +Common = $HDS_PROJECT_DIR/../../Libs/Common/hdl +Common_test = $HDS_PROJECT_DIR/../../Libs/Common_test/hdl +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hdl +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hdl +NanoBlaze = $HDS_PROJECT_DIR/../../Libs/NanoBlaze/hdl +NanoBlaze_test = $HDS_PROJECT_DIR/../../Libs/NanoBlaze_test/hdl +RiscV = $HDS_PROJECT_DIR/../../Libs/RiscV/hdl +RiscV_test = $HDS_PROJECT_DIR/../../Libs/RiscV_test/hdl +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hdl +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +SystemOnChip = $HDS_PROJECT_DIR/../SystemOnChip/hdl +SystemOnChip_test = $HDS_PROJECT_DIR/../SystemOnChip_test/hdl +UVM = $HDS_PROJECT_DIR/../../Libs/UVM/hdl +UVM_test = $HDS_PROJECT_DIR/../../Libs/UVM_test/hdl +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hdl +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hdl +[hds] +AhbLite = $HDS_PROJECT_DIR/../../Libs/AhbLite/hds +AhbLite_test = $HDS_PROJECT_DIR/../../Libs/AhbLite_test/hds +AhbLiteComponents = $HDS_PROJECT_DIR/../AhbLiteComponents/hds +AhbLiteComponents_test = $HDS_PROJECT_DIR/../AhbLiteComponents_test/hds +Board = $HDS_PROJECT_DIR/../Board/hds +Common = $HDS_PROJECT_DIR/../../Libs/Common/hds +Common_test = $HDS_PROJECT_DIR/../../Libs/Common_test/hds +DigitalToAnalogConverter = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter/hds +DigitalToAnalogConverter_test = $HDS_PROJECT_DIR/../../03-DigitalToAnalogConverter/DigitalToAnalogConverter_test/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hds +NanoBlaze = $HDS_PROJECT_DIR/../../Libs/NanoBlaze/hds +NanoBlaze_test = $HDS_PROJECT_DIR/../../Libs/NanoBlaze_test/hds +RiscV = $HDS_PROJECT_DIR/../../Libs/RiscV/hds +RiscV_test = $HDS_PROJECT_DIR/../../Libs/RiscV_test/hds +SplineInterpolator = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator/hds +SplineInterpolator_test = $HDS_PROJECT_DIR/../../02-SplineInterpolator/SplineInterpolator_test/hds +std = $HDS_HOME/hdl_libs/std/hds +SystemOnChip = $HDS_PROJECT_DIR/../SystemOnChip/hds +SystemOnChip_test = $HDS_PROJECT_DIR/../SystemOnChip_test/hds +UVM = $HDS_PROJECT_DIR/../../Libs/UVM/hds +UVM_test = $HDS_PROJECT_DIR/../../Libs/UVM_test/hds +WaveformGenerator = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator/hds +WaveformGenerator_test = $HDS_PROJECT_DIR/../../01-WaveformGenerator/WaveformGenerator_test/hds +[hds_settings] +design_root = Board.SoC_ebs3(struct)@so@c_ebs3/struct.bd +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/shared.hdp b/06-07-08-09-SystemOnChip/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/hds_team_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/title_block.tmpl b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/hds_team_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/title_block.tmpl b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/hds_team_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/title_block.tmpl b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..8b550bd --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6103 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/06-07-08-09-SystemOnChip/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/06-07-08-09-SystemOnChip/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918--1+57" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SystemOnChip" +showingHierarchy 0 +openLibs [ +"SystemOnChip" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SystemOnChip_test" +showingHierarchy 0 +openLibs [ +"SystemOnChip_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "AhbLiteComponents" +showingHierarchy 0 +openLibs [ +"AhbLiteComponents" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "AhbLiteComponents_test" +showingHierarchy 0 +openLibs [ +"AhbLiteComponents_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 60 +yPos 37 +width 1066 +height 937 +activeSidePanelTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..5752b73 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/ahbUart.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/logs/HDS_log.txt b/06-07-08-09-SystemOnChip/Prefs/hds_user/logs/HDS_log.txt new file mode 100644 index 0000000..4c4644c --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/logs/HDS_log.txt @@ -0,0 +1,73 @@ +Application HDL Designer version 2019.2 (Build 5) +Created on 02/20/2020 13:36:10 +HDL Designer Series +HDL Designer +Version 2019.2 (Build 5) +Built on Sat Apr 20 2019 at 23:05 +Verilog parser dynamic library version 5.1.42.f ( 2019/04/20 21:28:10 ) +Running on Professional (Build 9200) + +Loading HDS Project file via Environment variable $HDS_LIBS C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds.hdp +Loading prefs env variable USER_HOME C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_user +Loading teamprefs env variable TEAM_HOME C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_team +Loading user Task files from 'C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_user\v2019.2\tasks'. +Loading team Task files from 'C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_team\v2019.2\tasks'. +The timezone environment variable is set : TZ=GMT-1:0 + +HDS_HOME is set to : C:\tools\eda\HDS + +Environment variables: +HDS_HOME set to C:\tools\eda\HDS +HDS_USER_HOME set to C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_user +HDS_TEAM_HOME set to C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds_team +HDS_LIBS set to C:\work\repo\edu\sem\labo\solution\sem_labs\06-07-08-09-SystemOnChip\Prefs\hds.hdp +HDS_PORT set to zell:51992 +10 events recorded: +Undo +Undo +Undo +Undo +Undo +Undo +ExecuteAction +Print +Print +ExecuteAction + +Traceback + +hdldesigner.exe caused an EXCEPTION_ACCESS_VIOLATION in module at 0023:6F6C6C61 +EAX=6F6C6C61 EBX=0291A7F0 ECX=142B6EB0 EDX=02FFEF64 ESI=00000000 +EDI=00000111 EBP=03C3F39C ESP=03C3F34C EIP=6F6C6C61 FLG=00210202 +CS=0023 DS=002B SS=002B ES=002B FS=0053 GS=002B +0x6F6C6C61 +0x01C2358B hdldesigner.exe +0x01C1E5B4 hdldesigner.exe +0x01D06A6D hdldesigner.exe +0x01939CB1 hdldesigner.exe +0x018F899B hdldesigner.exe +0x01CD5F69 hdldesigner.exe +0x01AFB193 hdldesigner.exe +0x00702F46 hdldesigner.exe +0x01CE7705 hdldesigner.exe +0x01A07AA9 hdldesigner.exe +0x01A0763F hdldesigner.exe +0x02797EC1 hdldesigner.exe +0x02818CBB hdldesigner.exe +0x027D60D0 hdldesigner.exe +0x0291A820 hdldesigner.exe +0x0004438B USER32.dll, AddClipboardFormatListener()+0075 byte(s) +0x00024F8C USER32.dll, CallWindowProcW()+2860 byte(s) +0x000240DE USER32.dll, DispatchMessageW()+0558 byte(s) +0x0003E7B0 USER32.dll, DispatchMessageA()+0016 byte(s) +0x027D4515 hdldesigner.exe +0x027D42CB hdldesigner.exe +0x0040469A hdldesigner.exe +0x00401BA6 hdldesigner.exe +0x0291B412 hdldesigner.exe +0x028DAD8F hdldesigner.exe +0x00016359 KERNEL32.DLL, BaseThreadInitThunk()+0025 byte(s) +0x00067B74 ntdll.dll, RtlGetAppContainerNamedObjectPath()+0228 byte(s) +0x00067B44 ntdll.dll, RtlGetAppContainerNamedObjectPath()+0180 byte(s) +End of traceback + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/hds_user_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/generate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/hds_user_prefs b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..28c9b8f --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6866 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\06-07-08-09-SystemOnChip\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\06-07-08-09-SystemOnChip\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\06-07-08-09-SystemOnChip\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "636x406+-823+276" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SystemOnChip" +showingHierarchy 0 +openLibs [ +"SystemOnChip" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "SystemOnChip_test" +showingHierarchy 0 +openLibs [ +"SystemOnChip_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "AhbLiteComponents" +showingHierarchy 0 +openLibs [ +"AhbLiteComponents" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "AhbLiteComponents_test" +showingHierarchy 0 +openLibs [ +"AhbLiteComponents_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/generate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..ba578c2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/beamerSoc.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bash b/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bat b/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/06-07-08-09-SystemOnChip/Scripts/cleanScratch.bat b/06-07-08-09-SystemOnChip/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/06-07-08-09-SystemOnChip/Scripts/generateSSHKey.bash b/06-07-08-09-SystemOnChip/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bash b/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bat b/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/06-07-08-09-SystemOnChip/Scripts/searchPaths.bat b/06-07-08-09-SystemOnChip/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/06-07-08-09-SystemOnChip/Scripts/trimLibs.pl b/06-07-08-09-SystemOnChip/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/06-07-08-09-SystemOnChip/Scripts/update_ise.pl b/06-07-08-09-SystemOnChip/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/06-07-08-09-SystemOnChip/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ commandHeader do + uartData := getSerialPortByte; + checksum := uartData; + { get packet id } + packetId := getSerialPortByte; + checksum := checksum + packetId; + { get command } + commandId := getSerialPortByte; + checksum := checksum + commandId; + { process known commands } + if (commandId = commandWriteMem) or (commandId = commandReadMem) then + begin + { get command length } + commandLength := getSerialPortByte; + checksum := checksum + commandLength; + { check command lengths } + if (commandId = commandWriteMem) and (commandLength <> commandWriteLength) then + getCommand := 1; + else if (commandId = commandReadMem) and (commandLength <> commandReadLength) then + getCommand := 1; + else + begin + { get address } + memoryAddress := getSerialPortByte; + checksum := checksum + memoryAddress; + memoryAddress := (memoryAddress shl 8) + getSerialPortByte; + checksum := checksum + memoryAddress; + { get data } + if commandId = commandReadMem then + begin + memoryData := getSerialPortByte; + checksum := checksum + memoryData; + memoryData := (memoryData shl 8) + getSerialPortByte; + checksum := checksum + memoryData; + end; + { get and verify checksum} + if getSerialPortByte = (checksum and $00FF) then + getCommand := 0; + else + getCommand := 1; + end; + end; + else + getCommand := 1; + end; + + {----------------------------------------------------------------------------} + { Send NACK } + {----------------------------------------------------------------------------} + function sendNegativeAcknowledge(packetId : uint8); + var + uartData: uint8; + checksum: word; + begin + { send packet header } + uartData := $AA; + sendSerialPort(uartData); + checksum := uartData; + { send packet id } + uartData := packetId; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send command id } + uartData := commandNack; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send packet length } + uartData := 0; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send checksum } + uartData := checksum and $00FF; + sendSerialPort(uartData); + end; + + {----------------------------------------------------------------------------} + { Send ACK } + {----------------------------------------------------------------------------} + function sendAcknowledge(packetId, commandId : uint8); + var + uartData: uint8; + checksum: word; + begin + { send packet header } + uartData := $AA; + sendSerialPort(uartData); + checksum := uartData; + { send packet id } + uartData := packetId; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send command id } + uartData := commandId; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send packet length } + uartData := 0; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send checksum } + uartData := checksum and $00FF; + sendSerialPort(uartData); + end; + + {----------------------------------------------------------------------------} + { Send READ_MEM reply } + {----------------------------------------------------------------------------} + function sendReadAnswer(packetId : uint8; memoryData: word); + var + uartData: uint8; + checksum: word; + begin + { send packet header } + uartData := $AA; + sendSerialPort(uartData); + checksum := uartData; + { send packet id } + uartData := packetId; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send command id } + uartData := commandReadMem; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send packet length } + uartData := 2; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send data low } + uartData := memoryData and $00FF; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send data high } + uartData := memoryData shr 8; + sendSerialPort(uartData); + checksum := checksum + uartData; + { send checksum } + uartData := checksum and $00FF; + sendSerialPort(uartData); + end; + +{==============================================================================} +{ Main program } +{==============================================================================} +begin + { initialize SoC registers } + initRegisters; + { main loop } + while true do begin + { get a new command } + errorId := getCommand(packetId, commandId, memoryAddress, memoryData); + { process command } + if errorId = 0 then + begin + { process write command } + if commandId = commandWriteMem then + begin + mem[memoryAddress] := memoryData; + sendAcknowledge(packetId, commandId); + end; + { process read command } + else if commandId = commandReadMem then + begin + memoryData := mem[memoryAddress]; + sendReadAnswer(packetId, memoryData); + end; + { reply to unknown command } + else + sendNegativeAcknowledge(packetId); + end; + { negative acknowledge on reception error } + else + sendNegativeAcknowledge(packetId); + end; +end. + +{ + ;--------------------------------------------------------------- + ; register definitions + ; s0, s1: used for INPUT and OUTPUT operations + ; S2: returns UART data byte + ; S3: uart protocol checksum + ; S4: uart protocol packet id + ; S5: uart protocol command id + ; S6: uart protocol address + ; S7: uart protocol data + ; S8: copy of UART data byte for debug + ;--------------------------------------------------------------- +} diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/beamer.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/beamer.vhd new file mode 100644 index 0000000..24fc8c5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/beamer.vhd @@ -0,0 +1,374 @@ +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- Beamer control + --=============================================================== + -- + ----------------------------------------------------------------- + -- register definitions + -- s0, s1: used for INPUT and OUTPUT operations + -- S2: returns UART data byte + -- S3: uart protocol checksum + -- S4: uart protocol packet id + -- S5: uart protocol command id + -- S6: uart protocol address + -- S7: uart protocol data + -- S8: copy of UART data byte for debug + ----------------------------------------------------------------- + -- + ----------------------------------------------------------------- + -- GPIO definitions + ----------------------------------------------------------------- + ----------------------------------------------------------------- + -- UART definitions + ----------------------------------------------------------------- + -- CONSTANT uartBaudCount, 023D ; 66E6 / 115 200 = 573 + -- CONSTANT uartpollDelay, 0100 + ----------------------------------------------------------------- + -- beamer peripheral definitions + ----------------------------------------------------------------- + -- CONSTANT beamerCtlInit, 1001 + -- + --=============================================================== + -- initializations + --=============================================================== + -- + ----------------------------------------------------------------- + -- initialize GPIO + ----------------------------------------------------------------- + 16#000# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#001# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#002# => opLoadC & "0001" & "0000000010101010", -- LOAD s1, AA + 16#003# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#004# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#005# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#006# => opLoadC & "0001" & "0000000000001111", -- LOAD s1, 0F + 16#007# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize UART + ----------------------------------------------------------------- + 16#008# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 + 16#009# => opAddC & "0000" & "0000000000000010", -- ADD s0, 0002 + 16#00A# => opLoadC & "0001" & "0000000001000010", -- LOAD s1, 0042 + 16#00B# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize beamer peripheral + ----------------------------------------------------------------- + 16#00C# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#00D# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#00E# => opLoadC & "0001" & "0000010000000001", -- LOAD s1, 0401 + 16#00F# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#010# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#011# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#012# => opLoadC & "0001" & "0000000000000100", -- LOAD s1, 0004 + 16#013# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + -- + --=============================================================== + -- Main loop + --=============================================================== + -- + ----------------------------------------------------------------- + -- Process commands from serial port + ----------------------------------------------------------------- + -- _main_: + 16#014# => brCall & brDo & "--------0000100001",-- CALL 021 ; get command from UART + 16#015# => opCompC & "0011" & "0000000000000000", -- COMPARE s3, 0000 ; check function return + 16#016# => brJump & brNZ & "--------0000011111",-- JUMP NZ, 01F + 16#017# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#018# => brJump & brNZ & "--------0000011100",-- JUMP NZ, 01C + 16#019# => opOutputR & "0111" & "0110------------", -- OUTPUT s7, (S6) ; write word to memory location + 16#01A# => brCall & brDo & "--------0001100000",-- CALL 060 ; send write acknowledge + 16#01B# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandRead_: + 16#01C# => opInputR & "0111" & "0110------------", -- INPUT s7, (S6) ; write word in memory location + 16#01D# => brCall & brDo & "--------0001101111",-- CALL 06F ; send back read data + 16#01E# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandAbort_: + 16#01F# => brCall & brDo & "--------0001010001",-- CALL 051 + 16#020# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- + --=============================================================== + -- Subroutines + --=============================================================== + -- + ----------------------------------------------------------------- + -- Get command from serial port + ----------------------------------------------------------------- + -- _uartGetCmd_: + 16#021# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command header + 16#022# => opCompC & "0010" & "0000000010101010", -- COMPARE s2, 00AA + 16#023# => brJump & brNZ & "--------0000100001",-- JUMP NZ, 021 ; loop until byte is AAh + 16#024# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#025# => brCall & brDo & "--------0010000110",-- CALL 086 ; get packet id + 16#026# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#027# => opLoadR & "0100" & "0010------------", -- LOAD s4, s2 ; store id for reply + 16#028# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command + 16#029# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#02A# => opCompC & "0010" & "0000000000000011", -- COMPARE s2, 0003 ; check for WRITE_MEM command + 16#02B# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02C# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; check for READ_MEM command + 16#02D# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02E# => brJump & brDo & "--------0001001111",-- JUMP 04F ; no match + -- _commandOk_: + 16#02F# => opLoadR & "0101" & "0010------------", -- LOAD s5, s2 ; store command for action + 16#030# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data length + 16#031# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#032# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#033# => brJump & brZ & "--------0000110111",-- JUMP Z, 037 ; go to test write command length + 16#034# => opCompC & "0010" & "0000000000000010", -- COMPARE s2, 0002 ; verify READ_MEM length + 16#035# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#036# => brJump & brDo & "--------0000111001",-- JUMP 039 + -- _testWrLength_: + 16#037# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; verify WRITE_MEM length + 16#038# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + -- _getAddress_: + 16#039# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address low + 16#03A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03B# => opLoadR & "0110" & "0010------------", -- LOAD s6, s2 ; store address low + 16#03C# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address high + 16#03D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03E# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#03F# => opAddR & "0110" & "0010------------", -- ADD s6, s2 ; build address from low and high + 16#040# => opCompC & "0101" & "0000000000000100", -- COMPARE s5, 0004 ; check for READ_MEM command + 16#041# => brJump & brZ & "--------0001001001",-- JUMP Z, 049 ; skip reading data word + 16#042# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data low + 16#043# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#044# => opLoadR & "0111" & "0010------------", -- LOAD s7, s2 ; store data low + 16#045# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data high + 16#046# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#047# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#048# => opAddR & "0111" & "0010------------", -- ADD s7, s2 ; build data from low and high + -- _getChecksum_: + 16#049# => brCall & brDo & "--------0010000110",-- CALL 086 ; get checksum + 16#04A# => opAndC & "0011" & "0000000011111111", -- AND s3, 00FF ; limit calculated checksum to 8 bit + 16#04B# => opCompR & "0011" & "0010------------", -- COMPARE s3, s2 ; test checksum + 16#04C# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#04D# => opLoadC & "0011" & "0000000000000000", -- LOAD s3, 0000 ; return OK + 16#04E# => brRet & brDo & "------------------",-- RETURN + -- _commandKo_: + 16#04F# => opLoadC & "0011" & "0000000000000001", -- LOAD s3, 0001 ; return KO + 16#050# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send NACK reply + ----------------------------------------------------------------- + -- _sendNAck_: + 16#051# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#052# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#053# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#054# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#055# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#056# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#057# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; negative Acknowledge + 16#058# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#059# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05A# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#05B# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#05C# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05D# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#05E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send WRITE_MEM reply + ----------------------------------------------------------------- + -- _sendWriteOk_: + 16#060# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#061# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#062# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#063# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#064# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#065# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#066# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#067# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#068# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#069# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#06A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#06B# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06C# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#06D# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06E# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send READ_MEM reply + ----------------------------------------------------------------- + -- _sendReadData_: + 16#06F# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#070# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#071# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#072# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#073# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#074# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#075# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#076# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#077# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#078# => opLoadC & "0010" & "0000000000000010", -- LOAD s2, 0002 ; packet length: 2 bytes + 16#079# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07A# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07B# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data low + 16#07C# => opAndC & "0010" & "0000000011111111", -- AND s2, 00FF ; keep low byte only + 16#07D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07F# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data high + 16#080# => brCall & brDo & "--------0010100101",-- CALL 0A5 ; shift MSBs down to LSBs + 16#081# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#082# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#083# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#084# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#085# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Get byte from serial port + ----------------------------------------------------------------- + -- _uartGetByte_: + 16#086# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#087# => opAddC & "0000" & "0000000000000001", -- ADD s0, 01 + --load s8, 0100 + -- _checkStat_: + 16#088# => opLoadC & "0010" & "0000000001000000", -- LOAD s2, 0040 ; add delay between bus reads + -- _delay0_: + 16#089# => opSubC & "0010" & "0000000000000001", -- SUB s2, 0001 + 16#08A# => brJump & brNZ & "--------0010001001",-- JUMP NZ, 089 + --sub s8, 0001 + --jump nz, continue + --load s2, 0035 + --call uartSendByte + --load s8, 0100 + -- _continue_: + 16#08B# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08C# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08D# => opTestC & "0001" & "0000000000000001", -- TEST s1, 0001 ; check "data ready" bit + 16#08E# => brJump & brZ & "--------0010001000",-- JUMP Z, 088 ; loop until bit is '1' + 16#08F# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART data register + 16#090# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + 16#091# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + --LOAD s8, s2 + 16#092# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Send byte to serial port + ----------------------------------------------------------------- + -- _uartSendByte_: + 16#093# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#094# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + -- _readStatus_: + 16#095# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#096# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#097# => opTestC & "0001" & "0000000000000010", -- TEST s1, 0002 ; check "sending data" bit + 16#098# => brJump & brZ & "--------0010011101",-- JUMP Z, 09D ; loop until bit is '1' + 16#099# => opLoadC & "0001" & "0000000001000000", -- LOAD s1, 0040 ; add delay between bus reads + -- _delay1_: + 16#09A# => opSubC & "0001" & "0000000000000001", -- SUB s1, 0001 + 16#09B# => brJump & brNZ & "--------0010011010",-- JUMP NZ, 09A + 16#09C# => brJump & brDo & "--------0010010101",-- JUMP 095 + -- _sendByte_: + 16#09D# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; write UART data register + 16#09E# => opOutputR & "0010" & "0000------------", -- OUTPUT s2, (S0) + 16#09F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the left + ----------------------------------------------------------------- + -- _shiftS2L8_: + 16#0A0# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftLeftLoop_: + 16#0A1# => opShRot & "0010" & shRotL & shRotLd0, -- SL0 s2 + 16#0A2# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A3# => brJump & brNZ & "--------0010100001",-- JUMP NZ, 0A1 + 16#0A4# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the right + ----------------------------------------------------------------- + -- _shiftS2R8_: + 16#0A5# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftRightLoop_: + 16#0A6# => opShRot & "0010" & shRotR & shRotLd0, -- SR0 s2 + 16#0A7# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A8# => brJump & brNZ & "--------0010100110",-- JUMP NZ, 0A6 + 16#0A9# => brRet & brDo & "------------------",-- RETURN + -- + --=============================================================== + -- End of instruction memory + --=============================================================== + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "--------1111111111",-- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMAddressCounter_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMAddressCounter_studentVersion.vhd new file mode 100644 index 0000000..f9eaeb8 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMAddressCounter_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF blockRAMAddressCounter IS +BEGIN + addr <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMControl_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMControl_studentVersion.vhd new file mode 100644 index 0000000..95ca788 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAMControl_studentVersion.vhd @@ -0,0 +1,6 @@ +ARCHITECTURE studentVersion OF blockRAMControl IS +BEGIN + cntIncr <= '0'; + memWr <= '0'; + memEn <= '0'; +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan2.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan2.vhd new file mode 100644 index 0000000..4de467b --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan2.vhd @@ -0,0 +1,29 @@ +ARCHITECTURE Spartan2 OF blockRAM IS + + subtype register_type is std_ulogic_vector(dataBitNb-1 downto 0); + type memory_type is array (0 to 2**addressBitNb-1) of register_type; + + signal memoryArray : memory_type; + +BEGIN + + portA: process(clock) + begin + if rising_edge(clock) then + if (en = '1') then + if (write = '1') then + memoryArray(to_integer(addr)) <= dataIn; + end if; + if reset = '1' then + dataOut <= (others => '0'); + elsif (write = '1') then + dataOut <= dataIn; + else + dataOut <= memoryArray(to_integer(addr)); + end if; + end if; + end if; + end process portA; + +END ARCHITECTURE Spartan2; + diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan3E.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan3E.vhd new file mode 100644 index 0000000..7b9e894 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/blockRAM_Spartan3E.vhd @@ -0,0 +1,44 @@ +USE std.textio.all; + +ARCHITECTURE Spartan3E OF blockRAM IS + + subtype registerType is std_ulogic_vector(dataBitNb-1 downto 0); + type memoryType is array (0 to 2**addressBitNb-1) of registerType; + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFileSpec : in string) return memoryType is + FILE ramContentFile : text open read_mode is ramContentFileSpec; + variable ramContentFileLine : line; + variable ramContent : memoryType; + variable ramCurrentWord : bit_vector(registerType'range); + variable index : natural := 0; --241; + begin + for index in ramContent'range loop +-- while not endfile(ramContentFile) loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramCurrentWord); + ramContent(index) := std_ulogic_vector(to_stdlogicvector(ramCurrentWord)); +-- index := index + 1; + end loop; + return ramContent; + end function; + + shared variable memoryArray: memoryType := ReadRamContentFromFile(initFileSpec); + +BEGIN + + portA: process(clock) + begin + if rising_edge(clock) then + if (en = '1') then + if (write = '1') then + memoryArray(to_integer(addr)) := dataIn; + dataOut <= dataIn; + else + dataOut <= memoryArray(to_integer(addr)); + end if; + end if; + end if; + end process portA; + +END ARCHITECTURE Spartan3E; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphAddressDecoder_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphAddressDecoder_studentVersion.vhd new file mode 100644 index 0000000..58b5a04 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphAddressDecoder_studentVersion.vhd @@ -0,0 +1,10 @@ +ARCHITECTURE studentVersion OF periphAddressDecoder IS +BEGIN + selControl <= '0'; +-- selSize <= '0'; + selSpeed <= '0'; + selX <= '0'; + selY <= '0'; + selZ <= '0'; +END ARCHITECTURE studentVersion; + diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphControlReg_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphControlReg_studentVersion.vhd new file mode 100644 index 0000000..73b4d1d --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphControlReg_studentVersion.vhd @@ -0,0 +1,6 @@ +ARCHITECTURE studentVersion OF periphControlReg IS +BEGIN + run <= '0'; + updatePattern <= '0'; + interpolateLinear <= '0'; +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSizeReg_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSizeReg_studentVersion.vhd new file mode 100644 index 0000000..0238147 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSizeReg_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF periphSizeReg IS +BEGIN + patternSize <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedController_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedController_studentVersion.vhd new file mode 100644 index 0000000..68a3938 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedController_studentVersion.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE studentVersion OF periphSpeedController IS +BEGIN + enableOut <= '0'; +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedReg_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedReg_studentVersion.vhd new file mode 100644 index 0000000..9efa810 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/periphSpeedReg_studentVersion.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE studentVersion OF periphSpeedReg IS +BEGIN + updatePeriod <= (others => '0'); +END ARCHITECTURE studentVersion; + diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/rom_mapped.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/rom_mapped.vhd new file mode 100644 index 0000000..24fc8c5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/rom_mapped.vhd @@ -0,0 +1,374 @@ +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- Beamer control + --=============================================================== + -- + ----------------------------------------------------------------- + -- register definitions + -- s0, s1: used for INPUT and OUTPUT operations + -- S2: returns UART data byte + -- S3: uart protocol checksum + -- S4: uart protocol packet id + -- S5: uart protocol command id + -- S6: uart protocol address + -- S7: uart protocol data + -- S8: copy of UART data byte for debug + ----------------------------------------------------------------- + -- + ----------------------------------------------------------------- + -- GPIO definitions + ----------------------------------------------------------------- + ----------------------------------------------------------------- + -- UART definitions + ----------------------------------------------------------------- + -- CONSTANT uartBaudCount, 023D ; 66E6 / 115 200 = 573 + -- CONSTANT uartpollDelay, 0100 + ----------------------------------------------------------------- + -- beamer peripheral definitions + ----------------------------------------------------------------- + -- CONSTANT beamerCtlInit, 1001 + -- + --=============================================================== + -- initializations + --=============================================================== + -- + ----------------------------------------------------------------- + -- initialize GPIO + ----------------------------------------------------------------- + 16#000# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#001# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#002# => opLoadC & "0001" & "0000000010101010", -- LOAD s1, AA + 16#003# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#004# => opLoadC & "0000" & "0000000000000000", -- LOAD s0, 0000 + 16#005# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#006# => opLoadC & "0001" & "0000000000001111", -- LOAD s1, 0F + 16#007# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize UART + ----------------------------------------------------------------- + 16#008# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 + 16#009# => opAddC & "0000" & "0000000000000010", -- ADD s0, 0002 + 16#00A# => opLoadC & "0001" & "0000000001000010", -- LOAD s1, 0042 + 16#00B# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + ----------------------------------------------------------------- + -- initialize beamer peripheral + ----------------------------------------------------------------- + 16#00C# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#00D# => opAddC & "0000" & "0000000000000000", -- ADD s0, 0000 + 16#00E# => opLoadC & "0001" & "0000010000000001", -- LOAD s1, 0401 + 16#00F# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + 16#010# => opLoadC & "0000" & "0000000000100000", -- LOAD s0, 0020 + 16#011# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + 16#012# => opLoadC & "0001" & "0000000000000100", -- LOAD s1, 0004 + 16#013# => opOutputR & "0001" & "0000------------", -- OUTPUT s1, (S0) + -- + --=============================================================== + -- Main loop + --=============================================================== + -- + ----------------------------------------------------------------- + -- Process commands from serial port + ----------------------------------------------------------------- + -- _main_: + 16#014# => brCall & brDo & "--------0000100001",-- CALL 021 ; get command from UART + 16#015# => opCompC & "0011" & "0000000000000000", -- COMPARE s3, 0000 ; check function return + 16#016# => brJump & brNZ & "--------0000011111",-- JUMP NZ, 01F + 16#017# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#018# => brJump & brNZ & "--------0000011100",-- JUMP NZ, 01C + 16#019# => opOutputR & "0111" & "0110------------", -- OUTPUT s7, (S6) ; write word to memory location + 16#01A# => brCall & brDo & "--------0001100000",-- CALL 060 ; send write acknowledge + 16#01B# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandRead_: + 16#01C# => opInputR & "0111" & "0110------------", -- INPUT s7, (S6) ; write word in memory location + 16#01D# => brCall & brDo & "--------0001101111",-- CALL 06F ; send back read data + 16#01E# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- _commandAbort_: + 16#01F# => brCall & brDo & "--------0001010001",-- CALL 051 + 16#020# => brJump & brDo & "--------0000010100",-- JUMP 014 + -- + --=============================================================== + -- Subroutines + --=============================================================== + -- + ----------------------------------------------------------------- + -- Get command from serial port + ----------------------------------------------------------------- + -- _uartGetCmd_: + 16#021# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command header + 16#022# => opCompC & "0010" & "0000000010101010", -- COMPARE s2, 00AA + 16#023# => brJump & brNZ & "--------0000100001",-- JUMP NZ, 021 ; loop until byte is AAh + 16#024# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#025# => brCall & brDo & "--------0010000110",-- CALL 086 ; get packet id + 16#026# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#027# => opLoadR & "0100" & "0010------------", -- LOAD s4, s2 ; store id for reply + 16#028# => brCall & brDo & "--------0010000110",-- CALL 086 ; get command + 16#029# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#02A# => opCompC & "0010" & "0000000000000011", -- COMPARE s2, 0003 ; check for WRITE_MEM command + 16#02B# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02C# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; check for READ_MEM command + 16#02D# => brJump & brZ & "--------0000101111",-- JUMP Z, 02F + 16#02E# => brJump & brDo & "--------0001001111",-- JUMP 04F ; no match + -- _commandOk_: + 16#02F# => opLoadR & "0101" & "0010------------", -- LOAD s5, s2 ; store command for action + 16#030# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data length + 16#031# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#032# => opCompC & "0101" & "0000000000000011", -- COMPARE s5, 0003 ; check for WRITE_MEM command + 16#033# => brJump & brZ & "--------0000110111",-- JUMP Z, 037 ; go to test write command length + 16#034# => opCompC & "0010" & "0000000000000010", -- COMPARE s2, 0002 ; verify READ_MEM length + 16#035# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#036# => brJump & brDo & "--------0000111001",-- JUMP 039 + -- _testWrLength_: + 16#037# => opCompC & "0010" & "0000000000000100", -- COMPARE s2, 0004 ; verify WRITE_MEM length + 16#038# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + -- _getAddress_: + 16#039# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address low + 16#03A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03B# => opLoadR & "0110" & "0010------------", -- LOAD s6, s2 ; store address low + 16#03C# => brCall & brDo & "--------0010000110",-- CALL 086 ; get address high + 16#03D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#03E# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#03F# => opAddR & "0110" & "0010------------", -- ADD s6, s2 ; build address from low and high + 16#040# => opCompC & "0101" & "0000000000000100", -- COMPARE s5, 0004 ; check for READ_MEM command + 16#041# => brJump & brZ & "--------0001001001",-- JUMP Z, 049 ; skip reading data word + 16#042# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data low + 16#043# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#044# => opLoadR & "0111" & "0010------------", -- LOAD s7, s2 ; store data low + 16#045# => brCall & brDo & "--------0010000110",-- CALL 086 ; get data high + 16#046# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#047# => brCall & brDo & "--------0010100000",-- CALL 0A0 + 16#048# => opAddR & "0111" & "0010------------", -- ADD s7, s2 ; build data from low and high + -- _getChecksum_: + 16#049# => brCall & brDo & "--------0010000110",-- CALL 086 ; get checksum + 16#04A# => opAndC & "0011" & "0000000011111111", -- AND s3, 00FF ; limit calculated checksum to 8 bit + 16#04B# => opCompR & "0011" & "0010------------", -- COMPARE s3, s2 ; test checksum + 16#04C# => brJump & brNZ & "--------0001001111",-- JUMP NZ, 04F + 16#04D# => opLoadC & "0011" & "0000000000000000", -- LOAD s3, 0000 ; return OK + 16#04E# => brRet & brDo & "------------------",-- RETURN + -- _commandKo_: + 16#04F# => opLoadC & "0011" & "0000000000000001", -- LOAD s3, 0001 ; return KO + 16#050# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send NACK reply + ----------------------------------------------------------------- + -- _sendNAck_: + 16#051# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#052# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#053# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#054# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#055# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#056# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#057# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; negative Acknowledge + 16#058# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#059# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05A# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#05B# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#05C# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05D# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#05E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#05F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send WRITE_MEM reply + ----------------------------------------------------------------- + -- _sendWriteOk_: + 16#060# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#061# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#062# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#063# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#064# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#065# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#066# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#067# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#068# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#069# => opLoadC & "0010" & "0000000000000000", -- LOAD s2, 0000 ; packet length: no data + 16#06A# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#06B# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06C# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#06D# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#06E# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- send READ_MEM reply + ----------------------------------------------------------------- + -- _sendReadData_: + 16#06F# => opLoadC & "0010" & "0000000010101010", -- LOAD s2, 00AA ; send header + 16#070# => opLoadR & "0011" & "0010------------", -- LOAD s3, s2 ; prepare checksum + 16#071# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#072# => opLoadR & "0010" & "0100------------", -- LOAD s2, s4 ; packet id + 16#073# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#074# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#075# => opLoadR & "0010" & "0101------------", -- LOAD s2, s5 ; received command + 16#076# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#077# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#078# => opLoadC & "0010" & "0000000000000010", -- LOAD s2, 0002 ; packet length: 2 bytes + 16#079# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07A# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07B# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data low + 16#07C# => opAndC & "0010" & "0000000011111111", -- AND s2, 00FF ; keep low byte only + 16#07D# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#07E# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#07F# => opLoadR & "0010" & "0111------------", -- LOAD s2, s7 ; data high + 16#080# => brCall & brDo & "--------0010100101",-- CALL 0A5 ; shift MSBs down to LSBs + 16#081# => opAddR & "0011" & "0010------------", -- ADD s3, s2 ; calculate checksum + 16#082# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#083# => opLoadR & "0010" & "0011------------", -- LOAD s2, s3 ; checksum + 16#084# => brCall & brDo & "--------0010010011",-- CALL 093 + 16#085# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Get byte from serial port + ----------------------------------------------------------------- + -- _uartGetByte_: + 16#086# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#087# => opAddC & "0000" & "0000000000000001", -- ADD s0, 01 + --load s8, 0100 + -- _checkStat_: + 16#088# => opLoadC & "0010" & "0000000001000000", -- LOAD s2, 0040 ; add delay between bus reads + -- _delay0_: + 16#089# => opSubC & "0010" & "0000000000000001", -- SUB s2, 0001 + 16#08A# => brJump & brNZ & "--------0010001001",-- JUMP NZ, 089 + --sub s8, 0001 + --jump nz, continue + --load s2, 0035 + --call uartSendByte + --load s8, 0100 + -- _continue_: + 16#08B# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08C# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#08D# => opTestC & "0001" & "0000000000000001", -- TEST s1, 0001 ; check "data ready" bit + 16#08E# => brJump & brZ & "--------0010001000",-- JUMP Z, 088 ; loop until bit is '1' + 16#08F# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART data register + 16#090# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + 16#091# => opInputR & "0010" & "0000------------", -- INPUT s2, (S0) + --LOAD s8, s2 + 16#092# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- Send byte to serial port + ----------------------------------------------------------------- + -- _uartSendByte_: + 16#093# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; read UART satus register + 16#094# => opAddC & "0000" & "0000000000000001", -- ADD s0, 0001 + -- _readStatus_: + 16#095# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#096# => opInputR & "0001" & "0000------------", -- INPUT s1, (S0) + 16#097# => opTestC & "0001" & "0000000000000010", -- TEST s1, 0002 ; check "sending data" bit + 16#098# => brJump & brZ & "--------0010011101",-- JUMP Z, 09D ; loop until bit is '1' + 16#099# => opLoadC & "0001" & "0000000001000000", -- LOAD s1, 0040 ; add delay between bus reads + -- _delay1_: + 16#09A# => opSubC & "0001" & "0000000000000001", -- SUB s1, 0001 + 16#09B# => brJump & brNZ & "--------0010011010",-- JUMP NZ, 09A + 16#09C# => brJump & brDo & "--------0010010101",-- JUMP 095 + -- _sendByte_: + 16#09D# => opLoadC & "0000" & "0000000000010000", -- LOAD s0, 0010 ; write UART data register + 16#09E# => opOutputR & "0010" & "0000------------", -- OUTPUT s2, (S0) + 16#09F# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the left + ----------------------------------------------------------------- + -- _shiftS2L8_: + 16#0A0# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftLeftLoop_: + 16#0A1# => opShRot & "0010" & shRotL & shRotLd0, -- SL0 s2 + 16#0A2# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A3# => brJump & brNZ & "--------0010100001",-- JUMP NZ, 0A1 + 16#0A4# => brRet & brDo & "------------------",-- RETURN + -- + ----------------------------------------------------------------- + -- shift s2 8 bits to the right + ----------------------------------------------------------------- + -- _shiftS2R8_: + 16#0A5# => opLoadC & "0000" & "0000000000001000", -- LOAD s0, 8 ; loop count + -- _shiftRightLoop_: + 16#0A6# => opShRot & "0010" & shRotR & shRotLd0, -- SR0 s2 + 16#0A7# => opSubC & "0000" & "0000000000000001", -- SUB s0, 0001 + 16#0A8# => brJump & brNZ & "--------0010100110",-- JUMP NZ, 0A6 + 16#0A9# => brRet & brDo & "------------------",-- RETURN + -- + --=============================================================== + -- End of instruction memory + --=============================================================== + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "--------1111111111",-- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hdl/sinCosTable_studentVersion.vhd b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/sinCosTable_studentVersion.vhd new file mode 100644 index 0000000..173267c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hdl/sinCosTable_studentVersion.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE studentVersion OF sinCosTable IS +BEGIN + sine <= (others => '0'); + cosine <= (others => '0'); +END ARCHITECTURE studentVersion; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamer_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeameroperator_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_ahbbeamerregisters_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamer.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamer.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamer.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_beamersoc_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMAddressCounter_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMAddressCounter_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMAddressCounter_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMControl_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMControl_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAMControl_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan2.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan2.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan2.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan3E.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan3E.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockRAM_Spartan3E.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramaddresscounter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_blockramcontrol_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphAddressDecoder_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphAddressDecoder_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphAddressDecoder_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphControlReg_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphControlReg_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphControlReg_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSizeReg_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSizeReg_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSizeReg_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedController_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedController_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedController_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedReg_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedReg_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphSpeedReg_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphaddressdecoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphcontrolreg_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedcontroller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_periphspeedreg_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_programrom_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_programrom_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_programrom_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_rom_mapped.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_rom_mapped.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_rom_mapped.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sinCosTable_studentVersion.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sinCosTable_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sinCosTable_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/.hdlsidedata/_sincostable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamer._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamer._epf new file mode 100644 index 0000000..85cc248 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamer._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom ahb@beamer/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerblanking._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerblanking._epf new file mode 100644 index 0000000..c6b8ef2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerblanking._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahb@beamer@blanking/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeameroperator._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeameroperator._epf new file mode 100644 index 0000000..4e4a27c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeameroperator._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahb@beamer@operator/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerregisters._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerregisters._epf new file mode 100644 index 0000000..96629ce --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_ahbbeamerregisters._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahb@beamer@registers/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiph._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiph._epf new file mode 100644 index 0000000..82aff67 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiph._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom beamer@periph/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphblanking._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphblanking._epf new file mode 100644 index 0000000..4254734 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphblanking._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom beamer@periph@blanking/struct.bd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphoperator._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphoperator._epf new file mode 100644 index 0000000..7886b47 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphoperator._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom beamer@periph@operator/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 0 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphregisters._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphregisters._epf new file mode 100644 index 0000000..82d8c6e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamerperiphregisters._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom beamer@periph@registers/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 0 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamersoc._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamersoc._epf new file mode 100644 index 0000000..8d18be3 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_beamersoc._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom beamer@soc/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockram._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockram._epf new file mode 100644 index 0000000..e8cefbe --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockram._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom Spartan3E +DEFAULT_FILE atom blockRAM_Spartan3E.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramaddresscounter._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramaddresscounter._epf new file mode 100644 index 0000000..03318ce --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramaddresscounter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom blockRAMAddressCounter_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramcontrol._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramcontrol._epf new file mode 100644 index 0000000..79021f5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_blockramcontrol._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom blockRAMControl_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphaddressdecoder._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphaddressdecoder._epf new file mode 100644 index 0000000..5427f48 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphaddressdecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom periphAddressDecoder_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphcontrolreg._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphcontrolreg._epf new file mode 100644 index 0000000..7cbdd9d --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphcontrolreg._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom periphControlReg_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphsizereg._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphsizereg._epf new file mode 100644 index 0000000..cdb6485 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphsizereg._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom periphSizeReg_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedcontroller._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedcontroller._epf new file mode 100644 index 0000000..97f3a4d --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedcontroller._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom periphSpeedController_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedreg._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedreg._epf new file mode 100644 index 0000000..5ee021b --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_periphspeedreg._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom periphSpeedReg_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_programrom._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_programrom._epf new file mode 100644 index 0000000..04f9d0f --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_programrom._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rom_mapped.vhd +DEFAULT_ARCHITECTURE atom mapped diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/_sincostable._epf b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_sincostable._epf new file mode 100644 index 0000000..7a46612 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/_sincostable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom sinCosTable_studentVersion.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/struct.bd new file mode 100644 index 0000000..eeb8d8b --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/struct.bd @@ -0,0 +1,5403 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_regs" +duLibraryName "SystemOnChip" +duName "ahbBeamerRegisters" +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +mwi 0 +uid 17506,0 +) +(Instance +name "I_op" +duLibraryName "SystemOnChip" +duName "ahbBeamerOperator" +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17559,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamer" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:00:20" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamer" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamer\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:00:20" +) +(vvPair +variable "unit" +value "ahbBeamer" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "102500,625,104000,1375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "102000,1000,102500,1000" +pts [ +"102000,1000" +"102500,1000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "105000,300,108100,1800" +st "outX" +blo "105000,1500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +) +xt "2000,-2000,15600,-800" +st "outX : std_ulogic" +) +) +*3 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "102500,2625,104000,3375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "102000,3000,102500,3000" +pts [ +"102000,3000" +"102500,3000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "105000,2300,108100,3800" +st "outY" +blo "105000,3500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +) +xt "2000,400,15600,1600" +st "outY : std_ulogic" +) +) +*5 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 90 +xt "102500,6625,104000,7375" +) +(Line +uid 5083,0 +sl 0 +ro 90 +xt "102000,7000,102500,7000" +pts [ +"102500,7000" +"102000,7000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "105000,6300,111500,7800" +st "selSinCos" +blo "105000,7500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +) +xt "2000,2800,16900,4000" +st "selSinCos : std_ulogic" +) +) +*7 (Net +uid 14974,0 +decl (Decl +n "reset" +t "std_ulogic" +o 15 +suid 75,0 +) +declText (MLText +uid 14975,0 +va (VaSet +) +xt "2000,19200,20100,20400" +st "SIGNAL reset : std_ulogic" +) +) +*8 (Net +uid 15201,0 +decl (Decl +n "run" +t "std_ulogic" +o 16 +suid 76,0 +) +declText (MLText +uid 15202,0 +va (VaSet +) +xt "2000,20400,19700,21600" +st "SIGNAL run : std_ulogic" +) +) +*9 (Net +uid 15207,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 17 +suid 77,0 +) +declText (MLText +uid 15208,0 +va (VaSet +) +xt "2000,21600,21700,22800" +st "SIGNAL interpolateLin : std_ulogic" +) +) +*10 (Net +uid 15213,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 18 +suid 78,0 +) +declText (MLText +uid 15214,0 +va (VaSet +) +xt "2000,22800,39700,24000" +st "SIGNAL updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*11 (Net +uid 15462,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 79,0 +) +declText (MLText +uid 15463,0 +va (VaSet +) +xt "2000,24000,39500,25200" +st "SIGNAL memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*12 (Net +uid 15468,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 80,0 +) +declText (MLText +uid 15469,0 +va (VaSet +) +xt "2000,25200,39500,26400" +st "SIGNAL memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*13 (Net +uid 15574,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 81,0 +) +declText (MLText +uid 15575,0 +va (VaSet +) +xt "2000,4000,31200,5200" +st "testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*14 (PortIoOut +uid 15582,0 +shape (CompositeShape +uid 15583,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15584,0 +sl 0 +ro 270 +xt "62500,-7375,64000,-6625" +) +(Line +uid 15585,0 +sl 0 +ro 270 +xt "62000,-7000,62500,-7000" +pts [ +"62000,-7000" +"62500,-7000" +] +) +] +) +tg (WTG +uid 15586,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15587,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "65000,-7700,82500,-6200" +st "testOut : (1 TO testOutBitNb)" +blo "65000,-6500" +tm "WireNameMgr" +) +) +) +*15 (HdlText +uid 16006,0 +optionalChildren [ +*16 (EmbeddedText +uid 16011,0 +commentText (CommentText +uid 16012,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 16013,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "62000,-22000,78000,-12000" +) +oxt "0,0,18000,5000" +text (MLText +uid 16014,0 +va (VaSet +) +xt "62200,-21800,77500,-12200" +st " +--process +--begin +-- newPolynom <= '0'; +-- for index in 1 to 2**4-1 loop +-- wait until rising_edge(clock); +-- end loop; +-- newPolynom <= '1'; +-- wait until rising_edge(clock); +--end process; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 16007,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "62000,-23000,78000,-11000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 16008,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*17 (Text +uid 16009,0 +va (VaSet +) +xt "62400,-11000,64000,-10000" +st "eb2" +blo "62400,-10200" +tm "HdlTextNameMgr" +) +*18 (Text +uid 16010,0 +va (VaSet +) +xt "62400,-10000,63200,-9000" +st "2" +blo "62400,-9200" +tm "HdlTextNumberMgr" +) +] +) +) +*19 (Net +uid 16103,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 21 +suid 83,0 +) +declText (MLText +uid 16104,0 +va (VaSet +) +xt "2000,26400,22200,27600" +st "SIGNAL newPolynom : std_ulogic" +) +) +*20 (PortIoIn +uid 16666,0 +shape (CompositeShape +uid 16667,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16668,0 +sl 0 +ro 270 +xt "36000,625,37500,1375" +) +(Line +uid 16669,0 +sl 0 +ro 270 +xt "37500,1000,38000,1000" +pts [ +"37500,1000" +"38000,1000" +] +) +] +) +tg (WTG +uid 16670,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16671,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "11900,500,35000,2000" +st "hAddr : (ahbAddressBitNb-1 downto 0)" +ju 2 +blo "35000,1700" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 16678,0 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 84,0 +) +declText (MLText +uid 16679,0 +va (VaSet +) +xt "2000,-800,31600,400" +st "hAddr : unsigned(ahbAddressBitNb-1 downto 0)" +) +) +*22 (PortIoIn +uid 16729,0 +shape (CompositeShape +uid 16730,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16731,0 +sl 0 +ro 270 +xt "36000,2625,37500,3375" +) +(Line +uid 16732,0 +sl 0 +ro 270 +xt "37500,3000,38000,3000" +pts [ +"37500,3000" +"38000,3000" +] +) +] +) +tg (WTG +uid 16733,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16734,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "12700,2500,35000,4000" +st "hWData : (ahbDataBitNb-1 downto 0)" +ju 2 +blo "35000,3700" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 16741,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 85,0 +) +declText (MLText +uid 16742,0 +va (VaSet +) +xt "2000,1600,34900,2800" +st "hWData : std_ulogic_vector(ahbDataBitNb-1 downto 0)" +) +) +*24 (PortIoOut +uid 16743,0 +shape (CompositeShape +uid 16744,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16745,0 +sl 0 +ro 90 +xt "36000,10625,37500,11375" +) +(Line +uid 16746,0 +sl 0 +ro 90 +xt "37500,11000,38000,11000" +pts [ +"38000,11000" +"37500,11000" +] +) +] +) +tg (WTG +uid 16747,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16748,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "12900,10300,35000,11800" +st "hRData : (ahbDataBitNb-1 downto 0)" +ju 2 +blo "35000,11500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 16755,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 86,0 +) +declText (MLText +uid 16756,0 +va (VaSet +) +xt "2000,5200,34700,6400" +st "hRData : std_ulogic_vector(ahbDataBitNb-1 downto 0)" +) +) +*26 (PortIoIn +uid 16757,0 +shape (CompositeShape +uid 16758,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16759,0 +sl 0 +ro 270 +xt "36000,4625,37500,5375" +) +(Line +uid 16760,0 +sl 0 +ro 270 +xt "37500,5000,38000,5000" +pts [ +"37500,5000" +"38000,5000" +] +) +] +) +tg (WTG +uid 16761,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16762,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "12600,4500,35000,6000" +st "hTrans : (ahbTransBitNb-1 downto 0)" +ju 2 +blo "35000,5700" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 16769,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 87,0 +) +declText (MLText +uid 16770,0 +va (VaSet +) +xt "2000,6400,35000,7600" +st "hTrans : std_ulogic_vector(ahbTransBitNb-1 downto 0)" +) +) +*28 (PortIoIn +uid 16771,0 +shape (CompositeShape +uid 16772,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16773,0 +sl 0 +ro 270 +xt "36000,6625,37500,7375" +) +(Line +uid 16774,0 +sl 0 +ro 270 +xt "37500,7000,38000,7000" +pts [ +"37500,7000" +"38000,7000" +] +) +] +) +tg (WTG +uid 16775,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16776,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "30800,6500,35000,8000" +st "hWrite" +ju 2 +blo "35000,7700" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 16783,0 +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 88,0 +) +declText (MLText +uid 16784,0 +va (VaSet +) +xt "2000,7600,15900,8800" +st "hWrite : std_ulogic" +) +) +*30 (PortIoIn +uid 16785,0 +shape (CompositeShape +uid 16786,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16787,0 +sl 0 +ro 270 +xt "36000,8625,37500,9375" +) +(Line +uid 16788,0 +sl 0 +ro 270 +xt "37500,9000,38000,9000" +pts [ +"37500,9000" +"38000,9000" +] +) +] +) +tg (WTG +uid 16789,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16790,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "31800,8500,35000,10000" +st "hSel" +ju 2 +blo "35000,9700" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 16797,0 +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 89,0 +) +declText (MLText +uid 16798,0 +va (VaSet +) +xt "2000,8800,15500,10000" +st "hSel : std_ulogic" +) +) +*32 (Net +uid 16811,0 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 90,0 +) +declText (MLText +uid 16812,0 +va (VaSet +) +xt "2000,10000,16400,11200" +st "hReady : std_ulogic" +) +) +*33 (Net +uid 16825,0 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 91,0 +) +declText (MLText +uid 16826,0 +va (VaSet +) +xt "2000,11200,16100,12400" +st "hResp : std_ulogic" +) +) +*34 (PortIoIn +uid 16933,0 +shape (CompositeShape +uid 16934,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16935,0 +sl 0 +ro 270 +xt "36000,18625,37500,19375" +) +(Line +uid 16936,0 +sl 0 +ro 270 +xt "37500,19000,38000,19000" +pts [ +"37500,19000" +"38000,19000" +] +) +] +) +tg (WTG +uid 16937,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16938,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "31800,18500,35000,20000" +st "hClk" +ju 2 +blo "35000,19700" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 16945,0 +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 92,0 +) +declText (MLText +uid 16946,0 +va (VaSet +) +xt "2000,12400,15600,13600" +st "hClk : std_ulogic" +) +) +*36 (PortIoIn +uid 16947,0 +shape (CompositeShape +uid 16948,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16949,0 +sl 0 +ro 270 +xt "36000,20625,37500,21375" +) +(Line +uid 16950,0 +sl 0 +ro 270 +xt "37500,21000,38000,21000" +pts [ +"37500,21000" +"38000,21000" +] +) +] +) +tg (WTG +uid 16951,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16952,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "28900,20500,35000,22000" +st "hReset_n" +ju 2 +blo "35000,21700" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 16959,0 +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 93,0 +) +declText (MLText +uid 16960,0 +va (VaSet +) +xt "2000,13600,16800,14800" +st "hReset_n : std_ulogic" +) +) +*38 (PortIoOut +uid 17020,0 +shape (CompositeShape +uid 17021,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 17022,0 +sl 0 +ro 90 +xt "36000,14625,37500,15375" +) +(Line +uid 17023,0 +sl 0 +ro 90 +xt "37500,15000,38000,15000" +pts [ +"38000,15000" +"37500,15000" +] +) +] +) +tg (WTG +uid 17024,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17025,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "30600,14300,35000,15800" +st "hResp" +ju 2 +blo "35000,15500" +tm "WireNameMgr" +) +) +) +*39 (PortIoOut +uid 17026,0 +shape (CompositeShape +uid 17027,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 17028,0 +sl 0 +ro 90 +xt "36000,12625,37500,13375" +) +(Line +uid 17029,0 +sl 0 +ro 90 +xt "37500,13000,38000,13000" +pts [ +"38000,13000" +"37500,13000" +] +) +] +) +tg (WTG +uid 17030,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17031,0 +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "30100,12300,35000,13800" +st "hReady" +ju 2 +blo "35000,13500" +tm "WireNameMgr" +) +) +) +*40 (HdlText +uid 17109,0 +optionalChildren [ +*41 (EmbeddedText +uid 17114,0 +commentText (CommentText +uid 17115,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 17116,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "66000,24000,74000,26000" +) +oxt "0,0,18000,5000" +text (MLText +uid 17117,0 +va (VaSet +) +xt "66200,24200,74100,25400" +st " +reset <= not hReset_n; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 8000 +) +) +) +] +shape (Rectangle +uid 17110,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "66000,23000,74000,27000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 17111,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 17112,0 +va (VaSet +) +xt "66400,27000,68000,28000" +st "eb3" +blo "66400,27800" +tm "HdlTextNameMgr" +) +*43 (Text +uid 17113,0 +va (VaSet +) +xt "66400,28000,67200,29000" +st "3" +blo "66400,28800" +tm "HdlTextNumberMgr" +) +] +) +) +*44 (SaComponent +uid 17506,0 +optionalChildren [ +*45 (CptPort +uid 17438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17439,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,18625,46000,19375" +) +tg (CPTG +uid 17440,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17441,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,18400,49400,19600" +st "hClk" +blo "47000,19300" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*46 (CptPort +uid 17442,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17443,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,625,46000,1375" +) +tg (CPTG +uid 17444,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17445,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,400,49900,1600" +st "hAddr" +blo "47000,1300" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 10 +suid 2,0 +) +) +) +*47 (CptPort +uid 17446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17447,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,10625,46000,11375" +) +tg (CPTG +uid 17448,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17449,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,10400,50700,11600" +st "hRData" +blo "47000,11300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 9 +suid 11,0 +) +) +) +*48 (CptPort +uid 17450,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17451,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53625,-3750,54375,-3000" +) +tg (CPTG +uid 17452,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17453,0 +va (VaSet +font "Arial,9,0" +) +xt "52000,-2000,55600,-800" +st "testOut" +blo "52000,-1100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 1 +suid 12,0 +) +) +) +*49 (CptPort +uid 17454,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17455,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,625,62750,1375" +) +tg (CPTG +uid 17456,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17457,0 +va (VaSet +font "Arial,9,0" +) +xt "59200,400,61000,1600" +st "run" +ju 2 +blo "61000,1300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +*50 (CptPort +uid 17458,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17459,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,4625,62750,5375" +) +tg (CPTG +uid 17460,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17461,0 +va (VaSet +font "Arial,9,0" +) +xt "54600,4400,61000,5600" +st "updatePeriod" +ju 2 +blo "61000,5300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 5 +suid 2015,0 +) +) +) +*51 (CptPort +uid 17462,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17463,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,8625,62750,9375" +) +tg (CPTG +uid 17464,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17465,0 +va (VaSet +font "Arial,9,0" +) +xt "57900,8400,61000,9600" +st "memX" +ju 2 +blo "61000,9300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2016,0 +) +) +) +*52 (CptPort +uid 17466,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17467,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,10625,62750,11375" +) +tg (CPTG +uid 17468,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17469,0 +va (VaSet +font "Arial,9,0" +) +xt "57700,10400,61000,11600" +st "memY" +ju 2 +blo "61000,11300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 2017,0 +) +) +) +*53 (CptPort +uid 17470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17471,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,2625,62750,3375" +) +tg (CPTG +uid 17472,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17473,0 +va (VaSet +font "Arial,9,0" +) +xt "54500,2400,61000,3600" +st "interpolateLin" +ju 2 +blo "61000,3300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +) +*54 (CptPort +uid 17474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,20625,46000,21375" +) +tg (CPTG +uid 17476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17477,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,20400,51500,21600" +st "hReset_n" +blo "47000,21300" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 11 +suid 2021,0 +) +) +) +*55 (CptPort +uid 17478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17479,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,6625,62750,7375" +) +tg (CPTG +uid 17480,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17481,0 +va (VaSet +font "Arial,9,0" +) +xt "54700,6400,61000,7600" +st "newPolynom" +ju 2 +blo "61000,7300" +) +) +thePort (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 7 +suid 2022,0 +) +) +) +*56 (CptPort +uid 17482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,2625,46000,3375" +) +tg (CPTG +uid 17484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17485,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,2400,50900,3600" +st "hWData" +blo "47000,3300" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 12 +suid 2023,0 +) +) +) +*57 (CptPort +uid 17486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,4625,46000,5375" +) +tg (CPTG +uid 17488,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17489,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,4400,50300,5600" +st "hTrans" +blo "47000,5300" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 13 +suid 2024,0 +) +) +) +*58 (CptPort +uid 17490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,6625,46000,7375" +) +tg (CPTG +uid 17492,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17493,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,6400,50200,7600" +st "hWrite" +blo "47000,7300" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +) +*59 (CptPort +uid 17494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,8625,46000,9375" +) +tg (CPTG +uid 17496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17497,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,8400,49300,9600" +st "hSel" +blo "47000,9300" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 15 +suid 2026,0 +) +) +) +*60 (CptPort +uid 17498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17499,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,12625,46000,13375" +) +tg (CPTG +uid 17500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17501,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,12400,50800,13600" +st "hReady" +blo "47000,13300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 16 +suid 2027,0 +) +) +) +*61 (CptPort +uid 17502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17503,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,14625,46000,15375" +) +tg (CPTG +uid 17504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17505,0 +va (VaSet +font "Arial,9,0" +) +xt "47000,14400,50200,15600" +st "hResp" +blo "47000,15300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 17 +suid 2028,0 +) +) +) +] +shape (Rectangle +uid 17507,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,-3000,62000,23000" +) +oxt "44000,4000,60000,30000" +ttg (MlTextGroup +uid 17508,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 17509,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,22800,54300,23900" +st "SystemOnChip" +blo "46600,23700" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 17510,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,23700,57000,24800" +st "ahbBeamerRegisters" +blo "46600,24600" +tm "CptNameMgr" +) +*64 (Text +uid 17511,0 +va (VaSet +font "Arial,9,1" +) +xt "46600,24600,49900,25700" +st "I_regs" +blo "46600,25500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17512,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17513,0 +text (MLText +uid 17514,0 +va (VaSet +) +xt "46000,26600,78500,31400" +st "updatePeriodBitNb = updatePeriodBitNb ( positive ) +signalBitNb = signalBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 17559,0 +optionalChildren [ +*66 (CptPort +uid 17515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,14625,78000,15375" +) +tg (CPTG +uid 17517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17518,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,14400,81700,15600" +st "clock" +blo "79000,15300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 17519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,625,78000,1375" +) +tg (CPTG +uid 17521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17522,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,400,80800,1600" +st "run" +blo "79000,1300" +) +) +thePort (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 17523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,625,94750,1375" +) +tg (CPTG +uid 17525,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17526,0 +va (VaSet +font "Arial,9,0" +) +xt "90701,400,93001,1600" +st "outX" +ju 2 +blo "93001,1300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 17527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,16625,78000,17375" +) +tg (CPTG +uid 17529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17530,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,16400,81600,17600" +st "reset" +blo "79000,17300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 17531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,2625,94750,3375" +) +tg (CPTG +uid 17533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17534,0 +va (VaSet +font "Arial,9,0" +) +xt "90501,2400,93001,3600" +st "outY" +ju 2 +blo "93001,3300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 17535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17536,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,6625,94750,7375" +) +tg (CPTG +uid 17537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17538,0 +va (VaSet +font "Arial,9,0" +) +xt "88301,6400,93001,7600" +st "selSinCos" +ju 2 +blo "93001,7300" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +) +*72 (CptPort +uid 17539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,2625,78000,3375" +) +tg (CPTG +uid 17541,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17542,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,2400,85500,3600" +st "interpolateLin" +blo "79000,3300" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*73 (CptPort +uid 17543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,4625,78000,5375" +) +tg (CPTG +uid 17545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17546,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,4400,85400,5600" +st "updatePeriod" +blo "79000,5300" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*74 (CptPort +uid 17547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,8625,78000,9375" +) +tg (CPTG +uid 17549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17550,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,8400,82100,9600" +st "memX" +blo "79000,9300" +) +) +thePort (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +) +*75 (CptPort +uid 17551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,10625,78000,11375" +) +tg (CPTG +uid 17553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17554,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,10400,82300,11600" +st "memY" +blo "79000,11300" +) +) +thePort (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +) +*76 (CptPort +uid 17555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17556,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,6625,78000,7375" +) +tg (CPTG +uid 17557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17558,0 +va (VaSet +font "Arial,9,0" +) +xt "79000,6400,85300,7600" +st "newPolynom" +blo "79000,7300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 17560,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,-3000,94000,19000" +) +oxt "42000,9000,58000,31000" +ttg (MlTextGroup +uid 17561,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 17562,0 +va (VaSet +font "Arial,9,1" +) +xt "78600,18800,86300,19900" +st "SystemOnChip" +blo "78600,19700" +tm "BdLibraryNameMgr" +) +*78 (Text +uid 17563,0 +va (VaSet +font "Arial,9,1" +) +xt "78600,19700,88700,20800" +st "ahbBeamerOperator" +blo "78600,20600" +tm "CptNameMgr" +) +*79 (Text +uid 17564,0 +va (VaSet +font "Arial,9,1" +) +xt "78600,20600,81100,21700" +st "I_op" +blo "78600,21500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17565,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17566,0 +text (MLText +uid 17567,0 +va (VaSet +) +xt "78000,22600,108100,25000" +st "updatePeriodBitNb = updatePeriodBitNb ( positive ) +signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*80 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "94750,1000,102000,1000" +pts [ +"94750,1000" +"102000,1000" +] +) +start &68 +end &1 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Arial,12,0" +) +xt "99000,-400,102100,1100" +st "outX" +blo "99000,800" +tm "WireNameMgr" +) +) +on &2 +) +*81 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "94750,3000,102000,3000" +pts [ +"94750,3000" +"102000,3000" +] +) +start &70 +end &3 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Arial,12,0" +) +xt "99000,1600,102100,3100" +st "outY" +blo "99000,2800" +tm "WireNameMgr" +) +) +on &4 +) +*82 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "94750,7000,102000,7000" +pts [ +"102000,7000" +"94750,7000" +] +) +start &5 +end &71 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Arial,12,0" +) +xt "97000,5600,103500,7100" +st "selSinCos" +blo "97000,6800" +tm "WireNameMgr" +) +) +on &6 +) +*83 (Wire +uid 15203,0 +shape (OrthoPolyLine +uid 15204,0 +va (VaSet +vasetType 3 +) +xt "62750,1000,77250,1000" +pts [ +"62750,1000" +"77250,1000" +] +) +start &49 +end &67 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15206,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,-400,67250,1100" +st "run" +blo "64750,800" +tm "WireNameMgr" +) +) +on &8 +) +*84 (Wire +uid 15209,0 +shape (OrthoPolyLine +uid 15210,0 +va (VaSet +vasetType 3 +) +xt "62750,3000,77250,3000" +pts [ +"62750,3000" +"77250,3000" +] +) +start &53 +end &72 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15212,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,1600,73650,3100" +st "interpolateLin" +blo "64750,2800" +tm "WireNameMgr" +) +) +on &9 +) +*85 (Wire +uid 15215,0 +shape (OrthoPolyLine +uid 15216,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,5000,77250,5000" +pts [ +"62750,5000" +"77250,5000" +] +) +start &50 +end &73 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15218,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,3600,73550,5100" +st "updatePeriod" +blo "64750,4800" +tm "WireNameMgr" +) +) +on &10 +) +*86 (Wire +uid 15464,0 +shape (OrthoPolyLine +uid 15465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,9000,77250,9000" +pts [ +"62750,9000" +"77250,9000" +] +) +start &51 +end &74 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15467,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,7600,69050,9100" +st "memX" +blo "64750,8800" +tm "WireNameMgr" +) +) +on &11 +) +*87 (Wire +uid 15470,0 +shape (OrthoPolyLine +uid 15471,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,11000,77250,11000" +pts [ +"62750,11000" +"77250,11000" +] +) +start &52 +end &75 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15472,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15473,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,9600,69050,11100" +st "memY" +blo "64750,10800" +tm "WireNameMgr" +) +) +on &12 +) +*88 (Wire +uid 15576,0 +shape (OrthoPolyLine +uid 15577,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54000,-7000,62000,-3750" +pts [ +"54000,-3750" +"54000,-7000" +"62000,-7000" +] +) +start &48 +end &14 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15581,0 +va (VaSet +font "Arial,12,0" +) +xt "57000,-8400,61600,-6900" +st "testOut" +blo "57000,-7200" +tm "WireNameMgr" +) +) +on &13 +) +*89 (Wire +uid 16105,0 +shape (OrthoPolyLine +uid 16106,0 +va (VaSet +vasetType 3 +) +xt "62750,7000,77250,7000" +pts [ +"62750,7000" +"77250,7000" +] +) +start &55 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16107,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16108,0 +va (VaSet +font "Arial,12,0" +) +xt "64750,5600,73250,7100" +st "newPolynom" +blo "64750,6800" +tm "WireNameMgr" +) +) +on &19 +) +*90 (Wire +uid 16672,0 +shape (OrthoPolyLine +uid 16673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,1000,45250,1000" +pts [ +"38000,1000" +"45250,1000" +] +) +start &20 +end &46 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16676,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16677,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,-400,41900,1100" +st "hAddr" +blo "38000,800" +tm "WireNameMgr" +) +) +on &21 +) +*91 (Wire +uid 16735,0 +shape (OrthoPolyLine +uid 16736,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,3000,45250,3000" +pts [ +"38000,3000" +"45250,3000" +] +) +start &22 +end &56 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16739,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16740,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,1600,43100,3100" +st "hWData" +blo "38000,2800" +tm "WireNameMgr" +) +) +on &23 +) +*92 (Wire +uid 16749,0 +shape (OrthoPolyLine +uid 16750,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,11000,45250,11000" +pts [ +"45250,11000" +"38000,11000" +] +) +start &47 +end &24 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16753,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16754,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,9600,42900,11100" +st "hRData" +blo "38000,10800" +tm "WireNameMgr" +) +) +on &25 +) +*93 (Wire +uid 16763,0 +shape (OrthoPolyLine +uid 16764,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,5000,45250,5000" +pts [ +"38000,5000" +"45250,5000" +] +) +start &26 +end &57 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16768,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,3600,42600,5100" +st "hTrans" +blo "38000,4800" +tm "WireNameMgr" +) +) +on &27 +) +*94 (Wire +uid 16777,0 +shape (OrthoPolyLine +uid 16778,0 +va (VaSet +vasetType 3 +) +xt "38000,7000,45250,7000" +pts [ +"38000,7000" +"45250,7000" +] +) +start &28 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16781,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16782,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,5600,42200,7100" +st "hWrite" +blo "38000,6800" +tm "WireNameMgr" +) +) +on &29 +) +*95 (Wire +uid 16791,0 +shape (OrthoPolyLine +uid 16792,0 +va (VaSet +vasetType 3 +) +xt "38000,9000,45250,9000" +pts [ +"38000,9000" +"45250,9000" +] +) +start &30 +end &59 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16796,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,7600,41200,9100" +st "hSel" +blo "38000,8800" +tm "WireNameMgr" +) +) +on &31 +) +*96 (Wire +uid 16805,0 +shape (OrthoPolyLine +uid 16806,0 +va (VaSet +vasetType 3 +) +xt "38000,13000,45250,13000" +pts [ +"38000,13000" +"45250,13000" +] +) +start &39 +end &60 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16809,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16810,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,11600,42900,13100" +st "hReady" +blo "38000,12800" +tm "WireNameMgr" +) +) +on &32 +) +*97 (Wire +uid 16819,0 +shape (OrthoPolyLine +uid 16820,0 +va (VaSet +vasetType 3 +) +xt "38000,15000,45250,15000" +pts [ +"38000,15000" +"45250,15000" +] +) +start &38 +end &61 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16824,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,13600,42400,15100" +st "hResp" +blo "38000,14800" +tm "WireNameMgr" +) +) +on &33 +) +*98 (Wire +uid 16939,0 +shape (OrthoPolyLine +uid 16940,0 +va (VaSet +vasetType 3 +) +xt "38000,19000,45250,19000" +pts [ +"38000,19000" +"45250,19000" +] +) +start &34 +end &45 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16943,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16944,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,17600,41200,19100" +st "hClk" +blo "38000,18800" +tm "WireNameMgr" +) +) +on &35 +) +*99 (Wire +uid 16953,0 +shape (OrthoPolyLine +uid 16954,0 +va (VaSet +vasetType 3 +) +xt "38000,21000,45250,21000" +pts [ +"38000,21000" +"45250,21000" +] +) +start &36 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16957,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16958,0 +va (VaSet +font "Arial,12,0" +) +xt "38000,19600,44100,21100" +st "hReset_n" +blo "38000,20800" +tm "WireNameMgr" +) +) +on &37 +) +*100 (Wire +uid 17118,0 +shape (OrthoPolyLine +uid 17119,0 +va (VaSet +vasetType 3 +) +xt "58000,25000,66000,25000" +pts [ +"58000,25000" +"66000,25000" +] +) +end &40 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17124,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17125,0 +va (VaSet +font "Arial,12,0" +) +xt "58000,23600,64100,25100" +st "hReset_n" +blo "58000,24800" +tm "WireNameMgr" +) +) +on &37 +) +*101 (Wire +uid 17126,0 +shape (OrthoPolyLine +uid 17127,0 +va (VaSet +vasetType 3 +) +xt "74000,17000,77250,25000" +pts [ +"77250,17000" +"76000,17000" +"76000,25000" +"74000,25000" +] +) +start &69 +end &40 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17132,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17133,0 +va (VaSet +font "Arial,12,0" +) +xt "73000,15600,76500,17100" +st "reset" +blo "73000,16800" +tm "WireNameMgr" +) +) +on &7 +) +*102 (Wire +uid 17242,0 +shape (OrthoPolyLine +uid 17243,0 +va (VaSet +vasetType 3 +) +xt "74000,15000,77250,15000" +pts [ +"74000,15000" +"77250,15000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17248,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17249,0 +va (VaSet +font "Arial,12,0" +) +xt "74000,13600,77200,15100" +st "hClk" +blo "74000,14800" +tm "WireNameMgr" +) +) +on &35 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *103 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 43,0 +va (VaSet +font "Arial,8,1" +) +xt "0,-21000,5400,-20000" +st "Package List" +blo "0,-20200" +) +*105 (MLText +uid 44,0 +va (VaSet +) +xt "0,-20000,17500,-14000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*107 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*108 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*109 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*110 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*111 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*112 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1581,-24668,109793,35844" +cachedDiagramExtent "0,-23000,111500,31400" +pageSetupInfo (PageSetupInfo +ptrCmd "priPrinter,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-25000" +lastUid 17691,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*114 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*115 (Text +va (VaSet +font "Arial,9,0" +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*117 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*118 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*120 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*121 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*123 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*124 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*126 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*127 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*129 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Arial,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Arial,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*131 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*133 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,-4000,5400,-3000" +st "Declarations" +blo "0,-3200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,-3000,2700,-2000" +st "Ports:" +blo "0,-2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,14800,3800,15800" +st "Pre User:" +blo "0,15600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "2000,15800,27400,18200" +st "constant signalBitNb: positive := 16; +constant updatePeriodBitNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,18200,7100,19200" +st "Diagram Signals:" +blo "0,19000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,-4000,4700,-3000" +st "Post User:" +blo "0,-3200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "0,-4000,0,-4000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 93,0 +usingSuid 1 +emptyRow *134 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*135 (RefLabelRowHdr +) +*136 (TitleRowHdr +) +*137 (FilterRowHdr +) +*138 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*139 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*140 (GroupColHdr +tm "GroupColHdrMgr" +) +*141 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*142 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*143 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*144 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*145 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*146 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*147 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 10639,0 +) +*148 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 10641,0 +) +*149 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 62,0 +) +) +uid 10755,0 +) +*150 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 15 +suid 75,0 +) +) +uid 14982,0 +) +*151 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "run" +t "std_ulogic" +o 16 +suid 76,0 +) +) +uid 15219,0 +) +*152 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 17 +suid 77,0 +) +) +uid 15221,0 +) +*153 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 18 +suid 78,0 +) +) +uid 15223,0 +) +*154 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 19 +suid 79,0 +) +) +uid 15474,0 +) +*155 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 20 +suid 80,0 +) +) +uid 15476,0 +) +*156 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 81,0 +) +) +uid 15588,0 +) +*157 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 21 +suid 83,0 +) +) +uid 16109,0 +) +*158 (LeafLogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 84,0 +) +) +uid 16665,0 +) +*159 (LeafLogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 85,0 +) +) +uid 16716,0 +) +*160 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 86,0 +) +) +uid 16718,0 +) +*161 (LeafLogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 87,0 +) +) +uid 16720,0 +) +*162 (LeafLogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 88,0 +) +) +uid 16722,0 +) +*163 (LeafLogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 89,0 +) +) +uid 16724,0 +) +*164 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 90,0 +) +) +uid 16726,0 +) +*165 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 91,0 +) +) +uid 16728,0 +) +*166 (LeafLogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 92,0 +) +) +uid 16930,0 +) +*167 (LeafLogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 93,0 +) +) +uid 16932,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*168 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *169 (MRCItem +litem &134 +pos 21 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*170 (MRCItem +litem &135 +pos 0 +dimension 20 +uid 10790,0 +) +*171 (MRCItem +litem &136 +pos 1 +dimension 23 +uid 10791,0 +) +*172 (MRCItem +litem &137 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*173 (MRCItem +litem &147 +pos 1 +dimension 20 +uid 10640,0 +) +*174 (MRCItem +litem &148 +pos 2 +dimension 20 +uid 10642,0 +) +*175 (MRCItem +litem &149 +pos 5 +dimension 20 +uid 10756,0 +) +*176 (MRCItem +litem &150 +pos 14 +dimension 20 +uid 14983,0 +) +*177 (MRCItem +litem &151 +pos 15 +dimension 20 +uid 15220,0 +) +*178 (MRCItem +litem &152 +pos 16 +dimension 20 +uid 15222,0 +) +*179 (MRCItem +litem &153 +pos 17 +dimension 20 +uid 15224,0 +) +*180 (MRCItem +litem &154 +pos 18 +dimension 20 +uid 15475,0 +) +*181 (MRCItem +litem &155 +pos 19 +dimension 20 +uid 15477,0 +) +*182 (MRCItem +litem &156 +pos 7 +dimension 20 +uid 15589,0 +) +*183 (MRCItem +litem &157 +pos 20 +dimension 20 +uid 16110,0 +) +*184 (MRCItem +litem &158 +pos 0 +dimension 20 +uid 16664,0 +) +*185 (MRCItem +litem &159 +pos 4 +dimension 20 +uid 16715,0 +) +*186 (MRCItem +litem &160 +pos 8 +dimension 20 +uid 16717,0 +) +*187 (MRCItem +litem &161 +pos 9 +dimension 20 +uid 16719,0 +) +*188 (MRCItem +litem &162 +pos 10 +dimension 20 +uid 16721,0 +) +*189 (MRCItem +litem &163 +pos 11 +dimension 20 +uid 16723,0 +) +*190 (MRCItem +litem &164 +pos 12 +dimension 20 +uid 16725,0 +) +*191 (MRCItem +litem &165 +pos 13 +dimension 20 +uid 16727,0 +) +*192 (MRCItem +litem &166 +pos 6 +dimension 20 +uid 16929,0 +) +*193 (MRCItem +litem &167 +pos 3 +dimension 20 +uid 16931,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*194 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 10794,0 +) +*195 (MRCItem +litem &140 +pos 1 +dimension 50 +uid 10795,0 +) +*196 (MRCItem +litem &141 +pos 2 +dimension 100 +uid 10796,0 +) +*197 (MRCItem +litem &142 +pos 3 +dimension 50 +uid 10797,0 +) +*198 (MRCItem +litem &143 +pos 4 +dimension 100 +uid 10798,0 +) +*199 (MRCItem +litem &144 +pos 5 +dimension 100 +uid 10799,0 +) +*200 (MRCItem +litem &145 +pos 6 +dimension 50 +uid 10800,0 +) +*201 (MRCItem +litem &146 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *202 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*203 (RefLabelRowHdr +) +*204 (TitleRowHdr +) +*205 (FilterRowHdr +) +*206 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*207 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*208 (GroupColHdr +tm "GroupColHdrMgr" +) +*209 (NameColHdr +tm "GenericNameColHdrMgr" +) +*210 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*211 (InitColHdr +tm "GenericValueColHdrMgr" +) +*212 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*213 (EolColHdr +tm "GenericEolColHdrMgr" +) +*214 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 12900,0 +) +*215 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +uid 17313,0 +) +] +) +pdm (PhysicalDM +uid 10815,0 +optionalChildren [ +*216 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *217 (MRCItem +litem &202 +pos 2 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*218 (MRCItem +litem &203 +pos 0 +dimension 20 +uid 10818,0 +) +*219 (MRCItem +litem &204 +pos 1 +dimension 23 +uid 10819,0 +) +*220 (MRCItem +litem &205 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*221 (MRCItem +litem &214 +pos 1 +dimension 20 +uid 12899,0 +) +*222 (MRCItem +litem &215 +pos 0 +dimension 20 +uid 17312,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*223 (MRCItem +litem &206 +pos 0 +dimension 20 +uid 10822,0 +) +*224 (MRCItem +litem &208 +pos 1 +dimension 50 +uid 10823,0 +) +*225 (MRCItem +litem &209 +pos 2 +dimension 100 +uid 10824,0 +) +*226 (MRCItem +litem &210 +pos 3 +dimension 100 +uid 10825,0 +) +*227 (MRCItem +litem &211 +pos 4 +dimension 50 +uid 10826,0 +) +*228 (MRCItem +litem &212 +pos 5 +dimension 50 +uid 10827,0 +) +*229 (MRCItem +litem &213 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/symbol.sb new file mode 100644 index 0000000..9690a0f --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer/symbol.sb @@ -0,0 +1,2247 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2020,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 203,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +uid 204,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 1,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 11,0 +) +) +uid 207,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 2,0 +) +) +uid 209,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 210,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 4,0 +) +) +uid 211,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 2014,0 +) +) +uid 548,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 2015,0 +) +) +uid 745,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 2016,0 +) +) +uid 747,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 2017,0 +) +) +uid 749,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 2018,0 +) +) +uid 751,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +uid 753,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 2020,0 +) +) +uid 755,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 132,0 +optionalChildren [ +*30 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 135,0 +) +*31 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 137,0 +) +*32 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*33 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 159,0 +) +*34 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 160,0 +) +*35 (MRCItem +litem &4 +pos 6 +dimension 20 +uid 162,0 +) +*36 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 163,0 +) +*37 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 165,0 +) +*38 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 166,0 +) +*39 (MRCItem +litem &8 +pos 3 +dimension 20 +uid 167,0 +) +*40 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 547,0 +) +*41 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 746,0 +) +*42 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 748,0 +) +*43 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 750,0 +) +*44 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 752,0 +) +*45 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 754,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 756,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*47 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 141,0 +) +*48 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 145,0 +) +*49 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 147,0 +) +*50 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 149,0 +) +*51 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 151,0 +) +*52 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 153,0 +) +*53 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 155,0 +) +*54 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +*67 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*68 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +uid 476,0 +) +] +) +pdm (PhysicalDM +uid 218,0 +optionalChildren [ +*69 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *70 (MRCItem +litem &55 +pos 2 +dimension 20 +) +uid 172,0 +optionalChildren [ +*71 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 175,0 +) +*72 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 177,0 +) +*73 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*74 (MRCItem +litem &67 +pos 1 +dimension 20 +uid 199,0 +) +*75 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 477,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*76 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 181,0 +) +*77 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 185,0 +) +*78 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 187,0 +) +*79 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 189,0 +) +*80 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 191,0 +) +*81 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 193,0 +) +*82 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamer" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:22" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamer" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:22" +) +(vvPair +variable "unit" +value "ahbBeamer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,27625,43000,28375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "44000,27400,46000,28300" +st "hClk" +blo "44000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20200,18500,21100" +st "hClk : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 1,0 +) +) +) +*85 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,9625,43000,10375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "44000,9400,46500,10300" +st "hAddr" +blo "44000,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,31500,11200" +st "hAddr : IN unsigned (ahbAddressBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 2,0 +) +) +) +*86 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,9625,59750,10375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "56001,9400,58001,10300" +st "outX" +ju 2 +blo "58001,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,18500,10300" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*87 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,29625,43000,30375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "44000,29400,48000,30300" +st "hReset_n" +blo "44000,30100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21100,17500,22000" +st "hReset_n : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 4,0 +) +) +) +*88 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,11625,59750,12375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "56001,11400,58001,12300" +st "outY" +ju 2 +blo "58001,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,18500,12100" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*89 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 780,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,11625,43000,12375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "44000,11400,47000,12300" +st "hWData" +blo "44000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,34500,13000" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 11,0 +) +) +) +*90 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,15625,59750,16375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "53501,15400,58001,16300" +st "selSinCos" +ju 2 +blo "58001,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,18500,13900" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*91 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,27625,59750,28375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "courier,9,0" +) +xt "54500,27400,58000,28300" +st "testOut" +ju 2 +blo "58000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,31500,14800" +st "testOut : OUT std_ulogic_vector (1 TO testOutBitNb) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 2014,0 +) +) +) +*92 (CptPort +uid 715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 716,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,19625,43000,20375" +) +tg (CPTG +uid 717,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 718,0 +va (VaSet +font "courier,9,0" +) +xt "44000,19400,47000,20300" +st "hRData" +blo "44000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 719,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,34500,15700" +st "hRData : OUT std_ulogic_vector (ahbDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 2015,0 +) +) +) +*93 (CptPort +uid 720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,13625,43000,14375" +) +tg (CPTG +uid 722,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 723,0 +va (VaSet +font "courier,9,0" +) +xt "44000,13400,47000,14300" +st "hTrans" +blo "44000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 724,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,35000,16600" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 2016,0 +) +) +) +*94 (CptPort +uid 725,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 726,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,15625,43000,16375" +) +tg (CPTG +uid 727,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 728,0 +va (VaSet +font "courier,9,0" +) +xt "44000,15400,47000,16300" +st "hWrite" +blo "44000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 729,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,18500,17500" +st "hWrite : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 2017,0 +) +) +) +*95 (CptPort +uid 730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 731,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,17625,43000,18375" +) +tg (CPTG +uid 732,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 733,0 +va (VaSet +font "courier,9,0" +) +xt "44000,17400,46000,18300" +st "hSel" +blo "44000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 734,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,18500,18400" +st "hSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 2018,0 +) +) +) +*96 (CptPort +uid 735,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 850,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,21625,43000,22375" +) +tg (CPTG +uid 737,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 738,0 +va (VaSet +font "courier,9,0" +) +xt "44000,21400,47000,22300" +st "hReady" +blo "44000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 739,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,18500,19300" +st "hReady : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +*97 (CptPort +uid 740,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 851,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,23625,43000,24375" +) +tg (CPTG +uid 742,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 743,0 +va (VaSet +font "courier,9,0" +) +xt "44000,23400,46500,24300" +st "hResp" +blo "44000,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 744,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19300,18500,20200" +st "hResp : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 2020,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,6000,59000,32000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "43600,31800,50100,32700" +st "SystemOnChip" +blo "43600,32500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "43600,32700,48100,33600" +st "ahbBeamer" +blo "43600,33400" +) +) +gi *98 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "43000,35600,60000,39200" +st "Generic Declarations + +patternAddressBitNb positive 9 +testOutBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +(GiElement +name "testOutBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*99 (Grouping +uid 16,0 +optionalChildren [ +*100 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*105 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*107 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*109 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *110 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*112 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "95,33,1373,883" +viewArea "-1000,-1000,75248,49976" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *113 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *114 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7600,6500,8500" +st "Declarations" +blo "0,8300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8500,3000,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,22000,2500,22900" +st "User:" +blo "0,22700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7600,7500,8500" +st "Internal User:" +blo "0,8300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22900,2000,22900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7600,0,7600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 874,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/struct.bd new file mode 100644 index 0000000..ae0e381 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/struct.bd @@ -0,0 +1,25722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I0" +duLibraryName "Curves" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 472,0 +) +(Instance +name "I10" +duLibraryName "Curves" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 513,0 +) +(Instance +name "I14" +duLibraryName "Curves" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 725,0 +) +(Instance +name "I1" +duLibraryName "Curves" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 742,0 +) +(Instance +name "I3" +duLibraryName "Curves" +duName "periphSpeedReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1816,0 +) +(Instance +name "I5" +duLibraryName "Curves" +duName "periphSizeReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1853,0 +) +(Instance +name "I6" +duLibraryName "Curves" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 1919,0 +) +(Instance +name "I18" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 3378,0 +) +(Instance +name "I15" +duLibraryName "Curves" +duName "periphSpeedController" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3623,0 +) +(Instance +name "I20" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 3681,0 +) +(Instance +name "I22" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 4245,0 +) +(Instance +name "I23" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 4300,0 +) +(Instance +name "I24" +duLibraryName "Curves" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 4923,0 +) +(Instance +name "I25" +duLibraryName "Curves" +duName "sinCosTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 5956,0 +) +(Instance +name "I26" +duLibraryName "sequential" +duName "registerULogicVector" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 7019,0 +) +(Instance +name "I27" +duLibraryName "sequential" +duName "registerUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 7075,0 +) +(Instance +name "I28" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 7695,0 +) +(Instance +name "I29" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 7770,0 +) +(Instance +name "I4" +duLibraryName "Curves" +duName "periphControlReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8139,0 +) +(Instance +name "I8" +duLibraryName "Curves" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 8192,0 +) +(Instance +name "I12" +duLibraryName "Curves" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 8237,0 +) +(Instance +name "I21" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8656,0 +) +(Instance +name "I16" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8693,0 +) +(Instance +name "I11" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 9102,0 +) +(Instance +name "I7" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 9143,0 +) +(Instance +name "I13" +duLibraryName "Curves" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 9190,0 +) +(Instance +name "I9" +duLibraryName "Curves" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 9235,0 +) +(Instance +name "I30" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 10416,0 +) +(Instance +name "I32" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 10494,0 +) +(Instance +name "I2" +duLibraryName "Curves" +duName "periphAddressDecoder" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 10651,0 +) +(Instance +name "I19" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11389,0 +) +(Instance +name "I17" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11434,0 +) +(Instance +name "I31" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11479,0 +) +(Instance +name "I33" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11488,0 +) +(Instance +name "I34" +duLibraryName "Curves" +duName "dacInterface" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11858,0 +) +(Instance +name "I35" +duLibraryName "Curves" +duName "dacInterface" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11943,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@blanking\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@blanking\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@blanking" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerBlanking" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerBlanking" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:00:37" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamerBlanking" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@blanking\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerBlanking\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:00:37" +) +(vvPair +variable "unit" +value "ahbBeamerBlanking" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,197000,274000,198000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,197500,257200,197500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,193000,278000,194000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,193500,274200,193500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,195000,274000,196000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,195500,257200,195500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,195000,257000,196000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,195500,253200,195500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,194000,294000,198000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,194200,288300,195400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "278000,193000,294000,194000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "278200,193500,278200,193500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,193000,274000,195000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "258350,193400,268650,194600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,196000,257000,197000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,196500,253200,196500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,197000,257000,198000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,197500,253200,197500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,196000,274000,197000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,196500,257200,196500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "253000,193000,294000,198000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 54,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 55,0 +sl 0 +ro 270 +xt "1000,31625,2500,32375" +) +(Line +uid 56,0 +sl 0 +ro 270 +xt "2500,32000,3000,32000" +pts [ +"2500,32000" +"3000,32000" +] +) +] +) +tg (WTG +uid 57,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 58,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-24700,31300,0,32700" +st "addr : (addressBitNb-1 DOWNTO 0)" +ju 2 +blo "0,32500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 65,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,3100,238600,4100" +st "addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*14 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 68,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 69,0 +sl 0 +ro 270 +xt "69000,23625,70500,24375" +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "70500,24000,71000,24000" +pts [ +"70500,24000" +"71000,24000" +] +) +] +) +tg (WTG +uid 71,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "64200,23300,68000,24700" +st "clock" +ju 2 +blo "68000,24500" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,4000,226700,5000" +st "clock : std_ulogic" +) +) +*16 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 82,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 83,0 +sl 0 +ro 270 +xt "17000,59625,18500,60375" +) +(Line +uid 84,0 +sl 0 +ro 270 +xt "18500,60000,19000,60000" +pts [ +"18500,60000" +"19000,60000" +] +) +] +) +tg (WTG +uid 85,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 86,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13900,59300,16000,60700" +st "cs" +ju 2 +blo "16000,60500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 93,0 +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,9400,226400,10400" +st "cs : std_ulogic" +) +) +*18 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "289500,153625,291000,154375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "289000,154000,289500,154000" +pts [ +"289000,154000" +"289500,154000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,153300,295700,154700" +st "outX" +blo "292000,154500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,2200,226800,3200" +st "outX : std_ulogic" +) +) +*20 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "289500,116625,291000,117375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "289000,117000,289500,117000" +pts [ +"289000,117000" +"289500,117000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,116300,295600,117700" +st "outY" +blo "292000,117500" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,5800,226800,6800" +st "outY : std_ulogic" +) +) +*22 (PortIoIn +uid 137,0 +shape (CompositeShape +uid 138,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 139,0 +sl 0 +ro 270 +xt "17000,95625,18500,96375" +) +(Line +uid 140,0 +sl 0 +ro 270 +xt "18500,96000,19000,96000" +pts [ +"18500,96000" +"19000,96000" +] +) +] +) +tg (WTG +uid 141,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13900,95300,16000,96700" +st "rd" +ju 2 +blo "16000,96500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 149,0 +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 6,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,7600,226400,8600" +st "rd : std_ulogic" +) +) +*24 (PortIoIn +uid 151,0 +shape (CompositeShape +uid 152,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 153,0 +sl 0 +ro 270 +xt "69000,25625,70500,26375" +) +(Line +uid 154,0 +sl 0 +ro 270 +xt "70500,26000,71000,26000" +pts [ +"70500,26000" +"71000,26000" +] +) +] +) +tg (WTG +uid 155,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 156,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "63900,25300,68000,26700" +st "reset" +ju 2 +blo "68000,26500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,4900,226700,5900" +st "reset : std_ulogic" +) +) +*26 (PortIoIn +uid 165,0 +shape (CompositeShape +uid 166,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 167,0 +sl 0 +ro 270 +xt "17000,57625,18500,58375" +) +(Line +uid 168,0 +sl 0 +ro 270 +xt "18500,58000,19000,58000" +pts [ +"18500,58000" +"19000,58000" +] +) +] +) +tg (WTG +uid 169,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 170,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "12700,57300,16000,58700" +st "wrH" +ju 2 +blo "16000,58500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 177,0 +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 178,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,8500,226900,9500" +st "wrH : std_ulogic" +) +) +*28 (PortIoIn +uid 179,0 +shape (CompositeShape +uid 180,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 181,0 +sl 0 +ro 270 +xt "17000,79625,18500,80375" +) +(Line +uid 182,0 +sl 0 +ro 270 +xt "18500,80000,19000,80000" +pts [ +"18500,80000" +"19000,80000" +] +) +] +) +tg (WTG +uid 183,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 184,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "12900,79300,16000,80700" +st "wrL" +ju 2 +blo "16000,80500" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 191,0 +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 9,0 +) +declText (MLText +uid 192,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,10300,226700,11300" +st "wrL : std_ulogic" +) +) +*30 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 52 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,56200,231600,57200" +st "SIGNAL newPolynom : std_ulogic" +) +) +*31 (SaComponent +uid 472,0 +optionalChildren [ +*32 (CptPort +uid 456,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 457,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,157625,265000,158375" +) +tg (CPTG +uid 458,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 459,0 +va (VaSet +) +xt "266000,157400,269400,158600" +st "clock" +blo "266000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*33 (CptPort +uid 460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 461,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,153625,265000,154375" +) +tg (CPTG +uid 462,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 463,0 +va (VaSet +) +xt "266000,153400,272200,154600" +st "parallelIn" +blo "266000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*34 (CptPort +uid 464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,153625,281750,154375" +) +tg (CPTG +uid 466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 467,0 +va (VaSet +) +xt "274601,153400,280001,154600" +st "serialOut" +ju 2 +blo "280001,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +) +) +) +*35 (CptPort +uid 468,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 469,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,159625,265000,160375" +) +tg (CPTG +uid 470,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 471,0 +va (VaSet +) +xt "266000,159400,269300,160600" +st "reset" +blo "266000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 473,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,150000,281000,162000" +) +oxt "114000,86000,130000,98000" +ttg (MlTextGroup +uid 474,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 475,0 +va (VaSet +) +xt "265600,161800,269900,163000" +st "Curves" +blo "265600,162800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 476,0 +va (VaSet +) +xt "265600,162800,268700,164000" +st "DAC" +blo "265600,163800" +tm "CptNameMgr" +) +*38 (Text +uid 477,0 +va (VaSet +) +xt "265600,163800,267500,165000" +st "I0" +blo "265600,164800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 478,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 479,0 +text (MLText +uid 480,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,165600,283100,166600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 513,0 +optionalChildren [ +*40 (CptPort +uid 522,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 523,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,153625,257750,154375" +) +tg (CPTG +uid 524,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 525,0 +va (VaSet +) +xt "248200,153400,256000,154600" +st "unsignedOut" +ju 2 +blo "256000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*41 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,153625,241000,154375" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 529,0 +va (VaSet +) +xt "242000,153400,247100,154600" +st "signedIn" +blo "242000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Rectangle +uid 514,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,150000,257000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 515,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 516,0 +va (VaSet +) +xt "241600,158800,245900,160000" +st "Curves" +blo "241600,159800" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 517,0 +va (VaSet +) +xt "241600,159800,251800,161000" +st "offsetToUnsigned" +blo "241600,160800" +tm "CptNameMgr" +) +*44 (Text +uid 518,0 +va (VaSet +) +xt "241600,160800,244200,162000" +st "I10" +blo "241600,161800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 519,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 520,0 +text (MLText +uid 521,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,161800,256300,162800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*45 (Net +uid 542,0 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 56 +suid 11,0 +) +declText (MLText +uid 543,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,59800,240700,60800" +st "SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*46 (Net +uid 544,0 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 79 +suid 12,0 +) +declText (MLText +uid 545,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,80500,241800,81500" +st "SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*47 (Net +uid 546,0 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 68 +suid 13,0 +) +declText (MLText +uid 547,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,70600,240800,71600" +st "SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*48 (Net +uid 548,0 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 57 +suid 14,0 +) +declText (MLText +uid 549,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,60700,240900,61700" +st "SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*49 (Net +uid 550,0 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 58 +suid 15,0 +) +declText (MLText +uid 551,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,61600,240900,62600" +st "SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*50 (Net +uid 552,0 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 59 +suid 16,0 +) +declText (MLText +uid 553,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,62500,240900,63500" +st "SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*51 (Net +uid 554,0 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 60 +suid 17,0 +) +declText (MLText +uid 555,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,63400,240900,64400" +st "SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*52 (Net +uid 556,0 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 21 +suid 18,0 +) +declText (MLText +uid 557,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,28300,239500,29300" +st "SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*53 (Net +uid 558,0 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 27 +suid 19,0 +) +declText (MLText +uid 559,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,33700,239500,34700" +st "SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*54 (Net +uid 560,0 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 29 +suid 20,0 +) +declText (MLText +uid 561,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,35500,239400,36500" +st "SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*55 (Net +uid 562,0 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 35 +suid 21,0 +) +declText (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,40900,239500,41900" +st "SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*56 (SaComponent +uid 725,0 +optionalChildren [ +*57 (CptPort +uid 734,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 735,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,116625,257750,117375" +) +tg (CPTG +uid 736,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 737,0 +va (VaSet +) +xt "248200,116400,256000,117600" +st "unsignedOut" +ju 2 +blo "256000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*58 (CptPort +uid 738,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,116625,241000,117375" +) +tg (CPTG +uid 740,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 741,0 +va (VaSet +) +xt "242000,116400,247100,117600" +st "signedIn" +blo "242000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Rectangle +uid 726,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,113000,257000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 727,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 728,0 +va (VaSet +) +xt "241600,121800,245900,123000" +st "Curves" +blo "241600,122800" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 729,0 +va (VaSet +) +xt "241600,122800,251800,124000" +st "offsetToUnsigned" +blo "241600,123800" +tm "CptNameMgr" +) +*61 (Text +uid 730,0 +va (VaSet +) +xt "241600,123800,244200,125000" +st "I14" +blo "241600,124800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 731,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 732,0 +text (MLText +uid 733,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,124800,256300,125800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (SaComponent +uid 742,0 +optionalChildren [ +*63 (CptPort +uid 751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,120625,265000,121375" +) +tg (CPTG +uid 753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 754,0 +va (VaSet +) +xt "266000,120400,269400,121600" +st "clock" +blo "266000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*64 (CptPort +uid 755,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 756,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,116625,265000,117375" +) +tg (CPTG +uid 757,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 758,0 +va (VaSet +) +xt "266000,116400,272200,117600" +st "parallelIn" +blo "266000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*65 (CptPort +uid 759,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 760,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,116625,281750,117375" +) +tg (CPTG +uid 761,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 762,0 +va (VaSet +) +xt "274601,116400,280001,117600" +st "serialOut" +ju 2 +blo "280001,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +) +) +) +*66 (CptPort +uid 763,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 764,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,122625,265000,123375" +) +tg (CPTG +uid 765,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 766,0 +va (VaSet +) +xt "266000,122400,269300,123600" +st "reset" +blo "266000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 743,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,113000,281000,125000" +) +oxt "114000,86000,130000,98000" +ttg (MlTextGroup +uid 744,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 745,0 +va (VaSet +) +xt "265600,124800,269900,126000" +st "Curves" +blo "265600,125800" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 746,0 +va (VaSet +) +xt "265600,125800,268700,127000" +st "DAC" +blo "265600,126800" +tm "CptNameMgr" +) +*69 (Text +uid 747,0 +va (VaSet +) +xt "265600,126800,267500,128000" +st "I1" +blo "265600,127800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 748,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 749,0 +text (MLText +uid 750,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,128600,283100,129600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*70 (Net +uid 865,0 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 69 +suid 22,0 +) +declText (MLText +uid 866,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,71500,240800,72500" +st "SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*71 (Net +uid 867,0 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 62 +suid 23,0 +) +declText (MLText +uid 868,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,65200,240900,66200" +st "SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*72 (Net +uid 869,0 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 63 +suid 24,0 +) +declText (MLText +uid 870,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,66100,240900,67100" +st "SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*73 (Net +uid 871,0 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 64 +suid 25,0 +) +declText (MLText +uid 872,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,67000,240900,68000" +st "SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*74 (Net +uid 873,0 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 65 +suid 26,0 +) +declText (MLText +uid 874,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,67900,240900,68900" +st "SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*75 (Net +uid 875,0 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 22 +suid 27,0 +) +declText (MLText +uid 876,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,29200,239500,30200" +st "SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*76 (Net +uid 877,0 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 28 +suid 28,0 +) +declText (MLText +uid 878,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,34600,239500,35600" +st "SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*77 (Net +uid 879,0 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 30 +suid 29,0 +) +declText (MLText +uid 880,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,36400,239400,37400" +st "SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*78 (Net +uid 881,0 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 36 +suid 30,0 +) +declText (MLText +uid 882,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,41800,239500,42800" +st "SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*79 (Net +uid 883,0 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 61 +suid 31,0 +) +declText (MLText +uid 884,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,64300,240700,65300" +st "SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*80 (Net +uid 885,0 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 80 +suid 32,0 +) +declText (MLText +uid 886,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,81400,241800,82400" +st "SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*81 (Net +uid 990,0 +decl (Decl +n "selControl" +t "std_ulogic" +o 71 +suid 33,0 +) +declText (MLText +uid 991,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,73300,230400,74300" +st "SIGNAL selControl : std_ulogic" +) +) +*82 (Net +uid 1047,0 +decl (Decl +n "selSize" +t "std_ulogic" +o 72 +suid 34,0 +) +declText (MLText +uid 1048,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,74200,230000,75200" +st "SIGNAL selSize : std_ulogic" +) +) +*83 (Net +uid 1055,0 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 73 +suid 35,0 +) +declText (MLText +uid 1056,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,75100,230600,76100" +st "SIGNAL selSpeed : std_ulogic" +) +) +*84 (Net +uid 1063,0 +decl (Decl +n "selX" +t "std_ulogic" +o 74 +suid 36,0 +) +declText (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,76000,229800,77000" +st "SIGNAL selX : std_ulogic" +) +) +*85 (Net +uid 1071,0 +decl (Decl +n "selY" +t "std_ulogic" +o 75 +suid 37,0 +) +declText (MLText +uid 1072,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,76900,229800,77900" +st "SIGNAL selY : std_ulogic" +) +) +*86 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 55 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,58900,229800,59900" +st "SIGNAL run : std_ulogic" +) +) +*87 (Net +uid 1356,0 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 81 +suid 39,0 +) +declText (MLText +uid 1357,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,82300,231200,83300" +st "SIGNAL updatePattern : std_ulogic" +) +) +*88 (Net +uid 1470,0 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 53 +suid 40,0 +) +declText (MLText +uid 1471,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,57100,242000,58100" +st "SIGNAL patternSize : unsigned(dataBitNb/2-1 DOWNTO 0)" +) +) +*89 (PortIoIn +uid 1565,0 +shape (CompositeShape +uid 1566,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1567,0 +sl 0 +ro 270 +xt "17000,9625,18500,10375" +) +(Line +uid 1568,0 +sl 0 +ro 270 +xt "18500,10000,19000,10000" +pts [ +"18500,10000" +"19000,10000" +] +) +] +) +tg (WTG +uid 1569,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1570,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-7800,9300,16000,10700" +st "dataIn : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "16000,10500" +tm "WireNameMgr" +) +) +) +*90 (PortIoOut +uid 1577,0 +shape (CompositeShape +uid 1578,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1579,0 +sl 0 +ro 90 +xt "17000,3625,18500,4375" +) +(Line +uid 1580,0 +sl 0 +ro 90 +xt "18500,4000,19000,4000" +pts [ +"19000,4000" +"18500,4000" +] +) +] +) +tg (WTG +uid 1581,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1582,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-8800,3300,16000,4700" +st "dataOut : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "16000,4500" +tm "WireNameMgr" +) +) +) +*91 (Net +uid 1589,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 41,0 +) +declText (MLText +uid 1590,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,11200,240700,12200" +st "dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*92 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 82 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,83200,241600,84200" +st "SIGNAL updatePeriod : unsigned(dataBitNb-1 DOWNTO 0)" +) +) +*93 (SaComponent +uid 1816,0 +optionalChildren [ +*94 (CptPort +uid 1784,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1785,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,67625,91750,68375" +) +tg (CPTG +uid 1786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1787,0 +va (VaSet +) +xt "82000,67400,90000,68600" +st "updatePeriod" +ju 2 +blo "90000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*95 (CptPort +uid 1788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1789,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,67625,75000,68375" +) +tg (CPTG +uid 1790,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1791,0 +va (VaSet +) +xt "76000,67400,80000,68600" +st "dataIn" +blo "76000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*96 (CptPort +uid 1792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1793,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,69625,75000,70375" +) +tg (CPTG +uid 1794,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1795,0 +va (VaSet +) +xt "76000,69400,80800,70600" +st "dataOut" +blo "76000,70400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*97 (CptPort +uid 1796,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1797,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,73625,75000,74375" +) +tg (CPTG +uid 1798,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1799,0 +va (VaSet +) +xt "76000,73400,84400,74600" +st "writeHighByte" +blo "76000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "writeHighByte" +t "std_ulogic" +o 4 +) +) +) +*98 (CptPort +uid 1800,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1801,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,77625,75000,78375" +) +tg (CPTG +uid 1802,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1803,0 +va (VaSet +) +xt "76000,77400,77900,78600" +st "en" +blo "76000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +*99 (CptPort +uid 1804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1805,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,81625,75000,82375" +) +tg (CPTG +uid 1806,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1807,0 +va (VaSet +) +xt "76000,81400,79400,82600" +st "clock" +blo "76000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +) +) +) +*100 (CptPort +uid 1808,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1809,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,83625,75000,84375" +) +tg (CPTG +uid 1810,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1811,0 +va (VaSet +) +xt "76000,83400,79300,84600" +st "reset" +blo "76000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +) +) +) +*101 (CptPort +uid 1812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,75625,75000,76375" +) +tg (CPTG +uid 1814,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1815,0 +va (VaSet +) +xt "76000,75400,84000,76600" +st "writeLowByte" +blo "76000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "writeLowByte" +t "std_ulogic" +o 8 +) +) +) +] +shape (Rectangle +uid 1817,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,64000,91000,86000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1818,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 1819,0 +va (VaSet +) +xt "75600,85800,79900,87000" +st "Curves" +blo "75600,86800" +tm "BdLibraryNameMgr" +) +*103 (Text +uid 1820,0 +va (VaSet +) +xt "75600,86800,85100,88000" +st "periphSpeedReg" +blo "75600,87800" +tm "CptNameMgr" +) +*104 (Text +uid 1821,0 +va (VaSet +) +xt "75600,87800,77500,89000" +st "I3" +blo "75600,88800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1822,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1823,0 +text (MLText +uid 1824,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,89600,91600,90600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*105 (SaComponent +uid 1853,0 +optionalChildren [ +*106 (CptPort +uid 1825,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1826,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,39625,91750,40375" +) +tg (CPTG +uid 1827,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1828,0 +va (VaSet +) +xt "82900,39400,90000,40600" +st "patternSize" +ju 2 +blo "90000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 1 +) +) +) +*107 (CptPort +uid 1829,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1830,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,39625,75000,40375" +) +tg (CPTG +uid 1831,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1832,0 +va (VaSet +) +xt "76000,39400,80000,40600" +st "dataIn" +blo "76000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*108 (CptPort +uid 1833,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1834,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,41625,75000,42375" +) +tg (CPTG +uid 1835,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1836,0 +va (VaSet +) +xt "76000,41400,80800,42600" +st "dataOut" +blo "76000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*109 (CptPort +uid 1837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,45625,75000,46375" +) +tg (CPTG +uid 1839,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1840,0 +va (VaSet +) +xt "76000,45400,79100,46600" +st "write" +blo "76000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 4 +) +) +) +*110 (CptPort +uid 1841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,47625,75000,48375" +) +tg (CPTG +uid 1843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1844,0 +va (VaSet +) +xt "76000,47400,77900,48600" +st "en" +blo "76000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +*111 (CptPort +uid 1845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,51625,75000,52375" +) +tg (CPTG +uid 1847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1848,0 +va (VaSet +) +xt "76000,51400,79400,52600" +st "clock" +blo "76000,52400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +) +) +) +*112 (CptPort +uid 1849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,53625,75000,54375" +) +tg (CPTG +uid 1851,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1852,0 +va (VaSet +) +xt "76000,53400,79300,54600" +st "reset" +blo "76000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 1854,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,36000,91000,56000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1855,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 1856,0 +va (VaSet +) +xt "75600,55800,79900,57000" +st "Curves" +blo "75600,56800" +tm "BdLibraryNameMgr" +) +*114 (Text +uid 1857,0 +va (VaSet +) +xt "75600,56800,84100,58000" +st "periphSizeReg" +blo "75600,57800" +tm "CptNameMgr" +) +*115 (Text +uid 1858,0 +va (VaSet +) +xt "75600,57800,77500,59000" +st "I5" +blo "75600,58800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1859,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1860,0 +text (MLText +uid 1861,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,59600,91600,60600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*116 (SaComponent +uid 1919,0 +optionalChildren [ +*117 (CptPort +uid 1903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,175625,177750,176375" +) +tg (CPTG +uid 1905,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1906,0 +va (VaSet +) +xt "169400,175400,176000,176600" +st "triggerOut" +ju 2 +blo "176000,176400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +) +) +) +*118 (CptPort +uid 1907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,179625,161000,180375" +) +tg (CPTG +uid 1909,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1910,0 +va (VaSet +) +xt "162000,179400,165400,180600" +st "clock" +blo "162000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*119 (CptPort +uid 1911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,181625,161000,182375" +) +tg (CPTG +uid 1913,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1914,0 +va (VaSet +) +xt "162000,181400,165300,182600" +st "reset" +blo "162000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*120 (CptPort +uid 1915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1916,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,175625,161000,176375" +) +tg (CPTG +uid 1917,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1918,0 +va (VaSet +) +xt "162000,175400,163900,176600" +st "en" +blo "162000,176400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1920,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,172000,177000,184000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1921,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 1922,0 +va (VaSet +) +xt "161600,184800,165900,186000" +st "Curves" +blo "161600,185800" +tm "BdLibraryNameMgr" +) +*122 (Text +uid 1923,0 +va (VaSet +) +xt "161600,185800,172400,187000" +st "interpolatorTrigger" +blo "161600,186800" +tm "CptNameMgr" +) +*123 (Text +uid 1924,0 +va (VaSet +) +xt "161600,186800,163500,188000" +st "I6" +blo "161600,187800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1925,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1926,0 +text (MLText +uid 1927,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,187600,182800,188600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*124 (Net +uid 1993,0 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 41 +suid 43,0 +) +declText (MLText +uid 1994,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,46300,231400,47300" +st "SIGNAL interpolationEnable : std_ulogic" +) +) +*125 (Net +uid 2776,0 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 24 +suid 44,0 +) +declText (MLText +uid 2777,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,31000,244900,32000" +st "SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*126 (Net +uid 2850,0 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 31 +suid 45,0 +) +declText (MLText +uid 2851,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,37300,230200,38300" +st "SIGNAL cntIncrX : std_ulogic" +) +) +*127 (Net +uid 2852,0 +decl (Decl +n "memWrX" +t "std_ulogic" +o 46 +suid 46,0 +) +declText (MLText +uid 2853,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,50800,231300,51800" +st "SIGNAL memWrX : std_ulogic" +) +) +*128 (Net +uid 2854,0 +decl (Decl +n "memEnX" +t "std_ulogic" +o 43 +suid 47,0 +) +declText (MLText +uid 2855,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,48100,231200,49100" +st "SIGNAL memEnX : std_ulogic" +) +) +*129 (HdlText +uid 3135,0 +optionalChildren [ +*130 (EmbeddedText +uid 3140,0 +commentText (CommentText +uid 3141,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,152000,146000,156000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3143,0 +va (VaSet +) +xt "132200,152200,144900,155800" +st " +samplesX <= cosine when selSinCos = '1' + else signed(memX); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3136,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,150000,147000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +uid 3138,0 +va (VaSet +) +xt "131400,158000,134000,159200" +st "eb2" +blo "131400,159000" +tm "HdlTextNameMgr" +) +*132 (Text +uid 3139,0 +va (VaSet +) +xt "131400,159000,132800,160200" +st "2" +blo "131400,160000" +tm "HdlTextNumberMgr" +) +] +) +) +*133 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 49 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,53500,244700,54500" +st "SIGNAL memX : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*134 (SaComponent +uid 3378,0 +optionalChildren [ +*135 (CptPort +uid 3354,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,159625,75000,160375" +) +tg (CPTG +uid 3356,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3357,0 +va (VaSet +) +xt "76000,159400,77900,160600" +st "en" +blo "76000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*136 (CptPort +uid 3358,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3359,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,163625,75000,164375" +) +tg (CPTG +uid 3360,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3361,0 +va (VaSet +) +xt "76000,163400,79400,164600" +st "clock" +blo "76000,164400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*137 (CptPort +uid 3362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,165625,75000,166375" +) +tg (CPTG +uid 3364,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3365,0 +va (VaSet +) +xt "76000,165400,79300,166600" +st "reset" +blo "76000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*138 (CptPort +uid 3366,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3367,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,157625,75000,158375" +) +tg (CPTG +uid 3368,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3369,0 +va (VaSet +) +xt "76000,157400,82500,158600" +st "updateMem" +blo "76000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*139 (CptPort +uid 3370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3371,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,155625,91750,156375" +) +tg (CPTG +uid 3372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3373,0 +va (VaSet +) +xt "87100,155400,90000,156600" +st "addr" +ju 2 +blo "90000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*140 (CptPort +uid 3374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,155625,75000,156375" +) +tg (CPTG +uid 3376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3377,0 +va (VaSet +) +xt "76000,155400,83100,156600" +st "patternSize" +blo "76000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 3379,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,152000,91000,168000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3380,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +uid 3381,0 +va (VaSet +) +xt "75600,167800,79900,169000" +st "Curves" +blo "75600,168800" +tm "BdLibraryNameMgr" +) +*142 (Text +uid 3382,0 +va (VaSet +) +xt "75600,168800,91000,170000" +st "blockRAMAddressCounter" +blo "75600,169800" +tm "CptNameMgr" +) +*143 (Text +uid 3383,0 +va (VaSet +) +xt "75600,169800,78200,171000" +st "I18" +blo "75600,170800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3384,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3385,0 +text (MLText +uid 3386,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,171600,98800,173600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*144 (SaComponent +uid 3623,0 +optionalChildren [ +*145 (CptPort +uid 3603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,65625,123750,66375" +) +tg (CPTG +uid 3605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3606,0 +va (VaSet +) +xt "116100,65400,122000,66600" +st "enableOut" +ju 2 +blo "122000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +) +) +) +*146 (CptPort +uid 3607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,71625,107000,72375" +) +tg (CPTG +uid 3609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3610,0 +va (VaSet +) +xt "108000,71400,111400,72600" +st "clock" +blo "108000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*147 (CptPort +uid 3611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,73625,107000,74375" +) +tg (CPTG +uid 3613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3614,0 +va (VaSet +) +xt "108000,73400,111300,74600" +st "reset" +blo "108000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*148 (CptPort +uid 3615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,67625,107000,68375" +) +tg (CPTG +uid 3617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3618,0 +va (VaSet +) +xt "108000,67400,116000,68600" +st "updatePeriod" +blo "108000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*149 (CptPort +uid 3619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,65625,107000,66375" +) +tg (CPTG +uid 3621,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3622,0 +va (VaSet +) +xt "108000,65400,113100,66600" +st "enableIn" +blo "108000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 3624,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,62000,123000,76000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3625,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 3626,0 +va (VaSet +) +xt "107600,75800,111900,77000" +st "Curves" +blo "107600,76800" +tm "BdLibraryNameMgr" +) +*151 (Text +uid 3627,0 +va (VaSet +) +xt "107600,76800,120900,78000" +st "periphSpeedController" +blo "107600,77800" +tm "CptNameMgr" +) +*152 (Text +uid 3628,0 +va (VaSet +) +xt "107600,77800,110200,79000" +st "I15" +blo "107600,78800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3629,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3630,0 +text (MLText +uid 3631,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,79600,123600,80600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*153 (SaComponent +uid 3681,0 +optionalChildren [ +*154 (CptPort +uid 3690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,109625,75000,110375" +) +tg (CPTG +uid 3692,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3693,0 +va (VaSet +) +xt "76000,109400,77900,110600" +st "en" +blo "76000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*155 (CptPort +uid 3694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,113625,75000,114375" +) +tg (CPTG +uid 3696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3697,0 +va (VaSet +) +xt "76000,113400,79400,114600" +st "clock" +blo "76000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*156 (CptPort +uid 3698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,115625,75000,116375" +) +tg (CPTG +uid 3700,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3701,0 +va (VaSet +) +xt "76000,115400,79300,116600" +st "reset" +blo "76000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*157 (CptPort +uid 3702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,107625,75000,108375" +) +tg (CPTG +uid 3704,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3705,0 +va (VaSet +) +xt "76000,107400,82500,108600" +st "updateMem" +blo "76000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*158 (CptPort +uid 3706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3707,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,105625,91750,106375" +) +tg (CPTG +uid 3708,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3709,0 +va (VaSet +) +xt "87100,105400,90000,106600" +st "addr" +ju 2 +blo "90000,106400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*159 (CptPort +uid 3710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,105625,75000,106375" +) +tg (CPTG +uid 3712,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3713,0 +va (VaSet +) +xt "76000,105400,83100,106600" +st "patternSize" +blo "76000,106400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 3682,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,102000,91000,118000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3683,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*160 (Text +uid 3684,0 +va (VaSet +) +xt "75600,117800,79900,119000" +st "Curves" +blo "75600,118800" +tm "BdLibraryNameMgr" +) +*161 (Text +uid 3685,0 +va (VaSet +) +xt "75600,118800,91000,120000" +st "blockRAMAddressCounter" +blo "75600,119800" +tm "CptNameMgr" +) +*162 (Text +uid 3686,0 +va (VaSet +) +xt "75600,119800,78200,121000" +st "I20" +blo "75600,120800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3687,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3688,0 +text (MLText +uid 3689,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,121600,98800,123600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*163 (Net +uid 3827,0 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 32 +suid 49,0 +) +declText (MLText +uid 3828,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,38200,230200,39200" +st "SIGNAL cntIncrY : std_ulogic" +) +) +*164 (Net +uid 3890,0 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 25 +suid 50,0 +) +declText (MLText +uid 3891,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,31900,244900,32900" +st "SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*165 (Net +uid 3892,0 +decl (Decl +n "memWrY" +t "std_ulogic" +o 47 +suid 51,0 +) +declText (MLText +uid 3893,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,51700,231300,52700" +st "SIGNAL memWrY : std_ulogic" +) +) +*166 (Net +uid 3894,0 +decl (Decl +n "memEnY" +t "std_ulogic" +o 44 +suid 52,0 +) +declText (MLText +uid 3895,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,49000,231200,50000" +st "SIGNAL memEnY : std_ulogic" +) +) +*167 (HdlText +uid 3896,0 +optionalChildren [ +*168 (EmbeddedText +uid 3901,0 +commentText (CommentText +uid 3902,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3903,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,115000,146000,119000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3904,0 +va (VaSet +) +xt "132200,115200,144900,118800" +st " +samplesY <= sine when selSinCos = '1' + else signed(memY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3897,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,113000,147000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3898,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 3899,0 +va (VaSet +) +xt "131400,121000,134000,122200" +st "eb1" +blo "131400,122000" +tm "HdlTextNameMgr" +) +*170 (Text +uid 3900,0 +va (VaSet +) +xt "131400,122000,132800,123200" +st "1" +blo "131400,123000" +tm "HdlTextNumberMgr" +) +] +) +) +*171 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 50 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,54400,244700,55400" +st "SIGNAL memY : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*172 (PortIoOut +uid 4041,0 +shape (CompositeShape +uid 4042,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4043,0 +sl 0 +ro 270 +xt "289500,5625,291000,6375" +) +(Line +uid 4044,0 +sl 0 +ro 270 +xt "289000,6000,289500,6000" +pts [ +"289000,6000" +"289500,6000" +] +) +] +) +tg (WTG +uid 4045,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4046,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,5300,305600,6700" +st "testOut : (1 TO 16)" +blo "292000,6500" +tm "WireNameMgr" +) +) +) +*173 (Net +uid 4053,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 54,0 +) +declText (MLText +uid 4054,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,12100,234500,13100" +st "testOut : std_ulogic_vector(1 TO 16)" +) +) +*174 (HdlText +uid 4055,0 +optionalChildren [ +*175 (EmbeddedText +uid 4060,0 +commentText (CommentText +uid 4061,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4062,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "266000,5000,280000,27000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4063,0 +va (VaSet +) +xt "266200,5200,278400,26800" +st " +testout(1) <= run; +testout(2) <= updatePattern; +testout(3) <= interpolationEnable; +testout(4) <= newPolynom; +testout(5) <= selSinCos; +testout(6) <= cs; +testout(7) <= rd; +testout(8) <= wrH; +testout(9) <= wrL; +testout(10) <= addrReg(1); +testout(11) <= dataInReg(0); +--testout(10) <= selControl; +--testout(11) <= selSize; +testout(12) <= selSpeed; +testout(13) <= selX; +testout(14) <= selY; +testout(15) <= cntIncrX; +testout(16) <= cntIncrY; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 22000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4056,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "265000,4000,281000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4057,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*176 (Text +uid 4058,0 +va (VaSet +) +xt "265400,28000,268000,29200" +st "eb3" +blo "265400,29000" +tm "HdlTextNameMgr" +) +*177 (Text +uid 4059,0 +va (VaSet +) +xt "265400,29000,266800,30200" +st "3" +blo "265400,30000" +tm "HdlTextNumberMgr" +) +] +) +) +*178 (SaComponent +uid 4245,0 +optionalChildren [ +*179 (CptPort +uid 4225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,57625,43750,58375" +) +tg (CPTG +uid 4227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4228,0 +va (VaSet +) +xt "35400,57400,42000,58600" +st "writePulse" +ju 2 +blo "42000,58400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*180 (CptPort +uid 4229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,57625,27000,58375" +) +tg (CPTG +uid 4231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4232,0 +va (VaSet +) +xt "28000,57400,31100,58600" +st "write" +blo "28000,58400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*181 (CptPort +uid 4233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,59625,27000,60375" +) +tg (CPTG +uid 4235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4236,0 +va (VaSet +) +xt "28000,59400,34700,60600" +st "chipSelect" +blo "28000,60400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*182 (CptPort +uid 4237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4238,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,63625,27000,64375" +) +tg (CPTG +uid 4239,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4240,0 +va (VaSet +) +xt "28000,63400,31400,64600" +st "clock" +blo "28000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*183 (CptPort +uid 4241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,65625,27000,66375" +) +tg (CPTG +uid 4243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4244,0 +va (VaSet +) +xt "28000,65400,31300,66600" +st "reset" +blo "28000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4246,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,54000,43000,68000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4247,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*184 (Text +uid 4248,0 +va (VaSet +) +xt "27600,67800,31900,69000" +st "Curves" +blo "27600,68800" +tm "BdLibraryNameMgr" +) +*185 (Text +uid 4249,0 +va (VaSet +) +xt "27600,68800,37500,70000" +st "periphWritePulse" +blo "27600,69800" +tm "CptNameMgr" +) +*186 (Text +uid 4250,0 +va (VaSet +) +xt "27600,69800,30200,71000" +st "I22" +blo "27600,70800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4251,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4252,0 +text (MLText +uid 4253,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,45000,-7000,45000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*187 (Net +uid 4290,0 +decl (Decl +n "wrHPulse" +t "std_ulogic" +o 85 +suid 55,0 +) +declText (MLText +uid 4291,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,85900,230700,86900" +st "SIGNAL wrHPulse : std_ulogic" +) +) +*188 (SaComponent +uid 4300,0 +optionalChildren [ +*189 (CptPort +uid 4309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4310,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,79625,43750,80375" +) +tg (CPTG +uid 4311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4312,0 +va (VaSet +) +xt "35400,79400,42000,80600" +st "writePulse" +ju 2 +blo "42000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*190 (CptPort +uid 4313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4314,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,79625,27000,80375" +) +tg (CPTG +uid 4315,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4316,0 +va (VaSet +) +xt "28000,79400,31100,80600" +st "write" +blo "28000,80400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*191 (CptPort +uid 4317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,81625,27000,82375" +) +tg (CPTG +uid 4319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4320,0 +va (VaSet +) +xt "28000,81400,34700,82600" +st "chipSelect" +blo "28000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*192 (CptPort +uid 4321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,85625,27000,86375" +) +tg (CPTG +uid 4323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4324,0 +va (VaSet +) +xt "28000,85400,31400,86600" +st "clock" +blo "28000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*193 (CptPort +uid 4325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,87625,27000,88375" +) +tg (CPTG +uid 4327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4328,0 +va (VaSet +) +xt "28000,87400,31300,88600" +st "reset" +blo "28000,88400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4301,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,76000,43000,90000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4302,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*194 (Text +uid 4303,0 +va (VaSet +) +xt "27600,89800,31900,91000" +st "Curves" +blo "27600,90800" +tm "BdLibraryNameMgr" +) +*195 (Text +uid 4304,0 +va (VaSet +) +xt "27600,90800,37500,92000" +st "periphWritePulse" +blo "27600,91800" +tm "CptNameMgr" +) +*196 (Text +uid 4305,0 +va (VaSet +) +xt "27600,91800,30200,93000" +st "I23" +blo "27600,92800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4306,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4307,0 +text (MLText +uid 4308,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,67000,-7000,67000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*197 (Net +uid 4347,0 +decl (Decl +n "wrLPulse" +t "std_ulogic" +o 86 +suid 56,0 +) +declText (MLText +uid 4348,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,86800,230500,87800" +st "SIGNAL wrLPulse : std_ulogic" +) +) +*198 (HdlText +uid 4719,0 +optionalChildren [ +*199 (EmbeddedText +uid 4724,0 +commentText (CommentText +uid 4725,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4726,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "150000,75000,164000,77000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4727,0 +va (VaSet +) +xt "150200,75200,164000,76400" +st " +step <= to_unsigned(1, step'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4720,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "149000,74000,165000,78000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*200 (Text +uid 4722,0 +va (VaSet +) +xt "149400,78000,152000,79200" +st "eb4" +blo "149400,79000" +tm "HdlTextNameMgr" +) +*201 (Text +uid 4723,0 +va (VaSet +) +xt "149400,79000,150800,80200" +st "4" +blo "149400,80000" +tm "HdlTextNumberMgr" +) +] +) +) +*202 (Net +uid 4800,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 54 +suid 57,0 +) +declText (MLText +uid 4801,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,58000,241400,59000" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*203 (Net +uid 4802,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 78 +suid 58,0 +) +declText (MLText +uid 4803,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,79600,241000,80600" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*204 (Net +uid 4858,0 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 77 +suid 59,0 +) +declText (MLText +uid 4859,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,78700,239800,79700" +st "SIGNAL sine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*205 (SaComponent +uid 4923,0 +optionalChildren [ +*206 (CptPort +uid 4903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,89625,161000,90375" +) +tg (CPTG +uid 4905,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4906,0 +va (VaSet +) +xt "162000,89400,165400,90600" +st "clock" +blo "162000,90400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*207 (CptPort +uid 4907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,83625,177750,84375" +) +tg (CPTG +uid 4909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4910,0 +va (VaSet +) +xt "170800,83400,176000,84600" +st "sawtooth" +ju 2 +blo "176000,84400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*208 (CptPort +uid 4911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,91625,161000,92375" +) +tg (CPTG +uid 4913,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4914,0 +va (VaSet +) +xt "162000,91400,165300,92600" +st "reset" +blo "162000,92400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*209 (CptPort +uid 4915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4916,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,83625,161000,84375" +) +tg (CPTG +uid 4917,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4918,0 +va (VaSet +) +xt "162000,83400,164900,84600" +st "step" +blo "162000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*210 (CptPort +uid 4919,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4920,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,85625,161000,86375" +) +tg (CPTG +uid 4921,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4922,0 +va (VaSet +) +xt "162000,85400,163900,86600" +st "en" +blo "162000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4924,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,80000,177000,94000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4925,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*211 (Text +uid 4926,0 +va (VaSet +) +xt "161600,93800,165900,95000" +st "Curves" +blo "161600,94800" +tm "BdLibraryNameMgr" +) +*212 (Text +uid 4927,0 +va (VaSet +) +xt "161600,94800,169500,96000" +st "sawtoothGen" +blo "161600,95800" +tm "CptNameMgr" +) +*213 (Text +uid 4928,0 +va (VaSet +) +xt "161600,95800,164200,97000" +st "I24" +blo "161600,96800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4929,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4930,0 +text (MLText +uid 4931,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,96600,176400,97600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*214 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 270 +xt "151000,69625,152500,70375" +) +(Line +uid 5083,0 +sl 0 +ro 270 +xt "152500,70000,153000,70000" +pts [ +"152500,70000" +"153000,70000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143100,69300,150000,70700" +st "selSinCos" +ju 2 +blo "150000,70500" +tm "WireNameMgr" +) +) +) +*215 (HdlText +uid 5244,0 +optionalChildren [ +*216 (EmbeddedText +uid 5249,0 +commentText (CommentText +uid 5250,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 5251,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "162000,65000,176000,71000" +) +oxt "0,0,18000,5000" +text (MLText +uid 5252,0 +va (VaSet +) +xt "162200,65200,176100,71200" +st " +interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; +--interpolateLinear <= '1' when selSinCos = '1' +-- else interpolateLin; +interpolateLinear <= interpolateLin; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 5245,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "161000,64000,177000,72000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5246,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*217 (Text +uid 5247,0 +va (VaSet +) +xt "161400,72000,164000,73200" +st "eb5" +blo "161400,73000" +tm "HdlTextNameMgr" +) +*218 (Text +uid 5248,0 +va (VaSet +) +xt "161400,73000,162800,74200" +st "5" +blo "161400,74000" +tm "HdlTextNumberMgr" +) +] +) +) +*219 (Net +uid 5261,0 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 40 +suid 60,0 +) +declText (MLText +uid 5262,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,45400,230900,46400" +st "SIGNAL interpolationEn : std_ulogic" +) +) +*220 (Net +uid 5936,0 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 61,0 +) +declText (MLText +uid 5937,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,40000,240100,41000" +st "SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*221 (SaComponent +uid 5956,0 +optionalChildren [ +*222 (CptPort +uid 5944,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5945,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,83625,201750,84375" +) +tg (CPTG +uid 5946,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5947,0 +va (VaSet +) +xt "197200,83400,200000,84600" +st "sine" +ju 2 +blo "200000,84400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*223 (CptPort +uid 5948,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5949,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,83625,185000,84375" +) +tg (CPTG +uid 5950,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5951,0 +va (VaSet +) +xt "186000,83400,189700,84600" +st "phase" +blo "186000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*224 (CptPort +uid 5952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5953,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,85625,201750,86375" +) +tg (CPTG +uid 5954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5955,0 +va (VaSet +) +xt "196000,85400,200000,86600" +st "cosine" +ju 2 +blo "200000,86400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +) +) +) +] +shape (Rectangle +uid 5957,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,80000,201000,90000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5958,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*225 (Text +uid 5959,0 +va (VaSet +) +xt "185600,89800,189900,91000" +st "Curves" +blo "185600,90800" +tm "BdLibraryNameMgr" +) +*226 (Text +uid 5960,0 +va (VaSet +) +xt "185600,90800,193300,92000" +st "sinCosTable" +blo "185600,91800" +tm "CptNameMgr" +) +*227 (Text +uid 5961,0 +va (VaSet +) +xt "185600,91800,188200,93000" +st "I25" +blo "185600,92800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5962,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5963,0 +text (MLText +uid 5964,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,93000,208700,96000" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*228 (HdlText +uid 6125,0 +optionalChildren [ +*229 (EmbeddedText +uid 6130,0 +commentText (CommentText +uid 6131,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "28000,151000,42000,165000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6133,0 +va (VaSet +) +xt "28200,151200,42200,164400" +st " +writeX: process(selX, memX) +begin + if selX = '1' then + dataOut <= std_logic_vector(memX); + else + dataOut <= (others => 'Z'); + end if; +end process writeX; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 6126,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,150000,43000,166000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6127,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*230 (Text +uid 6128,0 +va (VaSet +) +xt "27400,166000,30000,167200" +st "eb6" +blo "27400,167000" +tm "HdlTextNameMgr" +) +*231 (Text +uid 6129,0 +va (VaSet +) +xt "27400,167000,28800,168200" +st "6" +blo "27400,168000" +tm "HdlTextNumberMgr" +) +] +) +) +*232 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,13000,227300,14000" +st "selSinCos : std_ulogic" +) +) +*233 (HdlText +uid 6825,0 +optionalChildren [ +*234 (EmbeddedText +uid 6830,0 +commentText (CommentText +uid 6831,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6832,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "28000,171000,42000,185000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6833,0 +va (VaSet +) +xt "28200,171200,42200,184400" +st " +writeCounters: process(addr, addrX, addrY) +begin + if addr = 16#84# then + dataOut <= std_logic_vector(addrX & addrY); + else + dataOut <= (others => 'Z'); + end if; +end process writeCounters; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 6826,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,170000,43000,186000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6827,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +uid 6828,0 +va (VaSet +) +xt "27400,186000,30000,187200" +st "eb7" +blo "27400,187000" +tm "HdlTextNameMgr" +) +*236 (Text +uid 6829,0 +va (VaSet +) +xt "27400,187000,28800,188200" +st "7" +blo "27400,188000" +tm "HdlTextNumberMgr" +) +] +) +) +*237 (SaComponent +uid 7019,0 +optionalChildren [ +*238 (CptPort +uid 6994,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6995,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,15625,27000,16375" +) +tg (CPTG +uid 6996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6997,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,15300,31800,16700" +st "clock" +blo "28000,16500" +) +s (Text +uid 6998,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,16700,28000,16700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*239 (CptPort +uid 6999,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7000,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,9625,27000,10375" +) +tg (CPTG +uid 7001,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7002,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,9300,33000,10700" +st "dataIn" +blo "28000,10500" +) +s (Text +uid 7003,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,10700,28000,10700" +blo "-37800,34100" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +) +) +) +*240 (CptPort +uid 7004,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7005,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,9625,43750,10375" +) +tg (CPTG +uid 7006,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7007,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,9300,42000,10700" +st "dataOut" +ju 2 +blo "42000,10500" +) +s (Text +uid 7008,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "42000,10700,42000,10700" +ju 2 +blo "-23600,-18900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*241 (CptPort +uid 7009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,13625,27000,14375" +) +tg (CPTG +uid 7011,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7012,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,13300,33100,14700" +st "enable" +blo "28000,14500" +) +s (Text +uid 7013,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,14700,28000,14700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*242 (CptPort +uid 7014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7015,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,17625,27000,18375" +) +tg (CPTG +uid 7016,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7017,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,17300,32100,18700" +st "reset" +blo "28000,18500" +) +s (Text +uid 7018,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,18700,28000,18700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7020,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27000,6000,43000,20000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7021,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*243 (Text +uid 7022,0 +va (VaSet +) +xt "26910,20700,33510,21900" +st "sequential" +blo "26910,21700" +tm "BdLibraryNameMgr" +) +*244 (Text +uid 7023,0 +va (VaSet +) +xt "26910,21700,39810,22900" +st "registerULogicVector" +blo "26910,22700" +tm "CptNameMgr" +) +*245 (Text +uid 7024,0 +va (VaSet +) +xt "26910,22700,29510,23900" +st "I26" +blo "26910,23700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7025,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7026,0 +text (MLText +uid 7027,0 +va (VaSet +) +xt "27000,23600,50300,26000" +st "delay = 1 ns ( time ) +registerNbBits = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "dataBitNb" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*246 (Net +uid 7053,0 +decl (Decl +n "dataInReg" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 37 +suid 63,0 +) +declText (MLText +uid 7054,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,42700,244800,43700" +st "SIGNAL dataInReg : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*247 (Net +uid 7073,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 64,0 +) +declText (MLText +uid 7074,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,6700,240900,7700" +st "dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*248 (SaComponent +uid 7075,0 +optionalChildren [ +*249 (CptPort +uid 7084,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7085,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,37625,7000,38375" +) +tg (CPTG +uid 7086,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7087,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,37300,11800,38700" +st "clock" +blo "8000,38500" +) +s (Text +uid 7088,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,38700,8000,38700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*250 (CptPort +uid 7089,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7090,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,31625,7000,32375" +) +tg (CPTG +uid 7091,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7092,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,31300,13000,32700" +st "dataIn" +blo "8000,32500" +) +s (Text +uid 7093,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,32700,8000,32700" +blo "-57800,56100" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +) +) +) +*251 (CptPort +uid 7094,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7095,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,31625,23750,32375" +) +tg (CPTG +uid 7096,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7097,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,31300,22000,32700" +st "dataOut" +ju 2 +blo "22000,32500" +) +s (Text +uid 7098,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "22000,32700,22000,32700" +ju 2 +blo "-43600,3100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*252 (CptPort +uid 7099,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,35625,7000,36375" +) +tg (CPTG +uid 7101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7102,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,35300,13100,36700" +st "enable" +blo "8000,36500" +) +s (Text +uid 7103,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,36700,8000,36700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*253 (CptPort +uid 7104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,39625,7000,40375" +) +tg (CPTG +uid 7106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7107,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,39300,12100,40700" +st "reset" +blo "8000,40500" +) +s (Text +uid 7108,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,40700,8000,40700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7076,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7000,28000,23000,42000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7077,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*254 (Text +uid 7078,0 +va (VaSet +) +xt "6910,42700,13510,43900" +st "sequential" +blo "6910,43700" +tm "BdLibraryNameMgr" +) +*255 (Text +uid 7079,0 +va (VaSet +) +xt "6910,43700,16910,44900" +st "registerUnsigned" +blo "6910,44700" +tm "CptNameMgr" +) +*256 (Text +uid 7080,0 +va (VaSet +) +xt "6910,44700,9510,45900" +st "I27" +blo "6910,45700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7081,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7082,0 +text (MLText +uid 7083,0 +va (VaSet +) +xt "7000,45600,32100,48000" +st "delay = 1 ns ( time ) +registerNbBits = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*257 (Net +uid 7115,0 +decl (Decl +n "addrReg" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 23 +suid 65,0 +) +declText (MLText +uid 7116,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,30100,242500,31100" +st "SIGNAL addrReg : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*258 (HdlText +uid 7149,0 +optionalChildren [ +*259 (EmbeddedText +uid 7154,0 +commentText (CommentText +uid 7155,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 7156,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "12000,13000,18000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 7157,0 +va (VaSet +) +xt "12200,13200,17700,14400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 7150,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "11000,12000,19000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7151,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*260 (Text +uid 7152,0 +va (VaSet +) +xt "11400,16000,14000,17200" +st "eb8" +blo "11400,17000" +tm "HdlTextNameMgr" +) +*261 (Text +uid 7153,0 +va (VaSet +) +xt "11400,17000,12800,18200" +st "8" +blo "11400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*262 (Net +uid 7166,0 +decl (Decl +n "logic1" +t "std_ulogic" +o 42 +suid 66,0 +) +declText (MLText +uid 7167,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,47200,229900,48200" +st "SIGNAL logic1 : std_ulogic" +) +) +*263 (SaComponent +uid 7695,0 +optionalChildren [ +*264 (CptPort +uid 7704,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7705,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,107625,43750,108375" +) +tg (CPTG +uid 7706,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7707,0 +va (VaSet +) +xt "35400,107400,42000,108600" +st "writePulse" +ju 2 +blo "42000,108400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*265 (CptPort +uid 7708,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7709,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,107625,27000,108375" +) +tg (CPTG +uid 7710,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7711,0 +va (VaSet +) +xt "28000,107400,31100,108600" +st "write" +blo "28000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*266 (CptPort +uid 7712,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7713,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,109625,27000,110375" +) +tg (CPTG +uid 7714,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7715,0 +va (VaSet +) +xt "28000,109400,34700,110600" +st "chipSelect" +blo "28000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*267 (CptPort +uid 7716,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7717,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,113625,27000,114375" +) +tg (CPTG +uid 7718,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7719,0 +va (VaSet +) +xt "28000,113400,31400,114600" +st "clock" +blo "28000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*268 (CptPort +uid 7720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,115625,27000,116375" +) +tg (CPTG +uid 7722,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7723,0 +va (VaSet +) +xt "28000,115400,31300,116600" +st "reset" +blo "28000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7696,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,104000,43000,118000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7697,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*269 (Text +uid 7698,0 +va (VaSet +) +xt "27600,117800,31900,119000" +st "Curves" +blo "27600,118800" +tm "BdLibraryNameMgr" +) +*270 (Text +uid 7699,0 +va (VaSet +) +xt "27600,118800,37500,120000" +st "periphWritePulse" +blo "27600,119800" +tm "CptNameMgr" +) +*271 (Text +uid 7700,0 +va (VaSet +) +xt "27600,119800,30200,121000" +st "I28" +blo "27600,120800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7701,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7702,0 +text (MLText +uid 7703,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,95000,-7000,95000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*272 (Net +uid 7756,0 +decl (Decl +n "wr16Pulse" +t "std_ulogic" +o 84 +suid 67,0 +) +declText (MLText +uid 7757,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,85000,230800,86000" +st "SIGNAL wr16Pulse : std_ulogic" +) +) +*273 (SaComponent +uid 7770,0 +optionalChildren [ +*274 (CptPort +uid 7758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7759,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "10250,105625,11000,106375" +) +tg (CPTG +uid 7760,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7761,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11000,105400,22600,106800" +st "in1 : std_uLogic" +blo "11000,106600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*275 (CptPort +uid 7762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7763,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "10250,109625,11000,110375" +) +tg (CPTG +uid 7764,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7765,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11000,109400,22600,110800" +st "in2 : std_uLogic" +blo "11000,110600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*276 (CptPort +uid 7766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7767,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "17950,107625,18700,108375" +) +tg (CPTG +uid 7768,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7769,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "5400,107350,18000,108750" +st "out1 : std_uLogic" +ju 2 +blo "18000,108550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 7771,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,105000,18000,111000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7772,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*277 (Text +uid 7773,0 +va (VaSet +isHidden 1 +) +xt "13600,105700,17100,106900" +st "gates" +blo "13600,106700" +tm "BdLibraryNameMgr" +) +*278 (Text +uid 7774,0 +va (VaSet +isHidden 1 +) +xt "13600,106700,16800,107900" +st "and2" +blo "13600,107700" +tm "CptNameMgr" +) +*279 (Text +uid 7775,0 +va (VaSet +) +xt "13600,106700,16200,107900" +st "I29" +blo "13600,107700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7776,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7777,0 +text (MLText +uid 7778,0 +va (VaSet +isHidden 1 +) +xt "11000,111400,24400,112600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*280 (Net +uid 7799,0 +decl (Decl +n "wr16" +t "std_ulogic" +o 83 +suid 68,0 +) +declText (MLText +uid 7800,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,84100,230200,85100" +st "SIGNAL wr16 : std_ulogic" +) +) +*281 (SaComponent +uid 8139,0 +optionalChildren [ +*282 (CptPort +uid 8103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,11625,91750,12375" +) +tg (CPTG +uid 8105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8106,0 +va (VaSet +) +xt "87700,11400,90000,12600" +st "run" +ju 2 +blo "90000,12400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +) +) +) +*283 (CptPort +uid 8107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,11625,75000,12375" +) +tg (CPTG +uid 8109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8110,0 +va (VaSet +) +xt "76000,11400,80000,12600" +st "dataIn" +blo "76000,12400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*284 (CptPort +uid 8111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,13625,91750,14375" +) +tg (CPTG +uid 8113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8114,0 +va (VaSet +) +xt "81500,13400,90000,14600" +st "updatePattern" +ju 2 +blo "90000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +) +) +) +*285 (CptPort +uid 8115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8116,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,13625,75000,14375" +) +tg (CPTG +uid 8117,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8118,0 +va (VaSet +) +xt "76000,13400,80800,14600" +st "dataOut" +blo "76000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*286 (CptPort +uid 8119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,17625,75000,18375" +) +tg (CPTG +uid 8121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8122,0 +va (VaSet +) +xt "76000,17400,79100,18600" +st "write" +blo "76000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +) +) +) +*287 (CptPort +uid 8123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,19625,75000,20375" +) +tg (CPTG +uid 8125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8126,0 +va (VaSet +) +xt "76000,19400,77900,20600" +st "en" +blo "76000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +) +) +) +*288 (CptPort +uid 8127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,23625,75000,24375" +) +tg (CPTG +uid 8129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8130,0 +va (VaSet +) +xt "76000,23400,79400,24600" +st "clock" +blo "76000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +) +) +) +*289 (CptPort +uid 8131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,25625,75000,26375" +) +tg (CPTG +uid 8133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8134,0 +va (VaSet +) +xt "76000,25400,79300,26600" +st "reset" +blo "76000,26400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*290 (CptPort +uid 8135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,15625,91750,16375" +) +tg (CPTG +uid 8137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8138,0 +va (VaSet +) +xt "80100,15400,90000,16600" +st "interpolateLinear" +ju 2 +blo "90000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8140,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,8000,91000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8141,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +uid 8142,0 +va (VaSet +) +xt "75600,27800,79900,29000" +st "Curves" +blo "75600,28800" +tm "BdLibraryNameMgr" +) +*292 (Text +uid 8143,0 +va (VaSet +) +xt "75600,28800,85700,30000" +st "periphControlReg" +blo "75600,29800" +tm "CptNameMgr" +) +*293 (Text +uid 8144,0 +va (VaSet +) +xt "75600,29800,77500,31000" +st "I4" +blo "75600,30800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8145,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8146,0 +text (MLText +uid 8147,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,31600,91600,32600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*294 (Net +uid 8148,0 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 39 +suid 69,0 +) +declText (MLText +uid 8149,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,44500,231000,45500" +st "SIGNAL interpolateLinear : std_ulogic" +) +) +*295 (SaComponent +uid 8192,0 +optionalChildren [ +*296 (CptPort +uid 8156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,153625,185000,154375" +) +tg (CPTG +uid 8158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8159,0 +va (VaSet +) +xt "186000,153400,191000,154600" +st "sample1" +blo "186000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*297 (CptPort +uid 8160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8161,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,155625,185000,156375" +) +tg (CPTG +uid 8162,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8163,0 +va (VaSet +) +xt "186000,155400,191000,156600" +st "sample2" +blo "186000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*298 (CptPort +uid 8164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,157625,185000,158375" +) +tg (CPTG +uid 8166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8167,0 +va (VaSet +) +xt "186000,157400,191000,158600" +st "sample3" +blo "186000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +) +*299 (CptPort +uid 8168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,159625,185000,160375" +) +tg (CPTG +uid 8170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8171,0 +va (VaSet +) +xt "186000,159400,191000,160600" +st "sample4" +blo "186000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*300 (CptPort +uid 8172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,153625,201750,154375" +) +tg (CPTG +uid 8174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8175,0 +va (VaSet +) +xt "198700,153400,200000,154600" +st "a" +ju 2 +blo "200000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*301 (CptPort +uid 8176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,155625,201750,156375" +) +tg (CPTG +uid 8178,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8179,0 +va (VaSet +) +xt "198700,155400,200000,156600" +st "b" +ju 2 +blo "200000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*302 (CptPort +uid 8180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,159625,201750,160375" +) +tg (CPTG +uid 8182,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8183,0 +va (VaSet +) +xt "198700,159400,200000,160600" +st "d" +ju 2 +blo "200000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*303 (CptPort +uid 8184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,157625,201750,158375" +) +tg (CPTG +uid 8186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8187,0 +va (VaSet +) +xt "198700,157400,200000,158600" +st "c" +ju 2 +blo "200000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*304 (CptPort +uid 8188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8189,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,161625,185000,162375" +) +tg (CPTG +uid 8190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8191,0 +va (VaSet +) +xt "186000,161400,195900,162600" +st "interpolateLinear" +blo "186000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,150000,201000,166000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*305 (Text +uid 8195,0 +va (VaSet +) +xt "185600,165800,189900,167000" +st "Curves" +blo "185600,166800" +tm "BdLibraryNameMgr" +) +*306 (Text +uid 8196,0 +va (VaSet +) +xt "185600,166800,199500,168000" +st "interpolatorCoefficients" +blo "185600,167800" +tm "CptNameMgr" +) +*307 (Text +uid 8197,0 +va (VaSet +) +xt "185600,167800,187500,169000" +st "I8" +blo "185600,168800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8199,0 +text (MLText +uid 8200,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,169800,202800,171800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*308 (SaComponent +uid 8237,0 +optionalChildren [ +*309 (CptPort +uid 8201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,116625,185000,117375" +) +tg (CPTG +uid 8203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8204,0 +va (VaSet +) +xt "186000,116400,191000,117600" +st "sample1" +blo "186000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*310 (CptPort +uid 8205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,118625,185000,119375" +) +tg (CPTG +uid 8207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8208,0 +va (VaSet +) +xt "186000,118400,191000,119600" +st "sample2" +blo "186000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*311 (CptPort +uid 8209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,120625,185000,121375" +) +tg (CPTG +uid 8211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8212,0 +va (VaSet +) +xt "186000,120400,191000,121600" +st "sample3" +blo "186000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +) +*312 (CptPort +uid 8213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,122625,185000,123375" +) +tg (CPTG +uid 8215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8216,0 +va (VaSet +) +xt "186000,122400,191000,123600" +st "sample4" +blo "186000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*313 (CptPort +uid 8217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,116625,201750,117375" +) +tg (CPTG +uid 8219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8220,0 +va (VaSet +) +xt "198700,116400,200000,117600" +st "a" +ju 2 +blo "200000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*314 (CptPort +uid 8221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8222,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,118625,201750,119375" +) +tg (CPTG +uid 8223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8224,0 +va (VaSet +) +xt "198700,118400,200000,119600" +st "b" +ju 2 +blo "200000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*315 (CptPort +uid 8225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,122625,201750,123375" +) +tg (CPTG +uid 8227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8228,0 +va (VaSet +) +xt "198700,122400,200000,123600" +st "d" +ju 2 +blo "200000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*316 (CptPort +uid 8229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,120625,201750,121375" +) +tg (CPTG +uid 8231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8232,0 +va (VaSet +) +xt "198700,120400,200000,121600" +st "c" +ju 2 +blo "200000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*317 (CptPort +uid 8233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,124625,185000,125375" +) +tg (CPTG +uid 8235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8236,0 +va (VaSet +) +xt "186000,124400,195900,125600" +st "interpolateLinear" +blo "186000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,113000,201000,129000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8239,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*318 (Text +uid 8240,0 +va (VaSet +) +xt "185600,128800,189900,130000" +st "Curves" +blo "185600,129800" +tm "BdLibraryNameMgr" +) +*319 (Text +uid 8241,0 +va (VaSet +) +xt "185600,129800,199500,131000" +st "interpolatorCoefficients" +blo "185600,130800" +tm "CptNameMgr" +) +*320 (Text +uid 8242,0 +va (VaSet +) +xt "185600,130800,188200,132000" +st "I12" +blo "185600,131800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8243,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8244,0 +text (MLText +uid 8245,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,132800,202800,134800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*321 (SaComponent +uid 8656,0 +optionalChildren [ +*322 (CptPort +uid 8628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8629,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,116625,107000,117375" +) +tg (CPTG +uid 8630,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8631,0 +va (VaSet +) +xt "108000,116400,112000,117600" +st "dataIn" +blo "108000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*323 (CptPort +uid 8632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,116625,123750,117375" +) +tg (CPTG +uid 8634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8635,0 +va (VaSet +) +xt "117200,116400,122000,117600" +st "dataOut" +ju 2 +blo "122000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*324 (CptPort +uid 8636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8637,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,124625,107000,125375" +) +tg (CPTG +uid 8638,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8639,0 +va (VaSet +) +xt "108000,124400,109900,125600" +st "en" +blo "108000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*325 (CptPort +uid 8640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,128625,107000,129375" +) +tg (CPTG +uid 8642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8643,0 +va (VaSet +) +xt "108000,128400,111400,129600" +st "clock" +blo "108000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*326 (CptPort +uid 8644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8645,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,130625,107000,131375" +) +tg (CPTG +uid 8646,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8647,0 +va (VaSet +) +xt "108000,130400,111300,131600" +st "reset" +blo "108000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*327 (CptPort +uid 8648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,122625,107000,123375" +) +tg (CPTG +uid 8650,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8651,0 +va (VaSet +) +xt "108000,122400,111100,123600" +st "write" +blo "108000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*328 (CptPort +uid 8652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8653,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,118625,107000,119375" +) +tg (CPTG +uid 8654,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8655,0 +va (VaSet +) +xt "108000,118400,110900,119600" +st "addr" +blo "108000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 8657,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,113000,123000,133000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8658,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*329 (Text +uid 8659,0 +va (VaSet +) +xt "107600,132800,111900,134000" +st "Curves" +blo "107600,133800" +tm "BdLibraryNameMgr" +) +*330 (Text +uid 8660,0 +va (VaSet +) +xt "107600,133800,113400,135000" +st "blockRAM" +blo "107600,134800" +tm "CptNameMgr" +) +*331 (Text +uid 8661,0 +va (VaSet +) +xt "107600,134800,110200,136000" +st "I21" +blo "107600,135800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8662,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8663,0 +text (MLText +uid 8664,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,136600,129600,138600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*332 (SaComponent +uid 8693,0 +optionalChildren [ +*333 (CptPort +uid 8665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,153625,107000,154375" +) +tg (CPTG +uid 8667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8668,0 +va (VaSet +) +xt "108000,153400,112000,154600" +st "dataIn" +blo "108000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*334 (CptPort +uid 8669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,153625,123750,154375" +) +tg (CPTG +uid 8671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8672,0 +va (VaSet +) +xt "117200,153400,122000,154600" +st "dataOut" +ju 2 +blo "122000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*335 (CptPort +uid 8673,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8674,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,161625,107000,162375" +) +tg (CPTG +uid 8675,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8676,0 +va (VaSet +) +xt "108000,161400,109900,162600" +st "en" +blo "108000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*336 (CptPort +uid 8677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8678,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,165625,107000,166375" +) +tg (CPTG +uid 8679,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8680,0 +va (VaSet +) +xt "108000,165400,111400,166600" +st "clock" +blo "108000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*337 (CptPort +uid 8681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8682,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,167625,107000,168375" +) +tg (CPTG +uid 8683,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8684,0 +va (VaSet +) +xt "108000,167400,111300,168600" +st "reset" +blo "108000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*338 (CptPort +uid 8685,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8686,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,159625,107000,160375" +) +tg (CPTG +uid 8687,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8688,0 +va (VaSet +) +xt "108000,159400,111100,160600" +st "write" +blo "108000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*339 (CptPort +uid 8689,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8690,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,155625,107000,156375" +) +tg (CPTG +uid 8691,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8692,0 +va (VaSet +) +xt "108000,155400,110900,156600" +st "addr" +blo "108000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 8694,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,150000,123000,170000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8695,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*340 (Text +uid 8696,0 +va (VaSet +) +xt "107600,169800,111900,171000" +st "Curves" +blo "107600,170800" +tm "BdLibraryNameMgr" +) +*341 (Text +uid 8697,0 +va (VaSet +) +xt "107600,170800,113400,172000" +st "blockRAM" +blo "107600,171800" +tm "CptNameMgr" +) +*342 (Text +uid 8698,0 +va (VaSet +) +xt "107600,171800,110200,173000" +st "I16" +blo "107600,172800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8699,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8700,0 +text (MLText +uid 8701,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,173600,129600,175600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*343 (SaComponent +uid 9102,0 +optionalChildren [ +*344 (CptPort +uid 9070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9071,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,122625,161000,123375" +) +tg (CPTG +uid 9072,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9073,0 +va (VaSet +) +xt "162000,122400,165400,123600" +st "clock" +blo "162000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*345 (CptPort +uid 9074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,124625,161000,125375" +) +tg (CPTG +uid 9076,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9077,0 +va (VaSet +) +xt "162000,124400,165300,125600" +st "reset" +blo "162000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*346 (CptPort +uid 9078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,118625,161000,119375" +) +tg (CPTG +uid 9080,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9081,0 +va (VaSet +) +xt "162000,118400,169900,119600" +st "shiftSamples" +blo "162000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*347 (CptPort +uid 9082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,116625,161000,117375" +) +tg (CPTG +uid 9084,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9085,0 +va (VaSet +) +xt "162000,116400,167400,117600" +st "sampleIn" +blo "162000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*348 (CptPort +uid 9086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,116625,177750,117375" +) +tg (CPTG +uid 9088,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9089,0 +va (VaSet +) +xt "171000,116400,176000,117600" +st "sample1" +ju 2 +blo "176000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*349 (CptPort +uid 9090,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9091,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,118625,177750,119375" +) +tg (CPTG +uid 9092,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9093,0 +va (VaSet +) +xt "171000,118400,176000,119600" +st "sample2" +ju 2 +blo "176000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*350 (CptPort +uid 9094,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9095,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,120625,177750,121375" +) +tg (CPTG +uid 9096,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9097,0 +va (VaSet +) +xt "171000,120400,176000,121600" +st "sample3" +ju 2 +blo "176000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*351 (CptPort +uid 9098,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9099,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,122625,177750,123375" +) +tg (CPTG +uid 9100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9101,0 +va (VaSet +) +xt "171000,122400,176000,123600" +st "sample4" +ju 2 +blo "176000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 9103,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,113000,177000,127000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9104,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*352 (Text +uid 9105,0 +va (VaSet +) +xt "161600,126800,165900,128000" +st "Curves" +blo "161600,127800" +tm "BdLibraryNameMgr" +) +*353 (Text +uid 9106,0 +va (VaSet +) +xt "161600,127800,176000,129000" +st "interpolatorShiftRegister" +blo "161600,128800" +tm "CptNameMgr" +) +*354 (Text +uid 9107,0 +va (VaSet +) +xt "161600,128800,164200,130000" +st "I11" +blo "161600,129800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9108,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9109,0 +text (MLText +uid 9110,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,130600,179100,131600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*355 (SaComponent +uid 9143,0 +optionalChildren [ +*356 (CptPort +uid 9111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,159625,161000,160375" +) +tg (CPTG +uid 9113,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9114,0 +va (VaSet +) +xt "162000,159400,165400,160600" +st "clock" +blo "162000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*357 (CptPort +uid 9115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9116,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,161625,161000,162375" +) +tg (CPTG +uid 9117,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9118,0 +va (VaSet +) +xt "162000,161400,165300,162600" +st "reset" +blo "162000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*358 (CptPort +uid 9119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,155625,161000,156375" +) +tg (CPTG +uid 9121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9122,0 +va (VaSet +) +xt "162000,155400,169900,156600" +st "shiftSamples" +blo "162000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*359 (CptPort +uid 9123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,153625,161000,154375" +) +tg (CPTG +uid 9125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9126,0 +va (VaSet +) +xt "162000,153400,167400,154600" +st "sampleIn" +blo "162000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*360 (CptPort +uid 9127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,153625,177750,154375" +) +tg (CPTG +uid 9129,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9130,0 +va (VaSet +) +xt "171000,153400,176000,154600" +st "sample1" +ju 2 +blo "176000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*361 (CptPort +uid 9131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,155625,177750,156375" +) +tg (CPTG +uid 9133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9134,0 +va (VaSet +) +xt "171000,155400,176000,156600" +st "sample2" +ju 2 +blo "176000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*362 (CptPort +uid 9135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,157625,177750,158375" +) +tg (CPTG +uid 9137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9138,0 +va (VaSet +) +xt "171000,157400,176000,158600" +st "sample3" +ju 2 +blo "176000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*363 (CptPort +uid 9139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,159625,177750,160375" +) +tg (CPTG +uid 9141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9142,0 +va (VaSet +) +xt "171000,159400,176000,160600" +st "sample4" +ju 2 +blo "176000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 9144,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,150000,177000,164000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9145,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*364 (Text +uid 9146,0 +va (VaSet +) +xt "161600,163800,165900,165000" +st "Curves" +blo "161600,164800" +tm "BdLibraryNameMgr" +) +*365 (Text +uid 9147,0 +va (VaSet +) +xt "161600,164800,176000,166000" +st "interpolatorShiftRegister" +blo "161600,165800" +tm "CptNameMgr" +) +*366 (Text +uid 9148,0 +va (VaSet +) +xt "161600,165800,163500,167000" +st "I7" +blo "161600,166800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9149,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9150,0 +text (MLText +uid 9151,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,167600,179100,168600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*367 (SaComponent +uid 9190,0 +optionalChildren [ +*368 (CptPort +uid 9154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,130625,217000,131375" +) +tg (CPTG +uid 9156,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9157,0 +va (VaSet +) +xt "218000,130400,221400,131600" +st "clock" +blo "218000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*369 (CptPort +uid 9158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,132625,217000,133375" +) +tg (CPTG +uid 9160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9161,0 +va (VaSet +) +xt "218000,132400,221300,133600" +st "reset" +blo "218000,133400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*370 (CptPort +uid 9162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,124625,217000,125375" +) +tg (CPTG +uid 9164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9165,0 +va (VaSet +) +xt "218000,124400,227100,125600" +st "restartPolynom" +blo "218000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +) +) +) +*371 (CptPort +uid 9166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,122625,217000,123375" +) +tg (CPTG +uid 9168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9169,0 +va (VaSet +) +xt "218000,122400,219300,123600" +st "d" +blo "218000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*372 (CptPort +uid 9170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,116625,233750,117375" +) +tg (CPTG +uid 9172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9173,0 +va (VaSet +) +xt "225800,116400,232000,117600" +st "sampleOut" +ju 2 +blo "232000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*373 (CptPort +uid 9174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,120625,217000,121375" +) +tg (CPTG +uid 9176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9177,0 +va (VaSet +) +xt "218000,120400,219300,121600" +st "c" +blo "218000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*374 (CptPort +uid 9178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,118625,217000,119375" +) +tg (CPTG +uid 9180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9181,0 +va (VaSet +) +xt "218000,118400,219300,119600" +st "b" +blo "218000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*375 (CptPort +uid 9182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,116625,217000,117375" +) +tg (CPTG +uid 9184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9185,0 +va (VaSet +) +xt "218000,116400,219300,117600" +st "a" +blo "218000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*376 (CptPort +uid 9186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,128625,217000,129375" +) +tg (CPTG +uid 9188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9189,0 +va (VaSet +) +xt "218000,128400,219900,129600" +st "en" +blo "218000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 9191,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,113000,233000,136000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9192,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*377 (Text +uid 9193,0 +va (VaSet +) +xt "217600,135800,221900,137000" +st "Curves" +blo "217600,136800" +tm "BdLibraryNameMgr" +) +*378 (Text +uid 9194,0 +va (VaSet +) +xt "217600,136800,234600,138000" +st "interpolatorCalculatePolynom" +blo "217600,137800" +tm "CptNameMgr" +) +*379 (Text +uid 9195,0 +va (VaSet +) +xt "217600,137800,220200,139000" +st "I13" +blo "217600,138800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9196,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9197,0 +text (MLText +uid 9198,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,139000,241200,142000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*380 (SaComponent +uid 9235,0 +optionalChildren [ +*381 (CptPort +uid 9199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,167625,217000,168375" +) +tg (CPTG +uid 9201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9202,0 +va (VaSet +) +xt "218000,167400,221400,168600" +st "clock" +blo "218000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*382 (CptPort +uid 9203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9204,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,169625,217000,170375" +) +tg (CPTG +uid 9205,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9206,0 +va (VaSet +) +xt "218000,169400,221300,170600" +st "reset" +blo "218000,170400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*383 (CptPort +uid 9207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,161625,217000,162375" +) +tg (CPTG +uid 9209,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9210,0 +va (VaSet +) +xt "218000,161400,227100,162600" +st "restartPolynom" +blo "218000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +) +) +) +*384 (CptPort +uid 9211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,159625,217000,160375" +) +tg (CPTG +uid 9213,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9214,0 +va (VaSet +) +xt "218000,159400,219300,160600" +st "d" +blo "218000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*385 (CptPort +uid 9215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,153625,233750,154375" +) +tg (CPTG +uid 9217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9218,0 +va (VaSet +) +xt "225800,153400,232000,154600" +st "sampleOut" +ju 2 +blo "232000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*386 (CptPort +uid 9219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,157625,217000,158375" +) +tg (CPTG +uid 9221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9222,0 +va (VaSet +) +xt "218000,157400,219300,158600" +st "c" +blo "218000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*387 (CptPort +uid 9223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,155625,217000,156375" +) +tg (CPTG +uid 9225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9226,0 +va (VaSet +) +xt "218000,155400,219300,156600" +st "b" +blo "218000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*388 (CptPort +uid 9227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,153625,217000,154375" +) +tg (CPTG +uid 9229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9230,0 +va (VaSet +) +xt "218000,153400,219300,154600" +st "a" +blo "218000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*389 (CptPort +uid 9231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,165625,217000,166375" +) +tg (CPTG +uid 9233,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9234,0 +va (VaSet +) +xt "218000,165400,219900,166600" +st "en" +blo "218000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 9236,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,150000,233000,173000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9237,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*390 (Text +uid 9238,0 +va (VaSet +) +xt "217600,172800,221900,174000" +st "Curves" +blo "217600,173800" +tm "BdLibraryNameMgr" +) +*391 (Text +uid 9239,0 +va (VaSet +) +xt "217600,173800,234600,175000" +st "interpolatorCalculatePolynom" +blo "217600,174800" +tm "CptNameMgr" +) +*392 (Text +uid 9240,0 +va (VaSet +) +xt "217600,174800,219500,176000" +st "I9" +blo "217600,175800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9241,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9242,0 +text (MLText +uid 9243,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,176000,241200,179000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*393 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 38 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,43600,230600,44600" +st "SIGNAL interpolateLin : std_ulogic" +) +) +*394 (SaComponent +uid 10416,0 +optionalChildren [ +*395 (CptPort +uid 10425,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10426,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,209625,75000,210375" +) +tg (CPTG +uid 10427,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10428,0 +va (VaSet +) +xt "76000,209400,77900,210600" +st "en" +blo "76000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*396 (CptPort +uid 10429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10430,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,213625,75000,214375" +) +tg (CPTG +uid 10431,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10432,0 +va (VaSet +) +xt "76000,213400,79400,214600" +st "clock" +blo "76000,214400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*397 (CptPort +uid 10433,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10434,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,215625,75000,216375" +) +tg (CPTG +uid 10435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10436,0 +va (VaSet +) +xt "76000,215400,79300,216600" +st "reset" +blo "76000,216400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*398 (CptPort +uid 10437,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10438,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,207625,75000,208375" +) +tg (CPTG +uid 10439,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10440,0 +va (VaSet +) +xt "76000,207400,82500,208600" +st "updateMem" +blo "76000,208400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*399 (CptPort +uid 10441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10442,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,205625,91750,206375" +) +tg (CPTG +uid 10443,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10444,0 +va (VaSet +) +xt "87100,205400,90000,206600" +st "addr" +ju 2 +blo "90000,206400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*400 (CptPort +uid 10445,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10446,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,205625,75000,206375" +) +tg (CPTG +uid 10447,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10448,0 +va (VaSet +) +xt "76000,205400,83100,206600" +st "patternSize" +blo "76000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 10417,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,202000,91000,218000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10418,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*401 (Text +uid 10419,0 +va (VaSet +) +xt "75600,217800,79900,219000" +st "Curves" +blo "75600,218800" +tm "BdLibraryNameMgr" +) +*402 (Text +uid 10420,0 +va (VaSet +) +xt "75600,218800,91000,220000" +st "blockRAMAddressCounter" +blo "75600,219800" +tm "CptNameMgr" +) +*403 (Text +uid 10421,0 +va (VaSet +) +xt "75600,219800,78200,221000" +st "I30" +blo "75600,220800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10422,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10423,0 +text (MLText +uid 10424,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,221600,98800,223600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*404 (SaComponent +uid 10494,0 +optionalChildren [ +*405 (CptPort +uid 10503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,203625,107000,204375" +) +tg (CPTG +uid 10505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10506,0 +va (VaSet +) +xt "108000,203400,112000,204600" +st "dataIn" +blo "108000,204400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*406 (CptPort +uid 10507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,203625,123750,204375" +) +tg (CPTG +uid 10509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10510,0 +va (VaSet +) +xt "117200,203400,122000,204600" +st "dataOut" +ju 2 +blo "122000,204400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*407 (CptPort +uid 10511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,211625,107000,212375" +) +tg (CPTG +uid 10513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10514,0 +va (VaSet +) +xt "108000,211400,109900,212600" +st "en" +blo "108000,212400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*408 (CptPort +uid 10515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,215625,107000,216375" +) +tg (CPTG +uid 10517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10518,0 +va (VaSet +) +xt "108000,215400,111400,216600" +st "clock" +blo "108000,216400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*409 (CptPort +uid 10519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,217625,107000,218375" +) +tg (CPTG +uid 10521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10522,0 +va (VaSet +) +xt "108000,217400,111300,218600" +st "reset" +blo "108000,218400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*410 (CptPort +uid 10523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,209625,107000,210375" +) +tg (CPTG +uid 10525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10526,0 +va (VaSet +) +xt "108000,209400,111100,210600" +st "write" +blo "108000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*411 (CptPort +uid 10527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,205625,107000,206375" +) +tg (CPTG +uid 10529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10530,0 +va (VaSet +) +xt "108000,205400,110900,206600" +st "addr" +blo "108000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 10495,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,200000,123000,220000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10496,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*412 (Text +uid 10497,0 +va (VaSet +) +xt "107600,219800,111900,221000" +st "Curves" +blo "107600,220800" +tm "BdLibraryNameMgr" +) +*413 (Text +uid 10498,0 +va (VaSet +) +xt "107600,220800,113400,222000" +st "blockRAM" +blo "107600,221800" +tm "CptNameMgr" +) +*414 (Text +uid 10499,0 +va (VaSet +) +xt "107600,221800,110200,223000" +st "I32" +blo "107600,222800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10500,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10501,0 +text (MLText +uid 10502,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,223600,129600,225600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*415 (Net +uid 10607,0 +decl (Decl +n "cntIncrZ" +t "std_ulogic" +o 33 +suid 71,0 +) +declText (MLText +uid 10608,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,39100,230200,40100" +st "SIGNAL cntIncrZ : std_ulogic" +) +) +*416 (Net +uid 10609,0 +decl (Decl +n "addrZ" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 26 +suid 72,0 +) +declText (MLText +uid 10610,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,32800,244900,33800" +st "SIGNAL addrZ : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*417 (Net +uid 10611,0 +decl (Decl +n "memZ" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 51 +suid 73,0 +) +declText (MLText +uid 10612,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,55300,244700,56300" +st "SIGNAL memZ : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*418 (Net +uid 10613,0 +decl (Decl +n "memWrZ" +t "std_ulogic" +o 48 +suid 74,0 +) +declText (MLText +uid 10614,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,52600,231300,53600" +st "SIGNAL memWrZ : std_ulogic" +) +) +*419 (Net +uid 10615,0 +decl (Decl +n "memEnZ" +t "std_ulogic" +o 45 +suid 75,0 +) +declText (MLText +uid 10616,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,49900,231200,50900" +st "SIGNAL memEnZ : std_ulogic" +) +) +*420 (SaComponent +uid 10651,0 +optionalChildren [ +*421 (CptPort +uid 10623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,31625,43750,32375" +) +tg (CPTG +uid 10625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10626,0 +va (VaSet +) +xt "35400,31400,42000,32600" +st "selControl" +ju 2 +blo "42000,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +) +) +) +*422 (CptPort +uid 10627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,31625,27000,32375" +) +tg (CPTG +uid 10629,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10630,0 +va (VaSet +) +xt "28000,31400,30900,32600" +st "addr" +blo "28000,32400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*423 (CptPort +uid 10631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,33625,43750,34375" +) +tg (CPTG +uid 10633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10634,0 +va (VaSet +) +xt "37700,33400,42000,34600" +st "selSize" +ju 2 +blo "42000,34400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSize" +t "std_ulogic" +o 3 +) +) +) +*424 (CptPort +uid 10635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,35625,43750,36375" +) +tg (CPTG +uid 10637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10638,0 +va (VaSet +) +xt "36700,35400,42000,36600" +st "selSpeed" +ju 2 +blo "42000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 4 +) +) +) +*425 (CptPort +uid 10639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,37625,43750,38375" +) +tg (CPTG +uid 10641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10642,0 +va (VaSet +) +xt "39100,37400,42000,38600" +st "selX" +ju 2 +blo "42000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 5 +) +) +) +*426 (CptPort +uid 10643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,39625,43750,40375" +) +tg (CPTG +uid 10645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10646,0 +va (VaSet +) +xt "39100,39400,42000,40600" +st "selY" +ju 2 +blo "42000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 6 +) +) +) +*427 (CptPort +uid 10647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10648,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,41625,43750,42375" +) +tg (CPTG +uid 10649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10650,0 +va (VaSet +) +xt "39100,41400,42000,42600" +st "selZ" +ju 2 +blo "42000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,28000,43000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10653,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*428 (Text +uid 10654,0 +va (VaSet +) +xt "27600,45800,31900,47000" +st "Curves" +blo "27600,46800" +tm "BdLibraryNameMgr" +) +*429 (Text +uid 10655,0 +va (VaSet +) +xt "27600,46800,41200,48000" +st "periphAddressDecoder" +blo "27600,47800" +tm "CptNameMgr" +) +*430 (Text +uid 10656,0 +va (VaSet +) +xt "27600,47800,29500,49000" +st "I2" +blo "27600,48800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10657,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10658,0 +text (MLText +uid 10659,0 +va (VaSet +font "Verdana,8,0" +) +xt "27000,49600,46700,50600" +st "addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*431 (Net +uid 10660,0 +decl (Decl +n "selZ" +t "std_ulogic" +o 76 +suid 76,0 +) +declText (MLText +uid 10661,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,77800,229800,78800" +st "SIGNAL selZ : std_ulogic" +) +) +*432 (PortIoOut +uid 10878,0 +shape (CompositeShape +uid 10879,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10880,0 +sl 0 +ro 270 +xt "209500,205625,211000,206375" +) +(Line +uid 10881,0 +sl 0 +ro 270 +xt "209000,206000,209500,206000" +pts [ +"209000,206000" +"209500,206000" +] +) +] +) +tg (WTG +uid 10882,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10883,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "212000,205300,215700,206700" +st "outZ" +blo "212000,206500" +tm "WireNameMgr" +) +) +) +*433 (Net +uid 10890,0 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 77,0 +) +declText (MLText +uid 10891,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,13900,226800,14900" +st "outZ : std_ulogic" +) +) +*434 (HdlText +uid 10892,0 +optionalChildren [ +*435 (EmbeddedText +uid 10897,0 +commentText (CommentText +uid 10898,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 10899,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "186000,204000,200300,208000" +) +oxt "0,0,18000,5000" +text (MLText +uid 10900,0 +va (VaSet +) +xt "186200,204200,198500,207800" +st " +outZ <= '0' when ( (to_01(unsigned(sampleZ1)) = 0) or (to_01(unsigned(sampleZ2)) = 0) ) else '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14300 +) +) +) +] +shape (Rectangle +uid 10893,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "185000,202000,201000,210000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10894,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*436 (Text +uid 10895,0 +va (VaSet +) +xt "185400,210000,188000,211200" +st "eb9" +blo "185400,211000" +tm "HdlTextNameMgr" +) +*437 (Text +uid 10896,0 +va (VaSet +) +xt "185400,211000,186800,212200" +st "9" +blo "185400,212000" +tm "HdlTextNumberMgr" +) +] +) +) +*438 (SaComponent +uid 11389,0 +optionalChildren [ +*439 (CptPort +uid 11353,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11354,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,133625,91750,134375" +) +tg (CPTG +uid 11355,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11356,0 +va (VaSet +) +xt "85600,133400,90000,134600" +st "memWr" +ju 2 +blo "90000,134400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*440 (CptPort +uid 11357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,129625,75000,130375" +) +tg (CPTG +uid 11359,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11360,0 +va (VaSet +) +xt "76000,129400,78200,130600" +st "sel" +blo "76000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*441 (CptPort +uid 11361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11362,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,135625,91750,136375" +) +tg (CPTG +uid 11363,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11364,0 +va (VaSet +) +xt "85600,135400,90000,136600" +st "memEn" +ju 2 +blo "90000,136400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*442 (CptPort +uid 11365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11366,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,133625,75000,134375" +) +tg (CPTG +uid 11367,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11368,0 +va (VaSet +) +xt "76000,133400,80100,134600" +st "update" +blo "76000,134400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*443 (CptPort +uid 11369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,131625,75000,132375" +) +tg (CPTG +uid 11371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11372,0 +va (VaSet +) +xt "76000,131400,77800,132600" +st "wr" +blo "76000,132400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*444 (CptPort +uid 11373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,129625,91750,130375" +) +tg (CPTG +uid 11375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11376,0 +va (VaSet +) +xt "85600,129400,90000,130600" +st "cntIncr" +ju 2 +blo "90000,130400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*445 (CptPort +uid 11377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,135625,75000,136375" +) +tg (CPTG +uid 11379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11380,0 +va (VaSet +) +xt "76000,135400,82300,136600" +st "newSample" +blo "76000,136400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*446 (CptPort +uid 11381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,139625,75000,140375" +) +tg (CPTG +uid 11383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11384,0 +va (VaSet +) +xt "76000,139400,79400,140600" +st "clock" +blo "76000,140400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*447 (CptPort +uid 11385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,141625,75000,142375" +) +tg (CPTG +uid 11387,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11388,0 +va (VaSet +) +xt "76000,141400,79300,142600" +st "reset" +blo "76000,142400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11390,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,126000,91000,144000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11391,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*448 (Text +uid 11392,0 +va (VaSet +) +xt "75600,143800,79900,145000" +st "Curves" +blo "75600,144800" +tm "BdLibraryNameMgr" +) +*449 (Text +uid 11393,0 +va (VaSet +) +xt "75600,144800,85800,146000" +st "blockRAMControl" +blo "75600,145800" +tm "CptNameMgr" +) +*450 (Text +uid 11394,0 +va (VaSet +) +xt "75600,145800,78200,147000" +st "I19" +blo "75600,146800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11395,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11396,0 +text (MLText +uid 11397,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,118000,43000,118000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*451 (SaComponent +uid 11434,0 +optionalChildren [ +*452 (CptPort +uid 11398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11399,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,183625,91750,184375" +) +tg (CPTG +uid 11400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11401,0 +va (VaSet +) +xt "85600,183400,90000,184600" +st "memWr" +ju 2 +blo "90000,184400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*453 (CptPort +uid 11402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11403,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,179625,75000,180375" +) +tg (CPTG +uid 11404,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11405,0 +va (VaSet +) +xt "76000,179400,78200,180600" +st "sel" +blo "76000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*454 (CptPort +uid 11406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11407,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,185625,91750,186375" +) +tg (CPTG +uid 11408,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11409,0 +va (VaSet +) +xt "85600,185400,90000,186600" +st "memEn" +ju 2 +blo "90000,186400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*455 (CptPort +uid 11410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,183625,75000,184375" +) +tg (CPTG +uid 11412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11413,0 +va (VaSet +) +xt "76000,183400,80100,184600" +st "update" +blo "76000,184400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*456 (CptPort +uid 11414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,181625,75000,182375" +) +tg (CPTG +uid 11416,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11417,0 +va (VaSet +) +xt "76000,181400,77800,182600" +st "wr" +blo "76000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*457 (CptPort +uid 11418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11419,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,179625,91750,180375" +) +tg (CPTG +uid 11420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11421,0 +va (VaSet +) +xt "85600,179400,90000,180600" +st "cntIncr" +ju 2 +blo "90000,180400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*458 (CptPort +uid 11422,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11423,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,185625,75000,186375" +) +tg (CPTG +uid 11424,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11425,0 +va (VaSet +) +xt "76000,185400,82300,186600" +st "newSample" +blo "76000,186400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*459 (CptPort +uid 11426,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11427,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,189625,75000,190375" +) +tg (CPTG +uid 11428,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11429,0 +va (VaSet +) +xt "76000,189400,79400,190600" +st "clock" +blo "76000,190400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*460 (CptPort +uid 11430,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11431,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,191625,75000,192375" +) +tg (CPTG +uid 11432,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11433,0 +va (VaSet +) +xt "76000,191400,79300,192600" +st "reset" +blo "76000,192400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11435,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,176000,91000,194000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11436,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*461 (Text +uid 11437,0 +va (VaSet +) +xt "75600,193800,79900,195000" +st "Curves" +blo "75600,194800" +tm "BdLibraryNameMgr" +) +*462 (Text +uid 11438,0 +va (VaSet +) +xt "75600,194800,85800,196000" +st "blockRAMControl" +blo "75600,195800" +tm "CptNameMgr" +) +*463 (Text +uid 11439,0 +va (VaSet +) +xt "75600,195800,78200,197000" +st "I17" +blo "75600,196800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11440,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11441,0 +text (MLText +uid 11442,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,168000,43000,168000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*464 (SaComponent +uid 11479,0 +optionalChildren [ +*465 (CptPort +uid 11443,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11444,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,233625,91750,234375" +) +tg (CPTG +uid 11445,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11446,0 +va (VaSet +) +xt "85600,233400,90000,234600" +st "memWr" +ju 2 +blo "90000,234400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*466 (CptPort +uid 11447,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11448,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,229625,75000,230375" +) +tg (CPTG +uid 11449,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11450,0 +va (VaSet +) +xt "76000,229400,78200,230600" +st "sel" +blo "76000,230400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*467 (CptPort +uid 11451,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11452,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,235625,91750,236375" +) +tg (CPTG +uid 11453,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11454,0 +va (VaSet +) +xt "85600,235400,90000,236600" +st "memEn" +ju 2 +blo "90000,236400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*468 (CptPort +uid 11455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11456,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,233625,75000,234375" +) +tg (CPTG +uid 11457,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11458,0 +va (VaSet +) +xt "76000,233400,80100,234600" +st "update" +blo "76000,234400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*469 (CptPort +uid 11459,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11460,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,231625,75000,232375" +) +tg (CPTG +uid 11461,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11462,0 +va (VaSet +) +xt "76000,231400,77800,232600" +st "wr" +blo "76000,232400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*470 (CptPort +uid 11463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,229625,91750,230375" +) +tg (CPTG +uid 11465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11466,0 +va (VaSet +) +xt "85600,229400,90000,230600" +st "cntIncr" +ju 2 +blo "90000,230400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*471 (CptPort +uid 11467,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11468,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,235625,75000,236375" +) +tg (CPTG +uid 11469,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11470,0 +va (VaSet +) +xt "76000,235400,82300,236600" +st "newSample" +blo "76000,236400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*472 (CptPort +uid 11471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,239625,75000,240375" +) +tg (CPTG +uid 11473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11474,0 +va (VaSet +) +xt "76000,239400,79400,240600" +st "clock" +blo "76000,240400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*473 (CptPort +uid 11475,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11476,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,241625,75000,242375" +) +tg (CPTG +uid 11477,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11478,0 +va (VaSet +) +xt "76000,241400,79300,242600" +st "reset" +blo "76000,242400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11480,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,226000,91000,244000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11481,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*474 (Text +uid 11482,0 +va (VaSet +) +xt "75600,243800,79900,245000" +st "Curves" +blo "75600,244800" +tm "BdLibraryNameMgr" +) +*475 (Text +uid 11483,0 +va (VaSet +) +xt "75600,244800,85800,246000" +st "blockRAMControl" +blo "75600,245800" +tm "CptNameMgr" +) +*476 (Text +uid 11484,0 +va (VaSet +) +xt "75600,245800,78200,247000" +st "I31" +blo "75600,246800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11485,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11486,0 +text (MLText +uid 11487,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,218000,43000,218000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*477 (SaComponent +uid 11488,0 +optionalChildren [ +*478 (CptPort +uid 11497,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11498,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,209625,161000,210375" +) +tg (CPTG +uid 11499,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11500,0 +va (VaSet +) +xt "162000,209400,165400,210600" +st "clock" +blo "162000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*479 (CptPort +uid 11501,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11502,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,211625,161000,212375" +) +tg (CPTG +uid 11503,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11504,0 +va (VaSet +) +xt "162000,211400,165300,212600" +st "reset" +blo "162000,212400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*480 (CptPort +uid 11505,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11506,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,205625,161000,206375" +) +tg (CPTG +uid 11507,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11508,0 +va (VaSet +) +xt "162000,205400,169900,206600" +st "shiftSamples" +blo "162000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*481 (CptPort +uid 11509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11510,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,203625,161000,204375" +) +tg (CPTG +uid 11511,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11512,0 +va (VaSet +) +xt "162000,203400,167400,204600" +st "sampleIn" +blo "162000,204400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*482 (CptPort +uid 11513,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11514,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,203625,177750,204375" +) +tg (CPTG +uid 11515,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11516,0 +va (VaSet +) +xt "171000,203400,176000,204600" +st "sample1" +ju 2 +blo "176000,204400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*483 (CptPort +uid 11517,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11518,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,205625,177750,206375" +) +tg (CPTG +uid 11519,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11520,0 +va (VaSet +) +xt "171000,205400,176000,206600" +st "sample2" +ju 2 +blo "176000,206400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*484 (CptPort +uid 11521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11522,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,207625,177750,208375" +) +tg (CPTG +uid 11523,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11524,0 +va (VaSet +) +xt "171000,207400,176000,208600" +st "sample3" +ju 2 +blo "176000,208400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*485 (CptPort +uid 11525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11526,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,209625,177750,210375" +) +tg (CPTG +uid 11527,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11528,0 +va (VaSet +) +xt "171000,209400,176000,210600" +st "sample4" +ju 2 +blo "176000,210400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 11489,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,200000,177000,214000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11490,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*486 (Text +uid 11491,0 +va (VaSet +) +xt "161600,213800,165900,215000" +st "Curves" +blo "161600,214800" +tm "BdLibraryNameMgr" +) +*487 (Text +uid 11492,0 +va (VaSet +) +xt "161600,214800,176000,216000" +st "interpolatorShiftRegister" +blo "161600,215800" +tm "CptNameMgr" +) +*488 (Text +uid 11493,0 +va (VaSet +) +xt "161600,215800,164200,217000" +st "I33" +blo "161600,216800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11494,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11495,0 +text (MLText +uid 11496,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,217600,179100,218600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*489 (Net +uid 11567,0 +decl (Decl +n "sampleZ1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 66 +suid 78,0 +) +declText (MLText +uid 11568,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,68800,240900,69800" +st "SIGNAL sampleZ1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*490 (Net +uid 11569,0 +decl (Decl +n "sampleZ2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 67 +suid 79,0 +) +declText (MLText +uid 11570,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,69700,240900,70700" +st "SIGNAL sampleZ2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*491 (HdlText +uid 11571,0 +optionalChildren [ +*492 (EmbeddedText +uid 11576,0 +commentText (CommentText +uid 11577,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11578,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,202000,146300,206000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11579,0 +va (VaSet +) +xt "132200,202200,146500,205800" +st " +samplesZ <= (others => '1') when selSinCos = '1' + else signed(memZ); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14300 +) +) +) +] +shape (Rectangle +uid 11572,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,200000,147000,208000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11573,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*493 (Text +uid 11574,0 +va (VaSet +) +xt "131400,208000,134700,209200" +st "eb10" +blo "131400,209000" +tm "HdlTextNameMgr" +) +*494 (Text +uid 11575,0 +va (VaSet +) +xt "131400,209000,133500,210200" +st "10" +blo "131400,210000" +tm "HdlTextNumberMgr" +) +] +) +) +*495 (Net +uid 11588,0 +decl (Decl +n "samplesZ" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 70 +suid 80,0 +) +declText (MLText +uid 11589,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,72400,240800,73400" +st "SIGNAL samplesZ : signed(signalBitNb-1 DOWNTO 0)" +) +) +*496 (SaComponent +uid 11858,0 +optionalChildren [ +*497 (CptPort +uid 11830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,84625,281750,85375" +) +tg (CPTG +uid 11832,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11833,0 +va (VaSet +) +xt "277200,84400,280000,85600" +st "CLK" +ju 2 +blo "280000,85400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*498 (CptPort +uid 11834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11835,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,86625,265000,87375" +) +tg (CPTG +uid 11836,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11837,0 +va (VaSet +) +xt "266000,86400,269400,87600" +st "clock" +blo "266000,87400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*499 (CptPort +uid 11838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,80625,281750,81375" +) +tg (CPTG +uid 11840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11841,0 +va (VaSet +) +xt "276600,80400,280000,81600" +st "CS_n" +ju 2 +blo "280000,81400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*500 (CptPort +uid 11842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,82625,265000,83375" +) +tg (CPTG +uid 11844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11845,0 +va (VaSet +) +xt "266000,82400,270500,83600" +st "enConv" +blo "266000,83400" +) +) +thePort (LogicalPort +decl (Decl +n "enConv" +t "std_uLogic" +o 37 +) +) +) +*501 (CptPort +uid 11846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,88625,265000,89375" +) +tg (CPTG +uid 11848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11849,0 +va (VaSet +) +xt "266000,88400,269300,89600" +st "reset" +blo "266000,89400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*502 (CptPort +uid 11850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,80625,265000,81375" +) +tg (CPTG +uid 11852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11853,0 +va (VaSet +) +xt "266000,80400,270000,81600" +st "dataIn" +blo "266000,81400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 56 +) +) +) +*503 (CptPort +uid 11854,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11855,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,82625,281750,83375" +) +tg (CPTG +uid 11856,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11857,0 +va (VaSet +) +xt "277300,82400,280000,83600" +st "SDI" +ju 2 +blo "280000,83400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +] +shape (Rectangle +uid 11859,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,77000,281000,91000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11860,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*504 (Text +uid 11861,0 +va (VaSet +) +xt "265150,90800,269450,92000" +st "Curves" +blo "265150,91800" +tm "BdLibraryNameMgr" +) +*505 (Text +uid 11862,0 +va (VaSet +) +xt "265150,91800,272950,93000" +st "dacInterface" +blo "265150,92800" +tm "CptNameMgr" +) +*506 (Text +uid 11863,0 +va (VaSet +) +xt "265150,92800,267750,94000" +st "I34" +blo "265150,93800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11864,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11865,0 +text (MLText +uid 11866,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,94600,280300,95600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*507 (SaComponent +uid 11943,0 +optionalChildren [ +*508 (CptPort +uid 11952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11953,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,62625,281750,63375" +) +tg (CPTG +uid 11954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11955,0 +va (VaSet +) +xt "277200,62400,280000,63600" +st "CLK" +ju 2 +blo "280000,63400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*509 (CptPort +uid 11956,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11957,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,64625,265000,65375" +) +tg (CPTG +uid 11958,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11959,0 +va (VaSet +) +xt "266000,64400,269400,65600" +st "clock" +blo "266000,65400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*510 (CptPort +uid 11960,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11961,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,58625,281750,59375" +) +tg (CPTG +uid 11962,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11963,0 +va (VaSet +) +xt "276600,58400,280000,59600" +st "CS_n" +ju 2 +blo "280000,59400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*511 (CptPort +uid 11964,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11965,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,60625,265000,61375" +) +tg (CPTG +uid 11966,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11967,0 +va (VaSet +) +xt "266000,60400,270500,61600" +st "enConv" +blo "266000,61400" +) +) +thePort (LogicalPort +decl (Decl +n "enConv" +t "std_uLogic" +o 37 +) +) +) +*512 (CptPort +uid 11968,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11969,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,66625,265000,67375" +) +tg (CPTG +uid 11970,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11971,0 +va (VaSet +) +xt "266000,66400,269300,67600" +st "reset" +blo "266000,67400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*513 (CptPort +uid 11972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11973,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,58625,265000,59375" +) +tg (CPTG +uid 11974,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11975,0 +va (VaSet +) +xt "266000,58400,270000,59600" +st "dataIn" +blo "266000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 56 +) +) +) +*514 (CptPort +uid 11976,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11977,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,60625,281750,61375" +) +tg (CPTG +uid 11978,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11979,0 +va (VaSet +) +xt "277300,60400,280000,61600" +st "SDI" +ju 2 +blo "280000,61400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +] +shape (Rectangle +uid 11944,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,55000,281000,69000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11945,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*515 (Text +uid 11946,0 +va (VaSet +) +xt "265150,68800,269450,70000" +st "Curves" +blo "265150,69800" +tm "BdLibraryNameMgr" +) +*516 (Text +uid 11947,0 +va (VaSet +) +xt "265150,69800,272950,71000" +st "dacInterface" +blo "265150,70800" +tm "CptNameMgr" +) +*517 (Text +uid 11948,0 +va (VaSet +) +xt "265150,70800,267750,72000" +st "I35" +blo "265150,71800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11949,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11950,0 +text (MLText +uid 11951,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,72600,280300,73600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*518 (PortIoOut +uid 12050,0 +shape (CompositeShape +uid 12051,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12052,0 +sl 0 +ro 270 +xt "289500,84625,291000,85375" +) +(Line +uid 12053,0 +sl 0 +ro 270 +xt "289000,85000,289500,85000" +pts [ +"289000,85000" +"289500,85000" +] +) +] +) +tg (WTG +uid 12054,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12055,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,84300,296800,85700" +st "CLK_X" +blo "292000,85500" +tm "WireNameMgr" +) +) +) +*519 (Net +uid 12062,0 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 81,0 +) +declText (MLText +uid 12063,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,16600,227300,17600" +st "CLK_X : std_ulogic" +) +) +*520 (PortIoOut +uid 12064,0 +shape (CompositeShape +uid 12065,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12066,0 +sl 0 +ro 270 +xt "289500,62625,291000,63375" +) +(Line +uid 12067,0 +sl 0 +ro 270 +xt "289000,63000,289500,63000" +pts [ +"289000,63000" +"289500,63000" +] +) +] +) +tg (WTG +uid 12068,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12069,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,62300,296700,63700" +st "CLK_Y" +blo "292000,63500" +tm "WireNameMgr" +) +) +) +*521 (Net +uid 12076,0 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 82,0 +) +declText (MLText +uid 12077,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,17500,227300,18500" +st "CLK_Y : std_ulogic" +) +) +*522 (PortIoOut +uid 12078,0 +shape (CompositeShape +uid 12079,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12080,0 +sl 0 +ro 270 +xt "289500,80625,291000,81375" +) +(Line +uid 12081,0 +sl 0 +ro 270 +xt "289000,81000,289500,81000" +pts [ +"289000,81000" +"289500,81000" +] +) +] +) +tg (WTG +uid 12082,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12083,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,80300,297700,81700" +st "CS_X_n" +blo "292000,81500" +tm "WireNameMgr" +) +) +) +*523 (Net +uid 12090,0 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 83,0 +) +declText (MLText +uid 12091,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,14800,227500,15800" +st "CS_X_n : std_ulogic" +) +) +*524 (PortIoOut +uid 12092,0 +shape (CompositeShape +uid 12093,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12094,0 +sl 0 +ro 270 +xt "289500,58625,291000,59375" +) +(Line +uid 12095,0 +sl 0 +ro 270 +xt "289000,59000,289500,59000" +pts [ +"289000,59000" +"289500,59000" +] +) +] +) +tg (WTG +uid 12096,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12097,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,58300,297600,59700" +st "CS_Y_n" +blo "292000,59500" +tm "WireNameMgr" +) +) +) +*525 (Net +uid 12104,0 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 84,0 +) +declText (MLText +uid 12105,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,18400,227500,19400" +st "CS_Y_n : std_ulogic" +) +) +*526 (PortIoOut +uid 12106,0 +shape (CompositeShape +uid 12107,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12108,0 +sl 0 +ro 270 +xt "289500,82625,291000,83375" +) +(Line +uid 12109,0 +sl 0 +ro 270 +xt "289000,83000,289500,83000" +pts [ +"289000,83000" +"289500,83000" +] +) +] +) +tg (WTG +uid 12110,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12111,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,82300,296600,83700" +st "SDI_X" +blo "292000,83500" +tm "WireNameMgr" +) +) +) +*527 (Net +uid 12118,0 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 85,0 +) +declText (MLText +uid 12119,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,15700,227100,16700" +st "SDI_X : std_ulogic" +) +) +*528 (PortIoOut +uid 12120,0 +shape (CompositeShape +uid 12121,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12122,0 +sl 0 +ro 270 +xt "289500,60625,291000,61375" +) +(Line +uid 12123,0 +sl 0 +ro 270 +xt "289000,61000,289500,61000" +pts [ +"289000,61000" +"289500,61000" +] +) +] +) +tg (WTG +uid 12124,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12125,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,60300,296500,61700" +st "SDI_Y" +blo "292000,61500" +tm "WireNameMgr" +) +) +) +*529 (Net +uid 12132,0 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 86,0 +) +declText (MLText +uid 12133,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,19300,227100,20300" +st "SDI_Y : std_ulogic" +) +) +*530 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,32000,6250,32000" +pts [ +"3000,32000" +"6250,32000" +] +) +start &12 +end &250 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,30600,6700,32000" +st "addr" +blo "3000,31800" +tm "WireNameMgr" +) +) +on &13 +) +*531 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "71000,24000,74250,24000" +pts [ +"71000,24000" +"74250,24000" +] +) +start &14 +end &288 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,22600,74800,24000" +st "clock" +blo "71000,23800" +tm "WireNameMgr" +) +) +on &15 +) +*532 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +) +xt "19000,60000,26250,60000" +pts [ +"19000,60000" +"26250,60000" +] +) +start &16 +end &181 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,58600,21100,60000" +st "cs" +blo "19000,59800" +tm "WireNameMgr" +) +) +on &17 +) +*533 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "281750,154000,289000,154000" +pts [ +"281750,154000" +"289000,154000" +] +) +start &34 +end &18 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,152600,289700,154000" +st "outX" +blo "286000,153800" +tm "WireNameMgr" +) +) +on &19 +) +*534 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "281750,117000,289000,117000" +pts [ +"281750,117000" +"289000,117000" +] +) +start &65 +end &20 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,115600,289600,117000" +st "outY" +blo "286000,116800" +tm "WireNameMgr" +) +) +on &21 +) +*535 (Wire +uid 143,0 +shape (OrthoPolyLine +uid 144,0 +va (VaSet +vasetType 3 +) +xt "19000,96000,27000,96000" +pts [ +"19000,96000" +"27000,96000" +] +) +start &22 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,94600,21100,96000" +st "rd" +blo "19000,95800" +tm "WireNameMgr" +) +) +on &23 +) +*536 (Wire +uid 157,0 +shape (OrthoPolyLine +uid 158,0 +va (VaSet +vasetType 3 +) +xt "71000,26000,74250,26000" +pts [ +"71000,26000" +"74250,26000" +] +) +start &24 +end &289 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,24600,75100,26000" +st "reset" +blo "71000,25800" +tm "WireNameMgr" +) +) +on &25 +) +*537 (Wire +uid 171,0 +shape (OrthoPolyLine +uid 172,0 +va (VaSet +vasetType 3 +) +xt "19000,58000,26250,58000" +pts [ +"19000,58000" +"26250,58000" +] +) +start &26 +end &180 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,56600,22300,58000" +st "wrH" +blo "19000,57800" +tm "WireNameMgr" +) +) +on &27 +) +*538 (Wire +uid 185,0 +shape (OrthoPolyLine +uid 186,0 +va (VaSet +vasetType 3 +) +xt "19000,80000,26250,80000" +pts [ +"19000,80000" +"26250,80000" +] +) +start &28 +end &190 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 189,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 190,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,78600,22100,80000" +st "wrL" +blo "19000,79800" +tm "WireNameMgr" +) +) +on &29 +) +*539 (Wire +uid 354,0 +optionalChildren [ +*540 (BdJunction +uid 9152,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9153,0 +va (VaSet +vasetType 1 +) +xt "152600,155600,153400,156400" +radius 400 +) +) +*541 (BdJunction +uid 11549,0 +ps "OnConnectorStrategy" +shape (Circle +uid 11550,0 +va (VaSet +vasetType 1 +) +xt "152600,168600,153400,169400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 355,0 +va (VaSet +vasetType 3 +) +xt "153000,156000,181000,176000" +pts [ +"181000,176000" +"181000,169000" +"153000,169000" +"153000,156000" +"160250,156000" +] +) +start *542 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "180600,175600,181400,176400" +radius 400 +) +) +end &358 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,154600,161600,156000" +st "newPolynom" +blo "152000,155800" +tm "WireNameMgr" +) +) +on &30 +) +*543 (Wire +uid 360,0 +optionalChildren [ +&542 +*544 (BdJunction +uid 9244,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9245,0 +va (VaSet +vasetType 1 +) +xt "204600,161600,205400,162400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 361,0 +va (VaSet +vasetType 3 +) +xt "177750,162000,216250,176000" +pts [ +"177750,176000" +"205000,176000" +"205000,162000" +"216250,162000" +] +) +start &117 +end &383 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,12,0" +) +xt "201000,174600,210600,176000" +st "newPolynom" +blo "201000,175800" +tm "WireNameMgr" +) +) +on &30 +) +*545 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "157000,182000,160250,182000" +pts [ +"157000,182000" +"160250,182000" +] +) +end &119 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,180600,160100,182000" +st "reset" +blo "156000,181800" +tm "WireNameMgr" +) +) +on &25 +) +*546 (Wire +uid 370,0 +shape (OrthoPolyLine +uid 371,0 +va (VaSet +vasetType 3 +) +xt "157000,180000,160250,180000" +pts [ +"157000,180000" +"160250,180000" +] +) +end &118 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 375,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,178600,159800,180000" +st "clock" +blo "156000,179800" +tm "WireNameMgr" +) +) +on &15 +) +*547 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "157000,162000,160250,162000" +pts [ +"157000,162000" +"160250,162000" +] +) +end &357 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,160600,160100,162000" +st "reset" +blo "156000,161800" +tm "WireNameMgr" +) +) +on &25 +) +*548 (Wire +uid 382,0 +shape (OrthoPolyLine +uid 383,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,154000,160250,154000" +pts [ +"147000,154000" +"160250,154000" +] +) +start &129 +end &359 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 384,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 385,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,152600,155800,154000" +st "samplesX" +blo "149000,153800" +tm "WireNameMgr" +) +) +on &47 +) +*549 (Wire +uid 386,0 +shape (OrthoPolyLine +uid 387,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,156000,184250,156000" +pts [ +"177750,156000" +"184250,156000" +] +) +start &361 +end &297 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,154600,184900,156000" +st "sampleX2" +blo "178000,155800" +tm "WireNameMgr" +) +) +on &49 +) +*550 (Wire +uid 390,0 +shape (OrthoPolyLine +uid 391,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,154000,184250,154000" +pts [ +"177750,154000" +"184250,154000" +] +) +start &360 +end &296 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,152600,184900,154000" +st "sampleX1" +blo "178000,153800" +tm "WireNameMgr" +) +) +on &48 +) +*551 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "157000,160000,160250,160000" +pts [ +"157000,160000" +"160250,160000" +] +) +end &356 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,158600,159800,160000" +st "clock" +blo "156000,159800" +tm "WireNameMgr" +) +) +on &15 +) +*552 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,154000,216250,154000" +pts [ +"201750,154000" +"216250,154000" +] +) +start &300 +end &388 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,152600,206150,154000" +st "aX" +blo "203750,153800" +tm "WireNameMgr" +) +) +on &52 +) +*553 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,160000,184250,160000" +pts [ +"177750,160000" +"184250,160000" +] +) +start &363 +end &299 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 407,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,158600,184900,160000" +st "sampleX4" +blo "178000,159800" +tm "WireNameMgr" +) +) +on &51 +) +*554 (Wire +uid 408,0 +shape (OrthoPolyLine +uid 409,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,158000,184250,158000" +pts [ +"177750,158000" +"184250,158000" +] +) +start &362 +end &298 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 410,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 411,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,156600,184900,158000" +st "sampleX3" +blo "178000,157800" +tm "WireNameMgr" +) +) +on &50 +) +*555 (Wire +uid 412,0 +shape (OrthoPolyLine +uid 413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,160000,216250,160000" +pts [ +"201750,160000" +"216250,160000" +] +) +start &302 +end &384 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 414,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 415,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,158600,206150,160000" +st "dX" +blo "203750,159800" +tm "WireNameMgr" +) +) +on &55 +) +*556 (Wire +uid 416,0 +shape (OrthoPolyLine +uid 417,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,158000,216250,158000" +pts [ +"201750,158000" +"216250,158000" +] +) +start &303 +end &386 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,156600,205950,158000" +st "cX" +blo "203750,157800" +tm "WireNameMgr" +) +) +on &54 +) +*557 (Wire +uid 420,0 +shape (OrthoPolyLine +uid 421,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,156000,216250,156000" +pts [ +"201750,156000" +"216250,156000" +] +) +start &301 +end &387 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 422,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 423,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,154600,206150,156000" +st "bX" +blo "203750,155800" +tm "WireNameMgr" +) +) +on &53 +) +*558 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +) +xt "213000,170000,216250,170000" +pts [ +"213000,170000" +"216250,170000" +] +) +end &382 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,168600,216100,170000" +st "reset" +blo "212000,169800" +tm "WireNameMgr" +) +) +on &25 +) +*559 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "213000,168000,216250,168000" +pts [ +"213000,168000" +"216250,168000" +] +) +end &381 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,166600,215800,168000" +st "clock" +blo "212000,167800" +tm "WireNameMgr" +) +) +on &15 +) +*560 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +) +xt "261000,160000,264250,160000" +pts [ +"261000,160000" +"264250,160000" +] +) +end &35 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 504,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,158600,264100,160000" +st "reset" +blo "260000,159800" +tm "WireNameMgr" +) +) +on &25 +) +*561 (Wire +uid 505,0 +shape (OrthoPolyLine +uid 506,0 +va (VaSet +vasetType 3 +) +xt "261000,158000,264250,158000" +pts [ +"261000,158000" +"264250,158000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,156600,263800,158000" +st "clock" +blo "260000,157800" +tm "WireNameMgr" +) +) +on &15 +) +*562 (Wire +uid 532,0 +shape (OrthoPolyLine +uid 533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,154000,240250,154000" +pts [ +"233750,154000" +"240250,154000" +] +) +start &385 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 534,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 535,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,152600,240100,154000" +st "sampleX" +blo "234000,153800" +tm "WireNameMgr" +) +) +on &45 +) +*563 (Wire +uid 538,0 +shape (OrthoPolyLine +uid 539,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,154000,264250,154000" +pts [ +"257750,154000" +"264250,154000" +] +) +start &40 +end &33 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,152600,265400,154000" +st "unsignedX" +blo "258000,153800" +tm "WireNameMgr" +) +) +on &46 +) +*564 (Wire +uid 767,0 +shape (OrthoPolyLine +uid 768,0 +va (VaSet +vasetType 3 +) +xt "205000,125000,216250,162000" +pts [ +"205000,162000" +"205000,125000" +"216250,125000" +] +) +start &544 +end &370 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,123600,214600,125000" +st "newPolynom" +blo "205000,124800" +tm "WireNameMgr" +) +) +on &30 +) +*565 (Wire +uid 775,0 +shape (OrthoPolyLine +uid 776,0 +va (VaSet +vasetType 3 +) +xt "153000,119000,160250,156000" +pts [ +"153000,156000" +"153000,119000" +"160250,119000" +] +) +start &540 +end &346 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 777,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,117600,161600,119000" +st "newPolynom" +blo "152000,118800" +tm "WireNameMgr" +) +) +on &30 +) +*566 (Wire +uid 779,0 +shape (OrthoPolyLine +uid 780,0 +va (VaSet +vasetType 3 +) +xt "157000,125000,160250,125000" +pts [ +"157000,125000" +"160250,125000" +] +) +end &345 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,123600,160100,125000" +st "reset" +blo "156000,124800" +tm "WireNameMgr" +) +) +on &25 +) +*567 (Wire +uid 785,0 +shape (OrthoPolyLine +uid 786,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,117000,184250,117000" +pts [ +"177750,117000" +"184250,117000" +] +) +start &348 +end &309 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 787,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 788,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,115600,184800,117000" +st "sampleY1" +blo "178000,116800" +tm "WireNameMgr" +) +) +on &71 +) +*568 (Wire +uid 789,0 +shape (OrthoPolyLine +uid 790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,119000,184250,119000" +pts [ +"177750,119000" +"184250,119000" +] +) +start &349 +end &310 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 791,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 792,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,117600,184800,119000" +st "sampleY2" +blo "178000,118800" +tm "WireNameMgr" +) +) +on &72 +) +*569 (Wire +uid 793,0 +shape (OrthoPolyLine +uid 794,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,117000,160250,117000" +pts [ +"147000,117000" +"160250,117000" +] +) +start &167 +end &347 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 797,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 798,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,115600,154700,117000" +st "samplesY" +blo "148000,116800" +tm "WireNameMgr" +) +) +on &70 +) +*570 (Wire +uid 799,0 +shape (OrthoPolyLine +uid 800,0 +va (VaSet +vasetType 3 +) +xt "157000,123000,160250,123000" +pts [ +"157000,123000" +"160250,123000" +] +) +end &344 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 803,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 804,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,121600,159800,123000" +st "clock" +blo "156000,122800" +tm "WireNameMgr" +) +) +on &15 +) +*571 (Wire +uid 805,0 +shape (OrthoPolyLine +uid 806,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,123000,216250,123000" +pts [ +"201750,123000" +"216250,123000" +] +) +start &315 +end &371 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 808,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,121600,206050,123000" +st "dY" +blo "203750,122800" +tm "WireNameMgr" +) +) +on &78 +) +*572 (Wire +uid 809,0 +shape (OrthoPolyLine +uid 810,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,121000,184250,121000" +pts [ +"177750,121000" +"184250,121000" +] +) +start &350 +end &311 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 811,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 812,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,119600,184800,121000" +st "sampleY3" +blo "178000,120800" +tm "WireNameMgr" +) +) +on &73 +) +*573 (Wire +uid 813,0 +shape (OrthoPolyLine +uid 814,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,123000,184250,123000" +pts [ +"177750,123000" +"184250,123000" +] +) +start &351 +end &312 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 816,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,121600,184800,123000" +st "sampleY4" +blo "178000,122800" +tm "WireNameMgr" +) +) +on &74 +) +*574 (Wire +uid 817,0 +shape (OrthoPolyLine +uid 818,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,117000,216250,117000" +pts [ +"201750,117000" +"216250,117000" +] +) +start &313 +end &375 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 820,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,115600,206050,117000" +st "aY" +blo "203750,116800" +tm "WireNameMgr" +) +) +on &75 +) +*575 (Wire +uid 821,0 +shape (OrthoPolyLine +uid 822,0 +va (VaSet +vasetType 3 +) +xt "213000,133000,216250,133000" +pts [ +"213000,133000" +"216250,133000" +] +) +end &369 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 826,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,131600,216100,133000" +st "reset" +blo "212000,132800" +tm "WireNameMgr" +) +) +on &25 +) +*576 (Wire +uid 827,0 +shape (OrthoPolyLine +uid 828,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,119000,216250,119000" +pts [ +"201750,119000" +"216250,119000" +] +) +start &314 +end &374 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 830,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,117600,206050,119000" +st "bY" +blo "203750,118800" +tm "WireNameMgr" +) +) +on &76 +) +*577 (Wire +uid 831,0 +shape (OrthoPolyLine +uid 832,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,121000,216250,121000" +pts [ +"201750,121000" +"216250,121000" +] +) +start &316 +end &373 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 834,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,119600,205850,121000" +st "cY" +blo "203750,120800" +tm "WireNameMgr" +) +) +on &77 +) +*578 (Wire +uid 835,0 +shape (OrthoPolyLine +uid 836,0 +va (VaSet +vasetType 3 +) +xt "261000,123000,264250,123000" +pts [ +"261000,123000" +"264250,123000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 840,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,121600,264100,123000" +st "reset" +blo "260000,122800" +tm "WireNameMgr" +) +) +on &25 +) +*579 (Wire +uid 841,0 +shape (OrthoPolyLine +uid 842,0 +va (VaSet +vasetType 3 +) +xt "213000,131000,216250,131000" +pts [ +"213000,131000" +"216250,131000" +] +) +end &368 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 845,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 846,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,129600,215800,131000" +st "clock" +blo "212000,130800" +tm "WireNameMgr" +) +) +on &15 +) +*580 (Wire +uid 847,0 +shape (OrthoPolyLine +uid 848,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,117000,240250,117000" +pts [ +"233750,117000" +"240250,117000" +] +) +start &372 +end &58 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 849,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 850,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,115600,240000,117000" +st "sampleY" +blo "234000,116800" +tm "WireNameMgr" +) +) +on &79 +) +*581 (Wire +uid 851,0 +shape (OrthoPolyLine +uid 852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,117000,264250,117000" +pts [ +"257750,117000" +"264250,117000" +] +) +start &57 +end &64 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 853,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 854,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,115600,265300,117000" +st "unsignedY" +blo "258000,116800" +tm "WireNameMgr" +) +) +on &80 +) +*582 (Wire +uid 855,0 +shape (OrthoPolyLine +uid 856,0 +va (VaSet +vasetType 3 +) +xt "261000,121000,264250,121000" +pts [ +"261000,121000" +"264250,121000" +] +) +end &63 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 859,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 860,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,119600,263800,121000" +st "clock" +blo "260000,120800" +tm "WireNameMgr" +) +) +on &15 +) +*583 (Wire +uid 1049,0 +shape (OrthoPolyLine +uid 1050,0 +va (VaSet +vasetType 3 +) +xt "43750,34000,74250,48000" +pts [ +"43750,34000" +"57000,34000" +"57000,48000" +"74250,48000" +] +) +start &423 +end &110 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1053,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1054,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,46600,72200,48000" +st "selSize" +blo "67000,47800" +tm "WireNameMgr" +) +) +on &82 +) +*584 (Wire +uid 1057,0 +shape (OrthoPolyLine +uid 1058,0 +va (VaSet +vasetType 3 +) +xt "43750,36000,74250,78000" +pts [ +"43750,36000" +"55000,36000" +"55000,78000" +"74250,78000" +] +) +start &424 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1062,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,76600,73600,78000" +st "selSpeed" +blo "67000,77800" +tm "WireNameMgr" +) +) +on &83 +) +*585 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "43750,38000,74250,180000" +pts [ +"43750,38000" +"53000,38000" +"53000,180000" +"74250,180000" +] +) +start &425 +end &453 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,178600,70400,180000" +st "selX" +blo "67000,179800" +tm "WireNameMgr" +) +) +on &84 +) +*586 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "43750,40000,74250,130000" +pts [ +"43750,40000" +"51000,40000" +"51000,130000" +"74250,130000" +] +) +start &426 +end &440 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1078,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,128600,70300,130000" +st "selY" +blo "67000,129800" +tm "WireNameMgr" +) +) +on &85 +) +*587 (Wire +uid 1332,0 +shape (OrthoPolyLine +uid 1333,0 +va (VaSet +vasetType 3 +) +xt "43750,20000,74250,32000" +pts [ +"43750,32000" +"57000,32000" +"57000,20000" +"74250,20000" +] +) +start &421 +end &287 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1339,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,18600,75000,20000" +st "selControl" +blo "67000,19800" +tm "WireNameMgr" +) +) +on &81 +) +*588 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +va (VaSet +vasetType 3 +) +xt "67000,18000,74250,18000" +pts [ +"67000,18000" +"74250,18000" +] +) +end &286 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1347,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,16600,73500,18000" +st "wrLPulse" +blo "67000,17800" +tm "WireNameMgr" +) +) +on &197 +) +*589 (Wire +uid 1350,0 +shape (OrthoPolyLine +uid 1351,0 +va (VaSet +vasetType 3 +) +xt "91750,12000,106250,66000" +pts [ +"91750,12000" +"103000,12000" +"103000,66000" +"106250,66000" +] +) +start &282 +end &149 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1355,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,10600,96650,12000" +st "run" +blo "93750,11800" +tm "WireNameMgr" +) +) +on &86 +) +*590 (Wire +uid 1358,0 +shape (OrthoPolyLine +uid 1359,0 +va (VaSet +vasetType 3 +) +xt "91750,14000,99000,14000" +pts [ +"91750,14000" +"99000,14000" +] +) +start &284 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1363,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,12600,104550,14000" +st "updatePattern" +blo "93750,13800" +tm "WireNameMgr" +) +) +on &87 +) +*591 (Wire +uid 1472,0 +shape (OrthoPolyLine +uid 1473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,40000,99000,40000" +pts [ +"91750,40000" +"99000,40000" +] +) +start &106 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1477,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,38600,102650,40000" +st "patternSize" +blo "93750,39800" +tm "WireNameMgr" +) +) +on &88 +) +*592 (Wire +uid 1494,0 +shape (OrthoPolyLine +uid 1495,0 +va (VaSet +vasetType 3 +) +xt "71000,52000,74250,52000" +pts [ +"71000,52000" +"74250,52000" +] +) +end &111 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1500,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1501,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,50600,74800,52000" +st "clock" +blo "71000,51800" +tm "WireNameMgr" +) +) +on &15 +) +*593 (Wire +uid 1502,0 +shape (OrthoPolyLine +uid 1503,0 +va (VaSet +vasetType 3 +) +xt "71000,54000,74250,54000" +pts [ +"71000,54000" +"74250,54000" +] +) +end &112 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1508,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1509,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,52600,75100,54000" +st "reset" +blo "71000,53800" +tm "WireNameMgr" +) +) +on &25 +) +*594 (Wire +uid 1510,0 +shape (OrthoPolyLine +uid 1511,0 +va (VaSet +vasetType 3 +) +xt "67000,46000,74250,46000" +pts [ +"67000,46000" +"74250,46000" +] +) +end &109 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1516,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1517,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,44600,73700,46000" +st "wrHPulse" +blo "67000,45800" +tm "WireNameMgr" +) +) +on &187 +) +*595 (Wire +uid 1526,0 +optionalChildren [ +*596 (BdJunction +uid 1538,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1539,0 +va (VaSet +vasetType 1 +) +xt "58600,11600,59400,12400" +radius 400 +) +) +*597 (BdJunction +uid 1544,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1545,0 +va (VaSet +vasetType 1 +) +xt "58600,39600,59400,40400" +radius 400 +) +) +*598 (BdJunction +uid 1736,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1737,0 +va (VaSet +vasetType 1 +) +xt "58600,67600,59400,68400" +radius 400 +) +) +*599 (BdJunction +uid 2476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2477,0 +va (VaSet +vasetType 1 +) +xt "58600,149600,59400,150400" +radius 400 +) +) +*600 (BdJunction +uid 3825,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3826,0 +va (VaSet +vasetType 1 +) +xt "58600,99600,59400,100400" +radius 400 +) +) +*601 (BdJunction +uid 10621,0 +ps "OnConnectorStrategy" +shape (Circle +uid 10622,0 +va (VaSet +vasetType 1 +) +xt "58600,199600,59400,200400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1527,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43750,10000,59000,204000" +pts [ +"59000,204000" +"59000,10000" +"43750,10000" +] +) +end &240 +es 0 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1532,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1533,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,8600,51400,10000" +st "dataInReg" +blo "44000,9800" +tm "WireNameMgr" +) +) +on &246 +) +*602 (Wire +uid 1534,0 +shape (OrthoPolyLine +uid 1535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,12000,74250,12000" +pts [ +"59000,12000" +"74250,12000" +] +) +start &596 +end &283 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1537,0 +va (VaSet +font "Verdana,12,0" +) +xt "68000,10600,75400,12000" +st "dataInReg" +blo "68000,11800" +tm "WireNameMgr" +) +) +on &246 +) +*603 (Wire +uid 1540,0 +shape (OrthoPolyLine +uid 1541,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,40000,74250,40000" +pts [ +"59000,40000" +"74250,40000" +] +) +start &597 +end &107 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1542,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1543,0 +va (VaSet +font "Verdana,12,0" +) +xt "70250,38600,77650,40000" +st "dataInReg" +blo "70250,39800" +tm "WireNameMgr" +) +) +on &246 +) +*604 (Wire +uid 1583,0 +optionalChildren [ +*605 (BdJunction +uid 2856,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2857,0 +va (VaSet +vasetType 1 +) +xt "62600,69600,63400,70400" +radius 400 +) +) +*606 (BdJunction +uid 2858,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2859,0 +va (VaSet +vasetType 1 +) +xt "62600,41600,63400,42400" +radius 400 +) +) +*607 (BdJunction +uid 2864,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2865,0 +va (VaSet +vasetType 1 +) +xt "62600,13600,63400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1584,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,4000,63000,74000" +pts [ +"63000,74000" +"63000,4000" +"19000,4000" +] +) +end &90 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,1600,24000,3000" +st "dataOut" +blo "18000,2800" +tm "WireNameMgr" +) +) +on &91 +) +*608 (Wire +uid 1653,0 +shape (OrthoPolyLine +uid 1654,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,42000,74250,42000" +pts [ +"74250,42000" +"63000,42000" +] +) +start &108 +end &606 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1655,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1656,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,40600,74250,42000" +st "dataOut" +blo "68250,41800" +tm "WireNameMgr" +) +) +on &91 +) +*609 (Wire +uid 1732,0 +shape (OrthoPolyLine +uid 1733,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,68000,74250,68000" +pts [ +"59000,68000" +"74250,68000" +] +) +start &598 +end &95 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "69250,66600,76650,68000" +st "dataInReg" +blo "69250,67800" +tm "WireNameMgr" +) +) +on &246 +) +*610 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,70000,74250,70000" +pts [ +"63000,70000" +"74250,70000" +] +) +start &605 +end &96 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1741,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,68600,74250,70000" +st "dataOut" +blo "68250,69800" +tm "WireNameMgr" +) +) +on &91 +) +*611 (Wire +uid 1744,0 +shape (OrthoPolyLine +uid 1745,0 +va (VaSet +vasetType 3 +) +xt "71000,84000,74250,84000" +pts [ +"71000,84000" +"74250,84000" +] +) +end &100 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,82600,75100,84000" +st "reset" +blo "71000,83800" +tm "WireNameMgr" +) +) +on &25 +) +*612 (Wire +uid 1752,0 +shape (OrthoPolyLine +uid 1753,0 +va (VaSet +vasetType 3 +) +xt "71000,82000,74250,82000" +pts [ +"71000,82000" +"74250,82000" +] +) +end &99 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,80600,74800,82000" +st "clock" +blo "71000,81800" +tm "WireNameMgr" +) +) +on &15 +) +*613 (Wire +uid 1760,0 +shape (OrthoPolyLine +uid 1761,0 +va (VaSet +vasetType 3 +) +xt "67000,74000,74250,74000" +pts [ +"67000,74000" +"74250,74000" +] +) +end &97 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1766,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1767,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,72600,73700,74000" +st "wrHPulse" +blo "67000,73800" +tm "WireNameMgr" +) +) +on &187 +) +*614 (Wire +uid 1768,0 +shape (OrthoPolyLine +uid 1769,0 +va (VaSet +vasetType 3 +) +xt "67000,76000,74250,76000" +pts [ +"67000,76000" +"74250,76000" +] +) +end &101 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1775,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,74600,73500,76000" +st "wrLPulse" +blo "67000,75800" +tm "WireNameMgr" +) +) +on &197 +) +*615 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,68000,106250,68000" +pts [ +"91750,68000" +"106250,68000" +] +) +start &94 +end &148 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "Verdana,12,0" +) +xt "93000,66600,103100,68000" +st "updatePeriod" +blo "93000,67800" +tm "WireNameMgr" +) +) +on &92 +) +*616 (Wire +uid 1969,0 +shape (OrthoPolyLine +uid 1970,0 +va (VaSet +vasetType 3 +) +xt "103000,74000,106250,74000" +pts [ +"103000,74000" +"106250,74000" +] +) +end &147 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1975,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1976,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,72600,107100,74000" +st "reset" +blo "103000,73800" +tm "WireNameMgr" +) +) +on &25 +) +*617 (Wire +uid 1977,0 +shape (OrthoPolyLine +uid 1978,0 +va (VaSet +vasetType 3 +) +xt "103000,72000,106250,72000" +pts [ +"103000,72000" +"106250,72000" +] +) +end &146 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1983,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1984,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,70600,106800,72000" +st "clock" +blo "103000,71800" +tm "WireNameMgr" +) +) +on &15 +) +*618 (Wire +uid 1987,0 +shape (OrthoPolyLine +uid 1988,0 +va (VaSet +vasetType 3 +) +xt "123750,66000,131000,66000" +pts [ +"123750,66000" +"131000,66000" +] +) +start &145 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1991,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1992,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,64600,136950,66000" +st "interpolationEn" +blo "125750,65800" +tm "WireNameMgr" +) +) +on &219 +) +*619 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "155000,176000,160250,176000" +pts [ +"155000,176000" +"160250,176000" +] +) +end &120 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2001,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2002,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,174600,163900,176000" +st "interpolationEnable" +blo "150000,175800" +tm "WireNameMgr" +) +) +on &124 +) +*620 (Wire +uid 2472,0 +shape (OrthoPolyLine +uid 2473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,150000,106250,154000" +pts [ +"106250,154000" +"99000,154000" +"99000,150000" +"59000,150000" +] +) +start &333 +end &599 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2475,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,152600,107400,154000" +st "dataInReg" +blo "100000,153800" +tm "WireNameMgr" +) +) +on &246 +) +*621 (Wire +uid 2478,0 +shape (OrthoPolyLine +uid 2479,0 +va (VaSet +vasetType 3 +) +xt "103000,166000,106250,166000" +pts [ +"103000,166000" +"106250,166000" +] +) +end &336 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2485,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,164600,106800,166000" +st "clock" +blo "103000,165800" +tm "WireNameMgr" +) +) +on &15 +) +*622 (Wire +uid 2486,0 +shape (OrthoPolyLine +uid 2487,0 +va (VaSet +vasetType 3 +) +xt "103000,168000,106250,168000" +pts [ +"103000,168000" +"106250,168000" +] +) +end &337 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2493,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,166600,107100,168000" +st "reset" +blo "103000,167800" +tm "WireNameMgr" +) +) +on &25 +) +*623 (Wire +uid 2638,0 +shape (OrthoPolyLine +uid 2639,0 +va (VaSet +vasetType 3 +) +xt "91750,160000,106250,184000" +pts [ +"91750,184000" +"99000,184000" +"99000,160000" +"106250,160000" +] +) +start &452 +end &338 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2640,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,158600,106400,160000" +st "memWrX" +blo "100000,159800" +tm "WireNameMgr" +) +) +on &127 +) +*624 (Wire +uid 2644,0 +shape (OrthoPolyLine +uid 2645,0 +va (VaSet +vasetType 3 +) +xt "91750,162000,106250,186000" +pts [ +"91750,186000" +"101000,186000" +"101000,162000" +"106250,162000" +] +) +start &454 +end &335 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2646,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2647,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,160600,106200,162000" +st "memEnX" +blo "100000,161800" +tm "WireNameMgr" +) +) +on &128 +) +*625 (Wire +uid 2648,0 +shape (OrthoPolyLine +uid 2649,0 +va (VaSet +vasetType 3 +) +xt "67000,184000,74250,184000" +pts [ +"67000,184000" +"74250,184000" +] +) +end &455 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2655,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,182600,76800,184000" +st "updatePattern" +blo "66000,183800" +tm "WireNameMgr" +) +) +on &87 +) +*626 (Wire +uid 2772,0 +shape (OrthoPolyLine +uid 2773,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,156000,106250,156000" +pts [ +"91750,156000" +"106250,156000" +] +) +start &139 +end &339 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2775,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,154600,106500,156000" +st "addrX" +blo "102000,155800" +tm "WireNameMgr" +) +) +on &125 +) +*627 (Wire +uid 2778,0 +shape (OrthoPolyLine +uid 2779,0 +va (VaSet +vasetType 3 +) +xt "71000,166000,74250,166000" +pts [ +"71000,166000" +"74250,166000" +] +) +end &137 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2785,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,164600,75100,166000" +st "reset" +blo "71000,165800" +tm "WireNameMgr" +) +) +on &25 +) +*628 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +) +xt "71000,164000,74250,164000" +pts [ +"71000,164000" +"74250,164000" +] +) +end &136 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2793,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,162600,74800,164000" +st "clock" +blo "71000,163800" +tm "WireNameMgr" +) +) +on &15 +) +*629 (Wire +uid 2844,0 +shape (OrthoPolyLine +uid 2845,0 +va (VaSet +vasetType 3 +) +xt "69000,160000,95000,180000" +pts [ +"91750,180000" +"95000,180000" +"95000,174000" +"69000,174000" +"69000,160000" +"74250,160000" +] +) +start &457 +end &135 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2846,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2847,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,158600,74900,160000" +st "cntIncrX" +blo "69000,159800" +tm "WireNameMgr" +) +) +on &126 +) +*630 (Wire +uid 2860,0 +shape (OrthoPolyLine +uid 2861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,14000,74250,14000" +pts [ +"63000,14000" +"74250,14000" +] +) +start &607 +end &285 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2862,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2863,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,12600,74250,14000" +st "dataOut" +blo "68250,13800" +tm "WireNameMgr" +) +) +on &91 +) +*631 (Wire +uid 2866,0 +shape (OrthoPolyLine +uid 2867,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,156000,74250,156000" +pts [ +"67000,156000" +"74250,156000" +] +) +end &140 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2873,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,154600,74900,156000" +st "patternSize" +blo "66000,155800" +tm "WireNameMgr" +) +) +on &88 +) +*632 (Wire +uid 2919,0 +shape (OrthoPolyLine +uid 2920,0 +va (VaSet +vasetType 3 +) +xt "67000,186000,74250,186000" +pts [ +"74250,186000" +"67000,186000" +] +) +start &458 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2926,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,184600,75600,186000" +st "newPolynom" +blo "66000,185800" +tm "WireNameMgr" +) +) +on &30 +) +*633 (Wire +uid 2996,0 +shape (OrthoPolyLine +uid 2997,0 +va (VaSet +vasetType 3 +) +xt "71000,192000,74250,192000" +pts [ +"71000,192000" +"74250,192000" +] +) +end &460 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3003,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,190600,75100,192000" +st "reset" +blo "71000,191800" +tm "WireNameMgr" +) +) +on &25 +) +*634 (Wire +uid 3004,0 +shape (OrthoPolyLine +uid 3005,0 +va (VaSet +vasetType 3 +) +xt "71000,190000,74250,190000" +pts [ +"71000,190000" +"74250,190000" +] +) +end &459 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3010,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3011,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,188600,74800,190000" +st "clock" +blo "71000,189800" +tm "WireNameMgr" +) +) +on &15 +) +*635 (Wire +uid 3094,0 +shape (OrthoPolyLine +uid 3095,0 +va (VaSet +vasetType 3 +) +xt "67000,158000,74250,158000" +pts [ +"67000,158000" +"74250,158000" +] +) +end &138 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3101,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,156600,76800,158000" +st "updatePattern" +blo "66000,157800" +tm "WireNameMgr" +) +) +on &87 +) +*636 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,154000,131000,154000" +pts [ +"123750,154000" +"131000,154000" +] +) +start &334 +end &129 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "125000,152600,129600,154000" +st "memX" +blo "125000,153800" +tm "WireNameMgr" +) +) +on &133 +) +*637 (Wire +uid 3432,0 +shape (OrthoPolyLine +uid 3433,0 +va (VaSet +vasetType 3 +) +xt "209000,166000,216250,166000" +pts [ +"209000,166000" +"216250,166000" +] +) +end &389 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3439,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,164600,217900,166000" +st "interpolationEnable" +blo "204000,165800" +tm "WireNameMgr" +) +) +on &124 +) +*638 (Wire +uid 3485,0 +shape (OrthoPolyLine +uid 3486,0 +va (VaSet +vasetType 3 +) +xt "209000,129000,216250,129000" +pts [ +"209000,129000" +"216250,129000" +] +) +end &376 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3492,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,127600,217900,129000" +st "interpolationEnable" +blo "204000,128800" +tm "WireNameMgr" +) +) +on &124 +) +*639 (Wire +uid 3751,0 +shape (OrthoPolyLine +uid 3752,0 +va (VaSet +vasetType 3 +) +xt "103000,131000,106250,131000" +pts [ +"103000,131000" +"106250,131000" +] +) +end &326 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3756,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,129600,107100,131000" +st "reset" +blo "103000,130800" +tm "WireNameMgr" +) +) +on &25 +) +*640 (Wire +uid 3757,0 +shape (OrthoPolyLine +uid 3758,0 +va (VaSet +vasetType 3 +) +xt "103000,129000,106250,129000" +pts [ +"103000,129000" +"106250,129000" +] +) +end &325 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3762,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,127600,106800,129000" +st "clock" +blo "103000,128800" +tm "WireNameMgr" +) +) +on &15 +) +*641 (Wire +uid 3763,0 +shape (OrthoPolyLine +uid 3764,0 +va (VaSet +vasetType 3 +) +xt "67000,134000,74250,134000" +pts [ +"67000,134000" +"74250,134000" +] +) +end &442 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3768,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,132600,76800,134000" +st "updatePattern" +blo "66000,133800" +tm "WireNameMgr" +) +) +on &87 +) +*642 (Wire +uid 3769,0 +shape (OrthoPolyLine +uid 3770,0 +va (VaSet +vasetType 3 +) +xt "91750,125000,106250,136000" +pts [ +"91750,136000" +"101000,136000" +"101000,125000" +"106250,125000" +] +) +start &441 +end &324 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3772,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,123600,106100,125000" +st "memEnY" +blo "100000,124800" +tm "WireNameMgr" +) +) +on &166 +) +*643 (Wire +uid 3773,0 +shape (OrthoPolyLine +uid 3774,0 +va (VaSet +vasetType 3 +) +xt "91750,123000,106250,134000" +pts [ +"91750,134000" +"99000,134000" +"99000,123000" +"106250,123000" +] +) +start &439 +end &327 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3776,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,121600,106300,123000" +st "memWrY" +blo "100000,122800" +tm "WireNameMgr" +) +) +on &165 +) +*644 (Wire +uid 3777,0 +shape (OrthoPolyLine +uid 3778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,106000,106250,119000" +pts [ +"91750,106000" +"99000,106000" +"99000,119000" +"106250,119000" +] +) +start &158 +end &328 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3779,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3780,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,117600,106400,119000" +st "addrY" +blo "102000,118800" +tm "WireNameMgr" +) +) +on &164 +) +*645 (Wire +uid 3793,0 +shape (OrthoPolyLine +uid 3794,0 +va (VaSet +vasetType 3 +) +xt "69000,110000,95000,130000" +pts [ +"91750,130000" +"95000,130000" +"95000,124000" +"69000,124000" +"69000,110000" +"74250,110000" +] +) +start &444 +end &154 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3796,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,108600,74800,110000" +st "cntIncrY" +blo "69000,109800" +tm "WireNameMgr" +) +) +on &163 +) +*646 (Wire +uid 3797,0 +shape (OrthoPolyLine +uid 3798,0 +va (VaSet +vasetType 3 +) +xt "67000,136000,74250,136000" +pts [ +"74250,136000" +"67000,136000" +] +) +start &445 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3801,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3802,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,134600,75600,136000" +st "newPolynom" +blo "66000,135800" +tm "WireNameMgr" +) +) +on &30 +) +*647 (Wire +uid 3803,0 +shape (OrthoPolyLine +uid 3804,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,106000,74250,106000" +pts [ +"67000,106000" +"74250,106000" +] +) +end &159 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3808,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,104600,74900,106000" +st "patternSize" +blo "66000,105800" +tm "WireNameMgr" +) +) +on &88 +) +*648 (Wire +uid 3809,0 +shape (OrthoPolyLine +uid 3810,0 +va (VaSet +vasetType 3 +) +xt "67000,108000,74250,108000" +pts [ +"67000,108000" +"74250,108000" +] +) +end &157 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3813,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3814,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,106600,76800,108000" +st "updatePattern" +blo "66000,107800" +tm "WireNameMgr" +) +) +on &87 +) +*649 (Wire +uid 3815,0 +shape (OrthoPolyLine +uid 3816,0 +va (VaSet +vasetType 3 +) +xt "71000,140000,74250,140000" +pts [ +"71000,140000" +"74250,140000" +] +) +end &446 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,138600,74800,140000" +st "clock" +blo "71000,139800" +tm "WireNameMgr" +) +) +on &15 +) +*650 (Wire +uid 3821,0 +shape (OrthoPolyLine +uid 3822,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,100000,106250,117000" +pts [ +"59000,100000" +"103000,100000" +"103000,117000" +"106250,117000" +] +) +start &600 +end &322 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3824,0 +va (VaSet +font "Verdana,12,0" +) +xt "101250,115600,108650,117000" +st "dataInReg" +blo "101250,116800" +tm "WireNameMgr" +) +) +on &246 +) +*651 (Wire +uid 3866,0 +shape (OrthoPolyLine +uid 3867,0 +va (VaSet +vasetType 3 +) +xt "71000,114000,74250,114000" +pts [ +"71000,114000" +"74250,114000" +] +) +end &155 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3873,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,112600,74800,114000" +st "clock" +blo "71000,113800" +tm "WireNameMgr" +) +) +on &15 +) +*652 (Wire +uid 3874,0 +shape (OrthoPolyLine +uid 3875,0 +va (VaSet +vasetType 3 +) +xt "71000,116000,74250,116000" +pts [ +"71000,116000" +"74250,116000" +] +) +end &156 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3880,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3881,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,114600,75100,116000" +st "reset" +blo "71000,115800" +tm "WireNameMgr" +) +) +on &25 +) +*653 (Wire +uid 3882,0 +shape (OrthoPolyLine +uid 3883,0 +va (VaSet +vasetType 3 +) +xt "71000,142000,74250,142000" +pts [ +"71000,142000" +"74250,142000" +] +) +end &447 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3889,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,140600,75100,142000" +st "reset" +blo "71000,141800" +tm "WireNameMgr" +) +) +on &25 +) +*654 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,117000,131000,117000" +pts [ +"123750,117000" +"131000,117000" +] +) +start &323 +end &167 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,115600,130250,117000" +st "memY" +blo "125750,116800" +tm "WireNameMgr" +) +) +on &171 +) +*655 (Wire +uid 4047,0 +shape (OrthoPolyLine +uid 4048,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "281000,6000,289000,6000" +pts [ +"281000,6000" +"289000,6000" +] +) +start &174 +end &172 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4051,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4052,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,4600,289600,6000" +st "testOut" +blo "284000,5800" +tm "WireNameMgr" +) +) +on &173 +) +*656 (Wire +uid 4274,0 +shape (OrthoPolyLine +uid 4275,0 +va (VaSet +vasetType 3 +) +xt "23000,66000,26250,66000" +pts [ +"23000,66000" +"26250,66000" +] +) +end &183 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4280,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4281,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,64600,27100,66000" +st "reset" +blo "23000,65800" +tm "WireNameMgr" +) +) +on &25 +) +*657 (Wire +uid 4282,0 +shape (OrthoPolyLine +uid 4283,0 +va (VaSet +vasetType 3 +) +xt "23000,64000,26250,64000" +pts [ +"23000,64000" +"26250,64000" +] +) +end &182 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4289,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,62600,26800,64000" +st "clock" +blo "23000,63800" +tm "WireNameMgr" +) +) +on &15 +) +*658 (Wire +uid 4292,0 +shape (OrthoPolyLine +uid 4293,0 +va (VaSet +vasetType 3 +) +xt "43750,58000,47000,58000" +pts [ +"43750,58000" +"47000,58000" +] +) +start &179 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4299,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,56600,50700,58000" +st "wrHPulse" +blo "44000,57800" +tm "WireNameMgr" +) +) +on &187 +) +*659 (Wire +uid 4329,0 +shape (OrthoPolyLine +uid 4330,0 +va (VaSet +vasetType 3 +) +xt "23000,86000,26250,86000" +pts [ +"23000,86000" +"26250,86000" +] +) +end &192 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4334,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,84600,26800,86000" +st "clock" +blo "23000,85800" +tm "WireNameMgr" +) +) +on &15 +) +*660 (Wire +uid 4335,0 +shape (OrthoPolyLine +uid 4336,0 +va (VaSet +vasetType 3 +) +xt "23000,88000,26250,88000" +pts [ +"23000,88000" +"26250,88000" +] +) +end &193 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4340,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,86600,27100,88000" +st "reset" +blo "23000,87800" +tm "WireNameMgr" +) +) +on &25 +) +*661 (Wire +uid 4341,0 +shape (OrthoPolyLine +uid 4342,0 +va (VaSet +vasetType 3 +) +xt "43750,80000,47000,80000" +pts [ +"43750,80000" +"47000,80000" +] +) +start &189 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4346,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,78600,50500,80000" +st "wrLPulse" +blo "44000,79800" +tm "WireNameMgr" +) +) +on &197 +) +*662 (Wire +uid 4349,0 +shape (OrthoPolyLine +uid 4350,0 +va (VaSet +vasetType 3 +) +xt "19000,82000,26250,82000" +pts [ +"19000,82000" +"26250,82000" +] +) +end &191 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4356,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,80600,21100,82000" +st "cs" +blo "19000,81800" +tm "WireNameMgr" +) +) +on &17 +) +*663 (Wire +uid 4770,0 +shape (OrthoPolyLine +uid 4771,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,84000,184250,84000" +pts [ +"177750,84000" +"184250,84000" +] +) +start &207 +end &223 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4775,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,82600,182700,84000" +st "phase" +blo "178000,83800" +tm "WireNameMgr" +) +) +on &202 +) +*664 (Wire +uid 4782,0 +shape (OrthoPolyLine +uid 4783,0 +va (VaSet +vasetType 3 +) +xt "157000,92000,160250,92000" +pts [ +"157000,92000" +"160250,92000" +] +) +end &208 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4787,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,90600,161100,92000" +st "reset" +blo "157000,91800" +tm "WireNameMgr" +) +) +on &25 +) +*665 (Wire +uid 4788,0 +shape (OrthoPolyLine +uid 4789,0 +va (VaSet +vasetType 3 +) +xt "157000,90000,160250,90000" +pts [ +"157000,90000" +"160250,90000" +] +) +end &206 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4793,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,88600,160800,90000" +st "clock" +blo "157000,89800" +tm "WireNameMgr" +) +) +on &15 +) +*666 (Wire +uid 4794,0 +shape (OrthoPolyLine +uid 4795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "157000,78000,160250,84000" +pts [ +"160250,84000" +"157000,84000" +"157000,78000" +] +) +start &209 +end &198 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4799,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,82600,160600,84000" +st "step" +blo "157000,83800" +tm "WireNameMgr" +) +) +on &203 +) +*667 (Wire +uid 4860,0 +shape (OrthoPolyLine +uid 4861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "129000,84000,209000,115000" +pts [ +"201750,84000" +"209000,84000" +"209000,98000" +"129000,98000" +"129000,115000" +"131000,115000" +] +) +start &222 +end &167 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4865,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,82600,207150,84000" +st "sine" +blo "203750,83800" +tm "WireNameMgr" +) +) +on &204 +) +*668 (Wire +uid 4866,0 +shape (OrthoPolyLine +uid 4867,0 +va (VaSet +vasetType 3 +) +xt "153000,86000,160250,86000" +pts [ +"153000,86000" +"160250,86000" +] +) +end &210 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4873,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,84600,162900,86000" +st "interpolationEnable" +blo "149000,85800" +tm "WireNameMgr" +) +) +on &124 +) +*669 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "153000,70000,161000,70000" +pts [ +"153000,70000" +"161000,70000" +] +) +start &214 +end &215 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "153000,68600,159900,70000" +st "selSinCos" +blo "153000,69800" +tm "WireNameMgr" +) +) +on &232 +) +*670 (Wire +uid 5253,0 +shape (OrthoPolyLine +uid 5254,0 +va (VaSet +vasetType 3 +) +xt "177000,66000,185000,66000" +pts [ +"177000,66000" +"185000,66000" +] +) +start &215 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5259,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5260,0 +va (VaSet +font "Verdana,12,0" +) +xt "179750,64600,193650,66000" +st "interpolationEnable" +blo "179750,65800" +tm "WireNameMgr" +) +) +on &124 +) +*671 (Wire +uid 5263,0 +shape (OrthoPolyLine +uid 5264,0 +va (VaSet +vasetType 3 +) +xt "152750,66000,161000,66000" +pts [ +"152750,66000" +"161000,66000" +] +) +end &215 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5270,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,64600,161200,66000" +st "interpolationEn" +blo "150000,65800" +tm "WireNameMgr" +) +) +on &219 +) +*672 (Wire +uid 5938,0 +shape (OrthoPolyLine +uid 5939,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "127000,86000,207000,152000" +pts [ +"201750,86000" +"207000,86000" +"207000,97000" +"127000,97000" +"127000,152000" +"131000,152000" +] +) +start &224 +end &129 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5942,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5943,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,84600,208550,86000" +st "cosine" +blo "203750,85800" +tm "WireNameMgr" +) +) +on &220 +) +*673 (Wire +uid 7055,0 +shape (OrthoPolyLine +uid 7056,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,10000,26250,10000" +pts [ +"26250,10000" +"19000,10000" +] +) +start &239 +end &89 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7062,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,8600,24000,10000" +st "dataIn" +blo "19000,9800" +tm "WireNameMgr" +) +) +on &247 +) +*674 (Wire +uid 7111,0 +shape (OrthoPolyLine +uid 7112,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23750,32000,26250,32000" +pts [ +"23750,32000" +"26250,32000" +] +) +start &251 +end &422 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7113,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7114,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,30600,29100,32000" +st "addrReg" +blo "23000,31800" +tm "WireNameMgr" +) +s (Text +uid 7337,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,32000,23000,32000" +blo "23000,32000" +tm "SignalTypeMgr" +) +) +on &257 +) +*675 (Wire +uid 7117,0 +shape (OrthoPolyLine +uid 7118,0 +va (VaSet +vasetType 3 +) +xt "23000,18000,26250,18000" +pts [ +"23000,18000" +"26250,18000" +] +) +end &242 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7123,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7124,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,16600,27100,18000" +st "reset" +blo "23000,17800" +tm "WireNameMgr" +) +) +on &25 +) +*676 (Wire +uid 7125,0 +shape (OrthoPolyLine +uid 7126,0 +va (VaSet +vasetType 3 +) +xt "23000,16000,26250,16000" +pts [ +"23000,16000" +"26250,16000" +] +) +end &238 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7132,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,14600,26800,16000" +st "clock" +blo "23000,15800" +tm "WireNameMgr" +) +) +on &15 +) +*677 (Wire +uid 7133,0 +shape (OrthoPolyLine +uid 7134,0 +va (VaSet +vasetType 3 +) +xt "3000,40000,6250,40000" +pts [ +"3000,40000" +"6250,40000" +] +) +end &253 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7139,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7140,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,38600,7100,40000" +st "reset" +blo "3000,39800" +tm "WireNameMgr" +) +) +on &25 +) +*678 (Wire +uid 7141,0 +shape (OrthoPolyLine +uid 7142,0 +va (VaSet +vasetType 3 +) +xt "3000,38000,6250,38000" +pts [ +"3000,38000" +"6250,38000" +] +) +end &249 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7148,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,36600,6800,38000" +st "clock" +blo "3000,37800" +tm "WireNameMgr" +) +) +on &15 +) +*679 (Wire +uid 7160,0 +shape (OrthoPolyLine +uid 7161,0 +va (VaSet +vasetType 3 +) +xt "19000,14000,26250,14000" +pts [ +"26250,14000" +"19000,14000" +] +) +start &241 +end &258 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7164,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7165,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,12600,27400,14000" +st "logic1" +blo "23000,13800" +tm "WireNameMgr" +) +s (Text +uid 7348,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,14000,23000,14000" +blo "23000,14000" +tm "SignalTypeMgr" +) +) +on &262 +) +*680 (Wire +uid 7168,0 +shape (OrthoPolyLine +uid 7169,0 +va (VaSet +vasetType 3 +) +xt "3000,36000,6250,36000" +pts [ +"6250,36000" +"3000,36000" +] +) +start &252 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7174,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7175,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,34600,7400,36000" +st "logic1" +blo "3000,35800" +tm "WireNameMgr" +) +s (Text +uid 7176,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,36000,3000,36000" +blo "3000,36000" +tm "SignalTypeMgr" +) +) +on &262 +) +*681 (Wire +uid 7724,0 +shape (OrthoPolyLine +uid 7725,0 +va (VaSet +vasetType 3 +) +xt "23000,116000,26250,116000" +pts [ +"23000,116000" +"26250,116000" +] +) +end &268 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7730,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7731,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,114600,27100,116000" +st "reset" +blo "23000,115800" +tm "WireNameMgr" +) +) +on &25 +) +*682 (Wire +uid 7732,0 +shape (OrthoPolyLine +uid 7733,0 +va (VaSet +vasetType 3 +) +xt "23000,114000,26250,114000" +pts [ +"23000,114000" +"26250,114000" +] +) +end &267 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7738,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7739,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,112600,26800,114000" +st "clock" +blo "23000,113800" +tm "WireNameMgr" +) +) +on &15 +) +*683 (Wire +uid 7740,0 +shape (OrthoPolyLine +uid 7741,0 +va (VaSet +vasetType 3 +) +xt "19000,110000,26250,110000" +pts [ +"19000,110000" +"26250,110000" +] +) +end &266 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7747,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,108600,21100,110000" +st "cs" +blo "19000,109800" +tm "WireNameMgr" +) +) +on &17 +) +*684 (Wire +uid 7748,0 +shape (OrthoPolyLine +uid 7749,0 +va (VaSet +vasetType 3 +) +xt "43750,108000,47000,108000" +pts [ +"43750,108000" +"47000,108000" +] +) +start &264 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7755,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,106600,51400,108000" +st "wr16Pulse" +blo "44000,107800" +tm "WireNameMgr" +) +) +on &272 +) +*685 (Wire +uid 7793,0 +shape (OrthoPolyLine +uid 7794,0 +va (VaSet +vasetType 3 +) +xt "17950,108000,26250,108000" +pts [ +"26250,108000" +"17950,108000" +] +) +start &265 +end &276 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7796,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,106600,24000,108000" +st "wr16" +blo "20000,107800" +tm "WireNameMgr" +) +) +on &280 +) +*686 (Wire +uid 7801,0 +shape (OrthoPolyLine +uid 7802,0 +va (VaSet +vasetType 3 +) +xt "7000,106000,11000,106000" +pts [ +"7000,106000" +"11000,106000" +] +) +end &274 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7808,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,104600,10300,106000" +st "wrH" +blo "7000,105800" +tm "WireNameMgr" +) +) +on &27 +) +*687 (Wire +uid 7809,0 +shape (OrthoPolyLine +uid 7810,0 +va (VaSet +vasetType 3 +) +xt "7000,110000,11000,110000" +pts [ +"7000,110000" +"11000,110000" +] +) +end &275 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7816,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,108600,10100,110000" +st "wrL" +blo "7000,109800" +tm "WireNameMgr" +) +) +on &29 +) +*688 (Wire +uid 7907,0 +shape (OrthoPolyLine +uid 7908,0 +va (VaSet +vasetType 3 +) +xt "67000,132000,74250,132000" +pts [ +"67000,132000" +"74250,132000" +] +) +end &443 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7913,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7914,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,130600,74400,132000" +st "wr16Pulse" +blo "67000,131800" +tm "WireNameMgr" +) +) +on &272 +) +*689 (Wire +uid 7915,0 +shape (OrthoPolyLine +uid 7916,0 +va (VaSet +vasetType 3 +) +xt "67000,182000,74250,182000" +pts [ +"67000,182000" +"74250,182000" +] +) +end &456 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7921,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7922,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,180600,74400,182000" +st "wr16Pulse" +blo "67000,181800" +tm "WireNameMgr" +) +) +on &272 +) +*690 (Wire +uid 8150,0 +shape (OrthoPolyLine +uid 8151,0 +va (VaSet +vasetType 3 +) +xt "91750,16000,99000,16000" +pts [ +"91750,16000" +"99000,16000" +] +) +start &290 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8154,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8155,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,14600,104050,16000" +st "interpolateLin" +blo "93750,15800" +tm "WireNameMgr" +) +) +on &393 +) +*691 (Wire +uid 8248,0 +optionalChildren [ +*692 (BdJunction +uid 8258,0 +ps "OnConnectorStrategy" +shape (Circle +uid 8259,0 +va (VaSet +vasetType 1 +) +xt "180600,124600,181400,125400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8249,0 +va (VaSet +vasetType 3 +) +xt "177000,69000,184250,162000" +pts [ +"184250,162000" +"181000,162000" +"181000,69000" +"177000,69000" +] +) +start &304 +end &215 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8253,0 +va (VaSet +font "Verdana,12,0" +) +xt "179000,67600,191400,69000" +st "interpolateLinear" +blo "179000,68800" +tm "WireNameMgr" +) +) +on &294 +) +*693 (Wire +uid 8254,0 +shape (OrthoPolyLine +uid 8255,0 +va (VaSet +vasetType 3 +) +xt "181000,125000,184250,125000" +pts [ +"184250,125000" +"181000,125000" +] +) +start &317 +end &692 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "171250,123600,183650,125000" +st "interpolateLinear" +blo "171250,124800" +tm "WireNameMgr" +) +) +on &294 +) +*694 (Wire +uid 9246,0 +shape (OrthoPolyLine +uid 9247,0 +va (VaSet +vasetType 3 +) +xt "139000,121000,139000,125000" +pts [ +"139000,125000" +"139000,121000" +] +) +end &167 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9253,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,123600,145900,125000" +st "selSinCos" +blo "139000,124800" +tm "WireNameMgr" +) +) +on &232 +) +*695 (Wire +uid 9254,0 +shape (OrthoPolyLine +uid 9255,0 +va (VaSet +vasetType 3 +) +xt "139000,158000,139000,162000" +pts [ +"139000,162000" +"139000,158000" +] +) +end &129 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9260,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9261,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,160600,145900,162000" +st "selSinCos" +blo "139000,161800" +tm "WireNameMgr" +) +) +on &232 +) +*696 (Wire +uid 10531,0 +shape (OrthoPolyLine +uid 10532,0 +va (VaSet +vasetType 3 +) +xt "91750,212000,106250,236000" +pts [ +"91750,236000" +"101000,236000" +"101000,212000" +"106250,212000" +] +) +start &467 +end &407 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10534,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,210600,106200,212000" +st "memEnZ" +blo "100000,211800" +tm "WireNameMgr" +) +) +on &419 +) +*697 (Wire +uid 10535,0 +shape (OrthoPolyLine +uid 10536,0 +va (VaSet +vasetType 3 +) +xt "91750,210000,106250,234000" +pts [ +"91750,234000" +"99000,234000" +"99000,210000" +"106250,210000" +] +) +start &465 +end &410 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10537,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10538,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,208600,106400,210000" +st "memWrZ" +blo "100000,209800" +tm "WireNameMgr" +) +) +on &418 +) +*698 (Wire +uid 10539,0 +shape (OrthoPolyLine +uid 10540,0 +va (VaSet +vasetType 3 +) +xt "71000,216000,74250,216000" +pts [ +"71000,216000" +"74250,216000" +] +) +end &397 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10543,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10544,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,214600,75100,216000" +st "reset" +blo "71000,215800" +tm "WireNameMgr" +) +) +on &25 +) +*699 (Wire +uid 10545,0 +shape (OrthoPolyLine +uid 10546,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,206000,106250,206000" +pts [ +"91750,206000" +"106250,206000" +] +) +start &399 +end &411 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10548,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,204600,106500,206000" +st "addrZ" +blo "102000,205800" +tm "WireNameMgr" +) +) +on &416 +) +*700 (Wire +uid 10549,0 +shape (OrthoPolyLine +uid 10550,0 +va (VaSet +vasetType 3 +) +xt "67000,234000,74250,234000" +pts [ +"67000,234000" +"74250,234000" +] +) +end &468 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10553,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10554,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,232600,76800,234000" +st "updatePattern" +blo "66000,233800" +tm "WireNameMgr" +) +) +on &87 +) +*701 (Wire +uid 10555,0 +shape (OrthoPolyLine +uid 10556,0 +va (VaSet +vasetType 3 +) +xt "69000,210000,95000,230000" +pts [ +"91750,230000" +"95000,230000" +"95000,224000" +"69000,224000" +"69000,210000" +"74250,210000" +] +) +start &470 +end &395 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10558,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,208600,74900,210000" +st "cntIncrZ" +blo "69000,209800" +tm "WireNameMgr" +) +) +on &415 +) +*702 (Wire +uid 10559,0 +shape (OrthoPolyLine +uid 10560,0 +va (VaSet +vasetType 3 +) +xt "71000,214000,74250,214000" +pts [ +"71000,214000" +"74250,214000" +] +) +end &396 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10563,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10564,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,212600,74800,214000" +st "clock" +blo "71000,213800" +tm "WireNameMgr" +) +) +on &15 +) +*703 (Wire +uid 10565,0 +shape (OrthoPolyLine +uid 10566,0 +va (VaSet +vasetType 3 +) +xt "67000,236000,74250,236000" +pts [ +"74250,236000" +"67000,236000" +] +) +start &471 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10570,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,234600,75600,236000" +st "newPolynom" +blo "66000,235800" +tm "WireNameMgr" +) +) +on &30 +) +*704 (Wire +uid 10571,0 +shape (OrthoPolyLine +uid 10572,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,206000,74250,206000" +pts [ +"67000,206000" +"74250,206000" +] +) +end &400 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10575,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10576,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,204600,74900,206000" +st "patternSize" +blo "66000,205800" +tm "WireNameMgr" +) +) +on &88 +) +*705 (Wire +uid 10577,0 +shape (OrthoPolyLine +uid 10578,0 +va (VaSet +vasetType 3 +) +xt "67000,208000,74250,208000" +pts [ +"67000,208000" +"74250,208000" +] +) +end &398 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10581,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10582,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,206600,76800,208000" +st "updatePattern" +blo "66000,207800" +tm "WireNameMgr" +) +) +on &87 +) +*706 (Wire +uid 10583,0 +shape (OrthoPolyLine +uid 10584,0 +va (VaSet +vasetType 3 +) +xt "71000,240000,74250,240000" +pts [ +"71000,240000" +"74250,240000" +] +) +end &472 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10588,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,238600,74800,240000" +st "clock" +blo "71000,239800" +tm "WireNameMgr" +) +) +on &15 +) +*707 (Wire +uid 10589,0 +shape (OrthoPolyLine +uid 10590,0 +va (VaSet +vasetType 3 +) +xt "71000,242000,74250,242000" +pts [ +"71000,242000" +"74250,242000" +] +) +end &473 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10594,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,240600,75100,242000" +st "reset" +blo "71000,241800" +tm "WireNameMgr" +) +) +on &25 +) +*708 (Wire +uid 10595,0 +shape (OrthoPolyLine +uid 10596,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,204000,131000,204000" +pts [ +"123750,204000" +"131000,204000" +] +) +start &406 +end &491 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10599,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10600,0 +va (VaSet +font "Verdana,12,0" +) +xt "127000,202600,131600,204000" +st "memZ" +blo "127000,203800" +tm "WireNameMgr" +) +) +on &417 +) +*709 (Wire +uid 10601,0 +shape (OrthoPolyLine +uid 10602,0 +va (VaSet +vasetType 3 +) +xt "67000,232000,74250,232000" +pts [ +"67000,232000" +"74250,232000" +] +) +end &469 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10606,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,230600,73500,232000" +st "wrLPulse" +blo "67000,231800" +tm "WireNameMgr" +) +) +on &197 +) +*710 (Wire +uid 10617,0 +shape (OrthoPolyLine +uid 10618,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,200000,106250,204000" +pts [ +"59000,200000" +"99000,200000" +"99000,204000" +"106250,204000" +] +) +start &601 +end &405 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10620,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,202600,107400,204000" +st "dataInReg" +blo "100000,203800" +tm "WireNameMgr" +) +) +on &246 +) +*711 (Wire +uid 10662,0 +shape (OrthoPolyLine +uid 10663,0 +va (VaSet +vasetType 3 +) +xt "43750,42000,74250,230000" +pts [ +"43750,42000" +"49000,42000" +"49000,230000" +"74250,230000" +] +) +start &427 +end &466 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10664,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10665,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,228600,70400,230000" +st "selZ" +blo "67000,229800" +tm "WireNameMgr" +) +) +on &431 +) +*712 (Wire +uid 10884,0 +shape (OrthoPolyLine +uid 10885,0 +va (VaSet +vasetType 3 +) +xt "201000,206000,209000,206000" +pts [ +"201000,206000" +"209000,206000" +] +) +start &434 +end &432 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10889,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,204600,208700,206000" +st "outZ" +blo "205000,205800" +tm "WireNameMgr" +) +) +on &433 +) +*713 (Wire +uid 10901,0 +shape (OrthoPolyLine +uid 10902,0 +va (VaSet +vasetType 3 +) +xt "103000,218000,106250,218000" +pts [ +"103000,218000" +"106250,218000" +] +) +end &409 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10907,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10908,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,216600,107100,218000" +st "reset" +blo "103000,217800" +tm "WireNameMgr" +) +) +on &25 +) +*714 (Wire +uid 10909,0 +shape (OrthoPolyLine +uid 10910,0 +va (VaSet +vasetType 3 +) +xt "103000,216000,106250,216000" +pts [ +"103000,216000" +"106250,216000" +] +) +end &408 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10915,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10916,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,214600,106800,216000" +st "clock" +blo "103000,215800" +tm "WireNameMgr" +) +) +on &15 +) +*715 (Wire +uid 11529,0 +shape (OrthoPolyLine +uid 11530,0 +va (VaSet +vasetType 3 +) +xt "157000,210000,160250,210000" +pts [ +"157000,210000" +"160250,210000" +] +) +end &478 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11535,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11536,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,208600,159800,210000" +st "clock" +blo "156000,209800" +tm "WireNameMgr" +) +) +on &15 +) +*716 (Wire +uid 11537,0 +shape (OrthoPolyLine +uid 11538,0 +va (VaSet +vasetType 3 +) +xt "157000,212000,160250,212000" +pts [ +"157000,212000" +"160250,212000" +] +) +end &479 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11543,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11544,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,210600,160100,212000" +st "reset" +blo "156000,211800" +tm "WireNameMgr" +) +) +on &25 +) +*717 (Wire +uid 11545,0 +shape (OrthoPolyLine +uid 11546,0 +va (VaSet +vasetType 3 +) +xt "153000,169000,160250,206000" +pts [ +"153000,169000" +"153000,206000" +"160250,206000" +] +) +start &541 +end &480 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11548,0 +va (VaSet +font "Verdana,12,0" +) +xt "153000,204600,162600,206000" +st "newPolynom" +blo "153000,205800" +tm "WireNameMgr" +) +) +on &30 +) +*718 (Wire +uid 11553,0 +shape (OrthoPolyLine +uid 11554,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,204000,185000,204000" +pts [ +"177750,204000" +"185000,204000" +] +) +start &482 +end &434 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11558,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,202600,184900,204000" +st "sampleZ1" +blo "178000,203800" +tm "WireNameMgr" +) +) +on &489 +) +*719 (Wire +uid 11561,0 +shape (OrthoPolyLine +uid 11562,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,206000,185000,206000" +pts [ +"177750,206000" +"185000,206000" +] +) +start &483 +end &434 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11566,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,204600,184900,206000" +st "sampleZ2" +blo "178000,205800" +tm "WireNameMgr" +) +) +on &490 +) +*720 (Wire +uid 11582,0 +shape (OrthoPolyLine +uid 11583,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,204000,160250,204000" +pts [ +"160250,204000" +"147000,204000" +] +) +start &481 +end &491 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11587,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,202600,155800,204000" +st "samplesZ" +blo "149000,203800" +tm "WireNameMgr" +) +) +on &495 +) +*721 (Wire +uid 11590,0 +shape (OrthoPolyLine +uid 11591,0 +va (VaSet +vasetType 3 +) +xt "139000,208000,139000,212000" +pts [ +"139000,212000" +"139000,208000" +] +) +end &491 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11597,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,210600,145900,212000" +st "selSinCos" +blo "139000,211800" +tm "WireNameMgr" +) +) +on &232 +) +*722 (Wire +uid 11911,0 +shape (OrthoPolyLine +uid 11912,0 +va (VaSet +vasetType 3 +) +xt "261000,89000,264250,89000" +pts [ +"261000,89000" +"264250,89000" +] +) +end &501 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11918,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,87600,264100,89000" +st "reset" +blo "260000,88800" +tm "WireNameMgr" +) +) +on &25 +) +*723 (Wire +uid 11919,0 +shape (OrthoPolyLine +uid 11920,0 +va (VaSet +vasetType 3 +) +xt "261000,87000,264250,87000" +pts [ +"261000,87000" +"264250,87000" +] +) +end &498 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11926,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,85600,263800,87000" +st "clock" +blo "260000,86800" +tm "WireNameMgr" +) +) +on &15 +) +*724 (Wire +uid 11927,0 +shape (OrthoPolyLine +uid 11928,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257000,81000,264250,81000" +pts [ +"257000,81000" +"264250,81000" +] +) +end &502 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11934,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,79600,265400,81000" +st "unsignedX" +blo "258000,80800" +tm "WireNameMgr" +) +) +on &46 +) +*725 (Wire +uid 11935,0 +shape (OrthoPolyLine +uid 11936,0 +va (VaSet +vasetType 3 +) +xt "257000,83000,264250,83000" +pts [ +"257000,83000" +"264250,83000" +] +) +end &500 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11941,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11942,0 +va (VaSet +font "Verdana,12,0" +) +xt "252000,81600,265900,83000" +st "interpolationEnable" +blo "252000,82800" +tm "WireNameMgr" +) +) +on &124 +) +*726 (Wire +uid 11980,0 +shape (OrthoPolyLine +uid 11981,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257000,59000,264250,59000" +pts [ +"257000,59000" +"264250,59000" +] +) +end &513 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11984,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11985,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,57600,265300,59000" +st "unsignedY" +blo "258000,58800" +tm "WireNameMgr" +) +) +on &80 +) +*727 (Wire +uid 11986,0 +shape (OrthoPolyLine +uid 11987,0 +va (VaSet +vasetType 3 +) +xt "257000,61000,264250,61000" +pts [ +"257000,61000" +"264250,61000" +] +) +end &511 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11991,0 +va (VaSet +font "Verdana,12,0" +) +xt "252000,59600,265900,61000" +st "interpolationEnable" +blo "252000,60800" +tm "WireNameMgr" +) +) +on &124 +) +*728 (Wire +uid 11992,0 +shape (OrthoPolyLine +uid 11993,0 +va (VaSet +vasetType 3 +) +xt "261000,65000,264250,65000" +pts [ +"261000,65000" +"264250,65000" +] +) +end &509 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11998,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11999,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,63600,263800,65000" +st "clock" +blo "260000,64800" +tm "WireNameMgr" +) +) +on &15 +) +*729 (Wire +uid 12000,0 +shape (OrthoPolyLine +uid 12001,0 +va (VaSet +vasetType 3 +) +xt "261000,67000,264250,67000" +pts [ +"261000,67000" +"264250,67000" +] +) +end &512 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12006,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12007,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,65600,264100,67000" +st "reset" +blo "260000,66800" +tm "WireNameMgr" +) +) +on &25 +) +*730 (Wire +uid 12056,0 +shape (OrthoPolyLine +uid 12057,0 +va (VaSet +vasetType 3 +) +xt "281750,85000,289000,85000" +pts [ +"281750,85000" +"289000,85000" +] +) +start &497 +end &518 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12060,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12061,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,83600,289800,85000" +st "CLK_X" +blo "285000,84800" +tm "WireNameMgr" +) +) +on &519 +) +*731 (Wire +uid 12070,0 +shape (OrthoPolyLine +uid 12071,0 +va (VaSet +vasetType 3 +) +xt "281750,63000,289000,63000" +pts [ +"281750,63000" +"289000,63000" +] +) +start &508 +end &520 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12074,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12075,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,61600,289700,63000" +st "CLK_Y" +blo "285000,62800" +tm "WireNameMgr" +) +) +on &521 +) +*732 (Wire +uid 12084,0 +shape (OrthoPolyLine +uid 12085,0 +va (VaSet +vasetType 3 +) +xt "281750,81000,289000,81000" +pts [ +"281750,81000" +"289000,81000" +] +) +start &499 +end &522 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12088,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12089,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,79600,289700,81000" +st "CS_X_n" +blo "284000,80800" +tm "WireNameMgr" +) +) +on &523 +) +*733 (Wire +uid 12098,0 +shape (OrthoPolyLine +uid 12099,0 +va (VaSet +vasetType 3 +) +xt "281750,59000,289000,59000" +pts [ +"281750,59000" +"289000,59000" +] +) +start &510 +end &524 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12102,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12103,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,57600,289600,59000" +st "CS_Y_n" +blo "284000,58800" +tm "WireNameMgr" +) +) +on &525 +) +*734 (Wire +uid 12112,0 +shape (OrthoPolyLine +uid 12113,0 +va (VaSet +vasetType 3 +) +xt "281750,83000,289000,83000" +pts [ +"281750,83000" +"289000,83000" +] +) +start &503 +end &526 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12116,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12117,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,81600,289600,83000" +st "SDI_X" +blo "285000,82800" +tm "WireNameMgr" +) +) +on &527 +) +*735 (Wire +uid 12126,0 +shape (OrthoPolyLine +uid 12127,0 +va (VaSet +vasetType 3 +) +xt "281750,61000,289000,61000" +pts [ +"281750,61000" +"289000,61000" +] +) +start &514 +end &528 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12130,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12131,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,59600,289500,61000" +st "SDI_Y" +blo "285000,60800" +tm "WireNameMgr" +) +) +on &529 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *736 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*737 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*738 (MLText +uid 44,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*739 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*740 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*741 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*742 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*743 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*744 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*745 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4267,-4267,465287,250854" +cachedDiagramExtent "-24700,0,305600,246800" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 13426,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*746 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*747 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*748 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*749 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*750 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*751 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*752 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*753 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*754 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*755 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*756 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*757 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*758 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*759 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*760 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*761 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*762 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*763 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*764 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*765 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*766 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,400,219000,1400" +st "Declarations" +blo "212000,1200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,1300,215400,2300" +st "Ports:" +blo "212000,2100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,20200,216800,21200" +st "Pre User:" +blo "212000,21000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,21100,248100,28100" +st "constant signalBitNb: positive := 16; +constant coeffBitNb : positive := signalBitNb+3; +constant sampleCountBitNb : positive := 8; +constant patternAddressBitNb : positive := 8; +-- sinewave generator +constant tableAddressBitNb : positive := 3; +constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,27400,221000,28400" +st "Diagram Signals:" +blo "212000,28200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212000,400,218000,1400" +st "Post User:" +blo "212000,1200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "212000,400,212000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 86,0 +usingSuid 1 +emptyRow *767 (LEmptyRow +) +uid 12307,0 +optionalChildren [ +*768 (RefLabelRowHdr +) +*769 (TitleRowHdr +) +*770 (FilterRowHdr +) +*771 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*772 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*773 (GroupColHdr +tm "GroupColHdrMgr" +) +*774 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*775 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*776 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*777 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*778 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*779 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*780 (LeafLogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +uid 12134,0 +) +*781 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 12136,0 +) +*782 (LeafLogPort +port (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 3,0 +) +) +uid 12138,0 +) +*783 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 12140,0 +) +*784 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 12142,0 +) +*785 (LeafLogPort +port (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 12144,0 +) +*786 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 12146,0 +) +*787 (LeafLogPort +port (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 12148,0 +) +*788 (LeafLogPort +port (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 9,0 +) +) +uid 12150,0 +) +*789 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 52 +suid 10,0 +) +) +uid 12152,0 +) +*790 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 56 +suid 11,0 +) +) +uid 12154,0 +) +*791 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 79 +suid 12,0 +) +) +uid 12156,0 +) +*792 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 68 +suid 13,0 +) +) +uid 12158,0 +) +*793 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 57 +suid 14,0 +) +) +uid 12160,0 +) +*794 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 58 +suid 15,0 +) +) +uid 12162,0 +) +*795 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 59 +suid 16,0 +) +) +uid 12164,0 +) +*796 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 60 +suid 17,0 +) +) +uid 12166,0 +) +*797 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 21 +suid 18,0 +) +) +uid 12168,0 +) +*798 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 27 +suid 19,0 +) +) +uid 12170,0 +) +*799 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 29 +suid 20,0 +) +) +uid 12172,0 +) +*800 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 35 +suid 21,0 +) +) +uid 12174,0 +) +*801 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 69 +suid 22,0 +) +) +uid 12176,0 +) +*802 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 62 +suid 23,0 +) +) +uid 12178,0 +) +*803 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 63 +suid 24,0 +) +) +uid 12180,0 +) +*804 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 64 +suid 25,0 +) +) +uid 12182,0 +) +*805 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 65 +suid 26,0 +) +) +uid 12184,0 +) +*806 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 22 +suid 27,0 +) +) +uid 12186,0 +) +*807 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 28 +suid 28,0 +) +) +uid 12188,0 +) +*808 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 30 +suid 29,0 +) +) +uid 12190,0 +) +*809 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 36 +suid 30,0 +) +) +uid 12192,0 +) +*810 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 61 +suid 31,0 +) +) +uid 12194,0 +) +*811 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 80 +suid 32,0 +) +) +uid 12196,0 +) +*812 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selControl" +t "std_ulogic" +o 71 +suid 33,0 +) +) +uid 12198,0 +) +*813 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSize" +t "std_ulogic" +o 72 +suid 34,0 +) +) +uid 12200,0 +) +*814 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 73 +suid 35,0 +) +) +uid 12202,0 +) +*815 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selX" +t "std_ulogic" +o 74 +suid 36,0 +) +) +uid 12204,0 +) +*816 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selY" +t "std_ulogic" +o 75 +suid 37,0 +) +) +uid 12206,0 +) +*817 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "run" +t "std_ulogic" +o 55 +suid 38,0 +) +) +uid 12208,0 +) +*818 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 81 +suid 39,0 +) +) +uid 12210,0 +) +*819 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 53 +suid 40,0 +) +) +uid 12212,0 +) +*820 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 41,0 +) +) +uid 12214,0 +) +*821 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 82 +suid 42,0 +) +) +uid 12216,0 +) +*822 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 41 +suid 43,0 +) +) +uid 12218,0 +) +*823 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 24 +suid 44,0 +) +) +uid 12220,0 +) +*824 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 31 +suid 45,0 +) +) +uid 12222,0 +) +*825 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrX" +t "std_ulogic" +o 46 +suid 46,0 +) +) +uid 12224,0 +) +*826 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnX" +t "std_ulogic" +o 43 +suid 47,0 +) +) +uid 12226,0 +) +*827 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 49 +suid 48,0 +) +) +uid 12228,0 +) +*828 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 32 +suid 49,0 +) +) +uid 12230,0 +) +*829 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 25 +suid 50,0 +) +) +uid 12232,0 +) +*830 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrY" +t "std_ulogic" +o 47 +suid 51,0 +) +) +uid 12234,0 +) +*831 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnY" +t "std_ulogic" +o 44 +suid 52,0 +) +) +uid 12236,0 +) +*832 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 50 +suid 53,0 +) +) +uid 12238,0 +) +*833 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 54,0 +) +) +uid 12240,0 +) +*834 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrHPulse" +t "std_ulogic" +o 85 +suid 55,0 +) +) +uid 12242,0 +) +*835 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrLPulse" +t "std_ulogic" +o 86 +suid 56,0 +) +) +uid 12244,0 +) +*836 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 54 +suid 57,0 +) +) +uid 12246,0 +) +*837 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 78 +suid 58,0 +) +) +uid 12248,0 +) +*838 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 77 +suid 59,0 +) +) +uid 12250,0 +) +*839 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 40 +suid 60,0 +) +) +uid 12252,0 +) +*840 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 61,0 +) +) +uid 12254,0 +) +*841 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 62,0 +) +) +uid 12256,0 +) +*842 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataInReg" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 37 +suid 63,0 +) +) +uid 12258,0 +) +*843 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 64,0 +) +) +uid 12260,0 +) +*844 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrReg" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 23 +suid 65,0 +) +) +uid 12262,0 +) +*845 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_ulogic" +o 42 +suid 66,0 +) +) +uid 12264,0 +) +*846 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wr16Pulse" +t "std_ulogic" +o 84 +suid 67,0 +) +) +uid 12266,0 +) +*847 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wr16" +t "std_ulogic" +o 83 +suid 68,0 +) +) +uid 12268,0 +) +*848 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 39 +suid 69,0 +) +) +uid 12270,0 +) +*849 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 38 +suid 70,0 +) +) +uid 12272,0 +) +*850 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrZ" +t "std_ulogic" +o 33 +suid 71,0 +) +) +uid 12274,0 +) +*851 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrZ" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 26 +suid 72,0 +) +) +uid 12276,0 +) +*852 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memZ" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 51 +suid 73,0 +) +) +uid 12278,0 +) +*853 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrZ" +t "std_ulogic" +o 48 +suid 74,0 +) +) +uid 12280,0 +) +*854 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnZ" +t "std_ulogic" +o 45 +suid 75,0 +) +) +uid 12282,0 +) +*855 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selZ" +t "std_ulogic" +o 76 +suid 76,0 +) +) +uid 12284,0 +) +*856 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 77,0 +) +) +uid 12286,0 +) +*857 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleZ1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 66 +suid 78,0 +) +) +uid 12288,0 +) +*858 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleZ2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 67 +suid 79,0 +) +) +uid 12290,0 +) +*859 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesZ" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 70 +suid 80,0 +) +) +uid 12292,0 +) +*860 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 81,0 +) +) +uid 12294,0 +) +*861 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 82,0 +) +) +uid 12296,0 +) +*862 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 83,0 +) +) +uid 12298,0 +) +*863 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 84,0 +) +) +uid 12300,0 +) +*864 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 85,0 +) +) +uid 12302,0 +) +*865 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 86,0 +) +) +uid 12304,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 12320,0 +optionalChildren [ +*866 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *867 (MRCItem +litem &767 +pos 86 +dimension 20 +) +uid 12322,0 +optionalChildren [ +*868 (MRCItem +litem &768 +pos 0 +dimension 20 +uid 12323,0 +) +*869 (MRCItem +litem &769 +pos 1 +dimension 23 +uid 12324,0 +) +*870 (MRCItem +litem &770 +pos 2 +hidden 1 +dimension 20 +uid 12325,0 +) +*871 (MRCItem +litem &780 +pos 0 +dimension 20 +uid 12135,0 +) +*872 (MRCItem +litem &781 +pos 1 +dimension 20 +uid 12137,0 +) +*873 (MRCItem +litem &782 +pos 2 +dimension 20 +uid 12139,0 +) +*874 (MRCItem +litem &783 +pos 3 +dimension 20 +uid 12141,0 +) +*875 (MRCItem +litem &784 +pos 4 +dimension 20 +uid 12143,0 +) +*876 (MRCItem +litem &785 +pos 5 +dimension 20 +uid 12145,0 +) +*877 (MRCItem +litem &786 +pos 6 +dimension 20 +uid 12147,0 +) +*878 (MRCItem +litem &787 +pos 7 +dimension 20 +uid 12149,0 +) +*879 (MRCItem +litem &788 +pos 8 +dimension 20 +uid 12151,0 +) +*880 (MRCItem +litem &789 +pos 20 +dimension 20 +uid 12153,0 +) +*881 (MRCItem +litem &790 +pos 21 +dimension 20 +uid 12155,0 +) +*882 (MRCItem +litem &791 +pos 22 +dimension 20 +uid 12157,0 +) +*883 (MRCItem +litem &792 +pos 23 +dimension 20 +uid 12159,0 +) +*884 (MRCItem +litem &793 +pos 24 +dimension 20 +uid 12161,0 +) +*885 (MRCItem +litem &794 +pos 25 +dimension 20 +uid 12163,0 +) +*886 (MRCItem +litem &795 +pos 26 +dimension 20 +uid 12165,0 +) +*887 (MRCItem +litem &796 +pos 27 +dimension 20 +uid 12167,0 +) +*888 (MRCItem +litem &797 +pos 28 +dimension 20 +uid 12169,0 +) +*889 (MRCItem +litem &798 +pos 29 +dimension 20 +uid 12171,0 +) +*890 (MRCItem +litem &799 +pos 30 +dimension 20 +uid 12173,0 +) +*891 (MRCItem +litem &800 +pos 31 +dimension 20 +uid 12175,0 +) +*892 (MRCItem +litem &801 +pos 32 +dimension 20 +uid 12177,0 +) +*893 (MRCItem +litem &802 +pos 33 +dimension 20 +uid 12179,0 +) +*894 (MRCItem +litem &803 +pos 34 +dimension 20 +uid 12181,0 +) +*895 (MRCItem +litem &804 +pos 35 +dimension 20 +uid 12183,0 +) +*896 (MRCItem +litem &805 +pos 36 +dimension 20 +uid 12185,0 +) +*897 (MRCItem +litem &806 +pos 37 +dimension 20 +uid 12187,0 +) +*898 (MRCItem +litem &807 +pos 38 +dimension 20 +uid 12189,0 +) +*899 (MRCItem +litem &808 +pos 39 +dimension 20 +uid 12191,0 +) +*900 (MRCItem +litem &809 +pos 40 +dimension 20 +uid 12193,0 +) +*901 (MRCItem +litem &810 +pos 41 +dimension 20 +uid 12195,0 +) +*902 (MRCItem +litem &811 +pos 42 +dimension 20 +uid 12197,0 +) +*903 (MRCItem +litem &812 +pos 43 +dimension 20 +uid 12199,0 +) +*904 (MRCItem +litem &813 +pos 44 +dimension 20 +uid 12201,0 +) +*905 (MRCItem +litem &814 +pos 45 +dimension 20 +uid 12203,0 +) +*906 (MRCItem +litem &815 +pos 46 +dimension 20 +uid 12205,0 +) +*907 (MRCItem +litem &816 +pos 47 +dimension 20 +uid 12207,0 +) +*908 (MRCItem +litem &817 +pos 48 +dimension 20 +uid 12209,0 +) +*909 (MRCItem +litem &818 +pos 49 +dimension 20 +uid 12211,0 +) +*910 (MRCItem +litem &819 +pos 50 +dimension 20 +uid 12213,0 +) +*911 (MRCItem +litem &820 +pos 9 +dimension 20 +uid 12215,0 +) +*912 (MRCItem +litem &821 +pos 51 +dimension 20 +uid 12217,0 +) +*913 (MRCItem +litem &822 +pos 52 +dimension 20 +uid 12219,0 +) +*914 (MRCItem +litem &823 +pos 53 +dimension 20 +uid 12221,0 +) +*915 (MRCItem +litem &824 +pos 54 +dimension 20 +uid 12223,0 +) +*916 (MRCItem +litem &825 +pos 55 +dimension 20 +uid 12225,0 +) +*917 (MRCItem +litem &826 +pos 56 +dimension 20 +uid 12227,0 +) +*918 (MRCItem +litem &827 +pos 57 +dimension 20 +uid 12229,0 +) +*919 (MRCItem +litem &828 +pos 58 +dimension 20 +uid 12231,0 +) +*920 (MRCItem +litem &829 +pos 59 +dimension 20 +uid 12233,0 +) +*921 (MRCItem +litem &830 +pos 60 +dimension 20 +uid 12235,0 +) +*922 (MRCItem +litem &831 +pos 61 +dimension 20 +uid 12237,0 +) +*923 (MRCItem +litem &832 +pos 62 +dimension 20 +uid 12239,0 +) +*924 (MRCItem +litem &833 +pos 10 +dimension 20 +uid 12241,0 +) +*925 (MRCItem +litem &834 +pos 63 +dimension 20 +uid 12243,0 +) +*926 (MRCItem +litem &835 +pos 64 +dimension 20 +uid 12245,0 +) +*927 (MRCItem +litem &836 +pos 65 +dimension 20 +uid 12247,0 +) +*928 (MRCItem +litem &837 +pos 66 +dimension 20 +uid 12249,0 +) +*929 (MRCItem +litem &838 +pos 67 +dimension 20 +uid 12251,0 +) +*930 (MRCItem +litem &839 +pos 68 +dimension 20 +uid 12253,0 +) +*931 (MRCItem +litem &840 +pos 69 +dimension 20 +uid 12255,0 +) +*932 (MRCItem +litem &841 +pos 11 +dimension 20 +uid 12257,0 +) +*933 (MRCItem +litem &842 +pos 70 +dimension 20 +uid 12259,0 +) +*934 (MRCItem +litem &843 +pos 12 +dimension 20 +uid 12261,0 +) +*935 (MRCItem +litem &844 +pos 71 +dimension 20 +uid 12263,0 +) +*936 (MRCItem +litem &845 +pos 72 +dimension 20 +uid 12265,0 +) +*937 (MRCItem +litem &846 +pos 73 +dimension 20 +uid 12267,0 +) +*938 (MRCItem +litem &847 +pos 74 +dimension 20 +uid 12269,0 +) +*939 (MRCItem +litem &848 +pos 75 +dimension 20 +uid 12271,0 +) +*940 (MRCItem +litem &849 +pos 76 +dimension 20 +uid 12273,0 +) +*941 (MRCItem +litem &850 +pos 77 +dimension 20 +uid 12275,0 +) +*942 (MRCItem +litem &851 +pos 78 +dimension 20 +uid 12277,0 +) +*943 (MRCItem +litem &852 +pos 79 +dimension 20 +uid 12279,0 +) +*944 (MRCItem +litem &853 +pos 80 +dimension 20 +uid 12281,0 +) +*945 (MRCItem +litem &854 +pos 81 +dimension 20 +uid 12283,0 +) +*946 (MRCItem +litem &855 +pos 82 +dimension 20 +uid 12285,0 +) +*947 (MRCItem +litem &856 +pos 13 +dimension 20 +uid 12287,0 +) +*948 (MRCItem +litem &857 +pos 83 +dimension 20 +uid 12289,0 +) +*949 (MRCItem +litem &858 +pos 84 +dimension 20 +uid 12291,0 +) +*950 (MRCItem +litem &859 +pos 85 +dimension 20 +uid 12293,0 +) +*951 (MRCItem +litem &860 +pos 14 +dimension 20 +uid 12295,0 +) +*952 (MRCItem +litem &861 +pos 15 +dimension 20 +uid 12297,0 +) +*953 (MRCItem +litem &862 +pos 16 +dimension 20 +uid 12299,0 +) +*954 (MRCItem +litem &863 +pos 17 +dimension 20 +uid 12301,0 +) +*955 (MRCItem +litem &864 +pos 18 +dimension 20 +uid 12303,0 +) +*956 (MRCItem +litem &865 +pos 19 +dimension 20 +uid 12305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 12326,0 +optionalChildren [ +*957 (MRCItem +litem &771 +pos 0 +dimension 20 +uid 12327,0 +) +*958 (MRCItem +litem &773 +pos 1 +dimension 50 +uid 12328,0 +) +*959 (MRCItem +litem &774 +pos 2 +dimension 100 +uid 12329,0 +) +*960 (MRCItem +litem &775 +pos 3 +dimension 50 +uid 12330,0 +) +*961 (MRCItem +litem &776 +pos 4 +dimension 100 +uid 12331,0 +) +*962 (MRCItem +litem &777 +pos 5 +dimension 100 +uid 12332,0 +) +*963 (MRCItem +litem &778 +pos 6 +dimension 50 +uid 12333,0 +) +*964 (MRCItem +litem &779 +pos 7 +dimension 80 +uid 12334,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 12321,0 +vaOverrides [ +] +) +] +) +uid 12306,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *965 (LEmptyRow +) +uid 12336,0 +optionalChildren [ +*966 (RefLabelRowHdr +) +*967 (TitleRowHdr +) +*968 (FilterRowHdr +) +*969 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*970 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*971 (GroupColHdr +tm "GroupColHdrMgr" +) +*972 (NameColHdr +tm "GenericNameColHdrMgr" +) +*973 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*974 (InitColHdr +tm "GenericValueColHdrMgr" +) +*975 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*976 (EolColHdr +tm "GenericEolColHdrMgr" +) +*977 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 12611,0 +) +*978 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 12613,0 +) +] +) +pdm (PhysicalDM +uid 12348,0 +optionalChildren [ +*979 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *980 (MRCItem +litem &965 +pos 2 +dimension 20 +) +uid 12350,0 +optionalChildren [ +*981 (MRCItem +litem &966 +pos 0 +dimension 20 +uid 12351,0 +) +*982 (MRCItem +litem &967 +pos 1 +dimension 23 +uid 12352,0 +) +*983 (MRCItem +litem &968 +pos 2 +hidden 1 +dimension 20 +uid 12353,0 +) +*984 (MRCItem +litem &977 +pos 0 +dimension 20 +uid 12610,0 +) +*985 (MRCItem +litem &978 +pos 1 +dimension 20 +uid 12612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 12354,0 +optionalChildren [ +*986 (MRCItem +litem &969 +pos 0 +dimension 20 +uid 12355,0 +) +*987 (MRCItem +litem &971 +pos 1 +dimension 50 +uid 12356,0 +) +*988 (MRCItem +litem &972 +pos 2 +dimension 100 +uid 12357,0 +) +*989 (MRCItem +litem &973 +pos 3 +dimension 100 +uid 12358,0 +) +*990 (MRCItem +litem &974 +pos 4 +dimension 50 +uid 12359,0 +) +*991 (MRCItem +litem &975 +pos 5 +dimension 50 +uid 12360,0 +) +*992 (MRCItem +litem &976 +pos 6 +dimension 80 +uid 12361,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 12349,0 +vaOverrides [ +] +) +] +) +uid 12335,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/symbol.sb new file mode 100644 index 0000000..7dee099 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@blanking/symbol.sb @@ -0,0 +1,2620 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2020,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 243,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 244,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 245,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 246,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 247,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 18,0 +) +) +uid 248,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 14,0 +) +) +uid 249,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 250,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 251,0 +) +*10 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 252,0 +) +*11 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 17,0 +) +) +uid 253,0 +) +*12 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 254,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 255,0 +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 256,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 257,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 12,0 +) +) +uid 258,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 259,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 16,0 +) +) +uid 260,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 20,0 +) +) +uid 261,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +uid 262,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 263,0 +) +*22 (RefLabelRowHdr +) +*23 (TitleRowHdr +) +*24 (FilterRowHdr +) +*25 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*26 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*27 (GroupColHdr +tm "GroupColHdrMgr" +) +*28 (NameColHdr +tm "NameColHdrMgr" +) +*29 (ModeColHdr +tm "ModeColHdrMgr" +) +*30 (TypeColHdr +tm "TypeColHdrMgr" +) +*31 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*32 (InitColHdr +tm "InitColHdrMgr" +) +*33 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 264,0 +optionalChildren [ +*34 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *35 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 167,0 +optionalChildren [ +*36 (MRCItem +litem &22 +pos 0 +dimension 20 +uid 170,0 +) +*37 (MRCItem +litem &23 +pos 1 +dimension 23 +uid 172,0 +) +*38 (MRCItem +litem &24 +pos 2 +hidden 1 +dimension 20 +uid 174,0 +) +*39 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 193,0 +) +*40 (MRCItem +litem &3 +pos 11 +dimension 20 +uid 194,0 +) +*41 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 195,0 +) +*42 (MRCItem +litem &5 +pos 8 +dimension 20 +uid 196,0 +) +*43 (MRCItem +litem &6 +pos 17 +dimension 20 +uid 197,0 +) +*44 (MRCItem +litem &7 +pos 13 +dimension 20 +uid 198,0 +) +*45 (MRCItem +litem &8 +pos 12 +dimension 20 +uid 199,0 +) +*46 (MRCItem +litem &9 +pos 1 +dimension 20 +uid 200,0 +) +*47 (MRCItem +litem &10 +pos 14 +dimension 20 +uid 201,0 +) +*48 (MRCItem +litem &11 +pos 18 +dimension 20 +uid 202,0 +) +*49 (MRCItem +litem &12 +pos 4 +dimension 20 +uid 203,0 +) +*50 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 204,0 +) +*51 (MRCItem +litem &14 +pos 3 +dimension 20 +uid 205,0 +) +*52 (MRCItem +litem &15 +pos 6 +dimension 20 +uid 206,0 +) +*53 (MRCItem +litem &16 +pos 10 +dimension 20 +uid 207,0 +) +*54 (MRCItem +litem &17 +pos 19 +dimension 20 +uid 208,0 +) +*55 (MRCItem +litem &18 +pos 16 +dimension 20 +uid 209,0 +) +*56 (MRCItem +litem &19 +pos 15 +dimension 20 +uid 210,0 +) +*57 (MRCItem +litem &20 +pos 9 +dimension 20 +uid 211,0 +) +*58 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 168,0 +optionalChildren [ +*59 (MRCItem +litem &25 +pos 0 +dimension 20 +uid 176,0 +) +*60 (MRCItem +litem &27 +pos 1 +dimension 50 +uid 180,0 +) +*61 (MRCItem +litem &28 +pos 2 +dimension 100 +uid 182,0 +) +*62 (MRCItem +litem &29 +pos 3 +dimension 50 +uid 184,0 +) +*63 (MRCItem +litem &30 +pos 4 +dimension 100 +uid 186,0 +) +*64 (MRCItem +litem &31 +pos 5 +dimension 100 +uid 188,0 +) +*65 (MRCItem +litem &32 +pos 6 +dimension 50 +uid 190,0 +) +*66 (MRCItem +litem &33 +pos 7 +dimension 80 +uid 192,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 166,0 +vaOverrides [ +] +) +] +) +uid 242,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *67 (LEmptyRow +) +uid 266,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "GenericNameColHdrMgr" +) +*75 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*76 (InitColHdr +tm "GenericValueColHdrMgr" +) +*77 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*78 (EolColHdr +tm "GenericEolColHdrMgr" +) +*79 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 238,0 +) +*80 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 239,0 +) +] +) +pdm (PhysicalDM +uid 267,0 +optionalChildren [ +*81 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *82 (MRCItem +litem &67 +pos 3 +dimension 20 +) +uid 214,0 +optionalChildren [ +*83 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 217,0 +) +*84 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 219,0 +) +*85 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 221,0 +) +*86 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 240,0 +) +*87 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 241,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 215,0 +optionalChildren [ +*88 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 223,0 +) +*89 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 227,0 +) +*90 (MRCItem +litem &74 +pos 2 +dimension 100 +uid 229,0 +) +*91 (MRCItem +litem &75 +pos 3 +dimension 100 +uid 231,0 +) +*92 (MRCItem +litem &76 +pos 4 +dimension 50 +uid 233,0 +) +*93 (MRCItem +litem &77 +pos 5 +dimension 50 +uid 235,0 +) +*94 (MRCItem +litem &78 +pos 6 +dimension 80 +uid 237,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 213,0 +vaOverrides [ +] +) +] +) +uid 265,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@blanking/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@blanking/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@blanking" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerBlanking" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerBlanking" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:22" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamerBlanking" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@blanking/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerBlanking/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:22" +) +(vvPair +variable "unit" +value "ahbBeamerBlanking" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*95 (SymbolBody +uid 8,0 +optionalChildren [ +*96 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,31625,36000,32375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "37000,31400,39500,32300" +st "clock" +blo "37000,32100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,18500,10300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*97 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,5625,36000,6375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "37000,5400,39000,6300" +st "addr" +blo "37000,6100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8500,30000,9400" +st "addr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*98 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,5625,52750,6375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "49001,5400,51001,6300" +st "outX" +ju 2 +blo "51001,6100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7600,18500,8500" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*99 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,33625,36000,34375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "37000,33400,39500,34300" +st "reset" +blo "37000,34100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,18500,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*100 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,7625,52750,8375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "49001,7400,51001,8300" +st "outY" +ju 2 +blo "51001,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,18500,12100" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*101 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,7625,36000,8375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,9,0" +) +xt "37000,7400,40000,8300" +st "dataIn" +blo "37000,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,33000,13000" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*102 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,13625,36000,14375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,9,0" +) +xt "37000,13400,38000,14300" +st "rd" +blo "37000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,18500,13900" +st "rd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*103 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,15625,36000,16375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,9,0" +) +xt "37000,15400,38500,16300" +st "wrH" +blo "37000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,18500,14800" +st "wrH : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*104 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,19625,36000,20375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,9,0" +) +xt "37000,19400,38000,20300" +st "cs" +blo "37000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,18500,15700" +st "cs : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*105 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 110,0 +va (VaSet +font "courier,9,0" +) +xt "37000,17400,38500,18300" +st "wrL" +blo "37000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,18500,16600" +st "wrL : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +*106 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,9625,36000,10375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "37000,9400,40500,10300" +st "dataOut" +blo "37000,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,32500,17500" +st "dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +) +*107 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,31625,52750,32375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "47501,31400,51001,32300" +st "testOut" +ju 2 +blo "51001,32100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,26500,18400" +st "testOut : OUT std_ulogic_vector (1 TO 16) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 12,0 +) +) +) +*108 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,29625,52750,30375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46501,29400,51001,30300" +st "selSinCos" +ju 2 +blo "51001,30100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,18500,19300" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 13,0 +) +) +) +*109 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,9625,52750,10375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,9,0" +) +xt "49001,9400,51001,10300" +st "outZ" +ju 2 +blo "51001,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19300,18500,20200" +st "outZ : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 14,0 +) +) +) +*110 (CptPort +uid 136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,15625,52750,16375" +) +tg (CPTG +uid 138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 139,0 +va (VaSet +font "courier,9,0" +) +xt "48500,15400,51000,16300" +st "CLK_X" +ju 2 +blo "51000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22000,18500,22900" +st "CLK_X : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 15,0 +) +) +) +*111 (CptPort +uid 141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,13625,52750,14375" +) +tg (CPTG +uid 143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,9,0" +) +xt "48000,13400,51000,14300" +st "CS_X_n" +ju 2 +blo "51000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20200,18500,21100" +st "CS_X_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 16,0 +) +) +) +*112 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +font "courier,9,0" +) +xt "48500,17400,51000,18300" +st "SDI_X" +ju 2 +blo "51000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21100,18500,22000" +st "SDI_X : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 17,0 +) +) +) +*113 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,21625,52750,22375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,9,0" +) +xt "48000,21400,51000,22300" +st "CS_Y_n" +ju 2 +blo "51000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "courier,8,0" +) +xt "2000,23800,18500,24700" +st "CS_Y_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 18,0 +) +) +) +*114 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,25625,52750,26375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +font "courier,9,0" +) +xt "48500,25400,51000,26300" +st "SDI_Y" +ju 2 +blo "51000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "2000,24700,17500,25600" +st "SDI_Y : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 19,0 +) +) +) +*115 (CptPort +uid 161,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 162,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 163,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,9,0" +) +xt "48500,23400,51000,24300" +st "CLK_Y" +ju 2 +blo "51000,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 165,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22900,18500,23800" +st "CLK_Y : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,2000,52000,36000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "36600,35800,43100,36700" +st "SystemOnChip" +blo "36600,36500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "36600,36700,45600,37600" +st "ahbBeamerBlanking" +blo "36600,37400" +) +) +gi *116 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,39600,49500,43200" +st "Generic Declarations + +dataBitNb positive 16 +addressBitNb positive 24 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*117 (Grouping +uid 16,0 +optionalChildren [ +*118 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*119 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*120 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*121 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*122 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*123 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*124 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*125 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*126 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*127 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*130 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-940,75120,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *131 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *132 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6700,3000,7600" +st "Ports:" +blo "0,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,25600,2500,26500" +st "User:" +blo "0,26300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,26500,2000,26500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 290,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/empty.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/empty.bd new file mode 100644 index 0000000..cc112af --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/empty.bd @@ -0,0 +1,3078 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\empty.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\empty.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "empty" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerOperator" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerOperator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "empty.bd" +) +(vvPair +variable "f_logical" +value "empty.bd" +) +(vvPair +variable "f_noext" +value "empty" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:00:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamerOperator" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\empty.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerOperator\\empty.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "empty" +) +(vvPair +variable "this_file_logical" +value "empty" +) +(vvPair +variable "time" +value "15:00:51" +) +(vvPair +variable "unit" +value "ahbBeamerOperator" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "empty" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "-2000,7625,-500,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "-500,8000,0,8000" +pts [ +"-500,8000" +"0,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-6400,7500,-3000,8700" +st "clock" +ju 2 +blo "-3000,8500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,3600,33200,4600" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-11300,11500,-3000,12700" +st "interpolateLin" +ju 2 +blo "-3000,12500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,6800,33900,7800" +st "interpolateLin : std_ulogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-6800,15500,-3000,16700" +st "memX" +ju 2 +blo "-3000,16500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,8400,48500,9400" +st "memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,19625,-500,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,20000,0,20000" +pts [ +"-500,20000" +"0,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-6800,19500,-3000,20700" +st "memY" +ju 2 +blo "-3000,20500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,9200,48500,10200" +st "memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "63000,7500,66000,8700" +st "outX" +blo "63000,8500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,2000,33300,3000" +st "outX : std_ulogic" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "60500,11625,62000,12375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "60000,12000,60500,12000" +pts [ +"60000,12000" +"60500,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "63000,11500,66000,12700" +st "outY" +blo "63000,12500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,5200,33300,6200" +st "outY : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "-2000,23625,-500,24375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "-500,24000,0,24000" +pts [ +"-500,24000" +"0,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-6300,23500,-3000,24700" +st "reset" +ju 2 +blo "-3000,24500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,4400,33200,5400" +st "reset : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,27625,-500,28375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,28000,0,28000" +pts [ +"-500,28000" +"0,28000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-5300,27500,-3000,28700" +st "run" +ju 2 +blo "-3000,28500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,2800,33100,3800" +st "run : std_ulogic" +) +) +*17 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "-2000,31625,-500,32375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "-500,32000,0,32000" +pts [ +"-500,32000" +"0,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "-8800,31500,-3000,32700" +st "selSinCos" +ju 2 +blo "-3000,32500" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 133,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,6000,33800,7000" +st "selSinCos : std_ulogic" +) +) +*19 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "-2000,35625,-500,36375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "-500,36000,0,36000" +pts [ +"-500,36000" +"0,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +) +xt "-11000,35500,-3000,36700" +st "updatePeriod" +ju 2 +blo "-3000,36500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 147,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,7600,48400,8600" +st "updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*21 (PortIoOut +uid 370,0 +shape (CompositeShape +uid 371,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 372,0 +sl 0 +ro 270 +xt "57500,19625,59000,20375" +) +(Line +uid 373,0 +sl 0 +ro 270 +xt "57000,20000,57500,20000" +pts [ +"57000,20000" +"57500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 374,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 375,0 +va (VaSet +) +xt "60000,19500,67600,20700" +st "newPolynom" +blo "60000,20500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 384,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 12,0 +) +declText (MLText +uid 385,0 +va (VaSet +font "Verdana,8,0" +) +xt "22000,10000,34900,11000" +st "newPolynom : std_ulogic" +) +) +*23 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "0,8000,10000,8000" +pts [ +"0,8000" +"10000,8000" +] +) +start &1 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "2000,7000,5400,8200" +st "clock" +blo "2000,8000" +tm "WireNameMgr" +) +) +on &2 +) +*24 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,10000,12000" +pts [ +"0,12000" +"10000,12000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,11000,10300,12200" +st "interpolateLin" +blo "2000,12000" +tm "WireNameMgr" +) +) +on &4 +) +*25 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,16000,10000,16000" +pts [ +"0,16000" +"10000,16000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,15000,17100,16200" +st "memX : (signalBitNb-1:0)" +blo "2000,16000" +tm "WireNameMgr" +) +) +on &6 +) +*26 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,20000,10000,20000" +pts [ +"0,20000" +"10000,20000" +] +) +start &7 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,19000,17100,20200" +st "memY : (signalBitNb-1:0)" +blo "2000,20000" +tm "WireNameMgr" +) +) +on &8 +) +*27 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "50000,8000,60000,8000" +pts [ +"60000,8000" +"50000,8000" +] +) +start &9 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "59000,7000,62000,8200" +st "outX" +blo "59000,8000" +tm "WireNameMgr" +) +) +on &10 +) +*28 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "50000,12000,60000,12000" +pts [ +"60000,12000" +"50000,12000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "59000,11000,62000,12200" +st "outY" +blo "59000,12000" +tm "WireNameMgr" +) +) +on &12 +) +*29 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,24000,10000,24000" +pts [ +"0,24000" +"10000,24000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "2000,23000,5300,24200" +st "reset" +blo "2000,24000" +tm "WireNameMgr" +) +) +on &14 +) +*30 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,28000,10000,28000" +pts [ +"0,28000" +"10000,28000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,27000,4300,28200" +st "run" +blo "2000,28000" +tm "WireNameMgr" +) +) +on &16 +) +*31 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "0,32000,10000,32000" +pts [ +"0,32000" +"10000,32000" +] +) +start &17 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +) +xt "2000,31000,7800,32200" +st "selSinCos" +blo "2000,32000" +tm "WireNameMgr" +) +) +on &18 +) +*32 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,36000,10000,36000" +pts [ +"0,36000" +"10000,36000" +] +) +start &19 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "2000,35000,24200,36200" +st "updatePeriod : (updatePeriodBitNb-1:0)" +blo "2000,36000" +tm "WireNameMgr" +) +) +on &20 +) +*33 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "47000,20000,57000,20000" +pts [ +"57000,20000" +"47000,20000" +] +) +start &21 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +isHidden 1 +) +xt "56000,19000,63600,20200" +st "newPolynom" +blo "56000,20000" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *34 (PackageList +uid 173,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 174,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*36 (MLText +uid 175,0 +va (VaSet +) +xt "0,1000,16900,4600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 176,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 177,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*38 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*39 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 180,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*41 (MLText +uid 181,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 182,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*43 (MLText +uid 183,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-12448,-1157,69034,43114" +cachedDiagramExtent "-8300,0,64900,36500" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-82000,0" +lastUid 477,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*48 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*49 (Text +va (VaSet +font "Verdana,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*54 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*55 (Text +va (VaSet +font "Verdana,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*57 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*58 (Text +va (VaSet +font "Verdana,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*64 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "20000,0,27000,1000" +st "Declarations" +blo "20000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "20000,1000,23400,2000" +st "Ports:" +blo "20000,1800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,24800,1000" +st "Pre User:" +blo "20000,800" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "20000,10800,29000,11800" +st "Diagram Signals:" +blo "20000,11600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,26000,1000" +st "Post User:" +blo "20000,800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 12,0 +usingSuid 1 +emptyRow *65 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*73 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*74 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*75 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*76 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*77 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*78 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 149,0 +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 8,0 +) +) +uid 151,0 +) +*80 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 153,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 155,0 +) +*82 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 157,0 +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 9,0 +) +) +uid 159,0 +) +*84 (LeafLogPort +port (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 161,0 +) +*85 (LeafLogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 10,0 +) +) +uid 163,0 +) +*86 (LeafLogPort +port (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 3,0 +) +) +uid 165,0 +) +*87 (LeafLogPort +port (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 4,0 +) +) +uid 167,0 +) +*88 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 12,0 +) +) +uid 386,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*89 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *90 (MRCItem +litem &65 +pos 11 +dimension 20 +) +uid 201,0 +optionalChildren [ +*91 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 202,0 +) +*92 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 203,0 +) +*93 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*94 (MRCItem +litem &78 +pos 0 +dimension 20 +uid 150,0 +) +*95 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 152,0 +) +*96 (MRCItem +litem &80 +pos 2 +dimension 20 +uid 154,0 +) +*97 (MRCItem +litem &81 +pos 3 +dimension 20 +uid 156,0 +) +*98 (MRCItem +litem &82 +pos 4 +dimension 20 +uid 158,0 +) +*99 (MRCItem +litem &83 +pos 5 +dimension 20 +uid 160,0 +) +*100 (MRCItem +litem &84 +pos 6 +dimension 20 +uid 162,0 +) +*101 (MRCItem +litem &85 +pos 7 +dimension 20 +uid 164,0 +) +*102 (MRCItem +litem &86 +pos 8 +dimension 20 +uid 166,0 +) +*103 (MRCItem +litem &87 +pos 9 +dimension 20 +uid 168,0 +) +*104 (MRCItem +litem &88 +pos 10 +dimension 20 +uid 387,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*105 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 206,0 +) +*106 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 207,0 +) +*107 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 208,0 +) +*108 (MRCItem +litem &73 +pos 3 +dimension 50 +uid 209,0 +) +*109 (MRCItem +litem &74 +pos 4 +dimension 100 +uid 210,0 +) +*110 (MRCItem +litem &75 +pos 5 +dimension 100 +uid 211,0 +) +*111 (MRCItem +litem &76 +pos 6 +dimension 50 +uid 212,0 +) +*112 (MRCItem +litem &77 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *113 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*114 (RefLabelRowHdr +) +*115 (TitleRowHdr +) +*116 (FilterRowHdr +) +*117 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*118 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*119 (GroupColHdr +tm "GroupColHdrMgr" +) +*120 (NameColHdr +tm "GenericNameColHdrMgr" +) +*121 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*122 (InitColHdr +tm "GenericValueColHdrMgr" +) +*123 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*124 (EolColHdr +tm "GenericEolColHdrMgr" +) +*125 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 169,0 +) +*126 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 171,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*127 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *128 (MRCItem +litem &113 +pos 2 +dimension 20 +) +uid 229,0 +optionalChildren [ +*129 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 230,0 +) +*130 (MRCItem +litem &115 +pos 1 +dimension 23 +uid 231,0 +) +*131 (MRCItem +litem &116 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*132 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 170,0 +) +*133 (MRCItem +litem &126 +pos 1 +dimension 20 +uid 172,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*134 (MRCItem +litem &117 +pos 0 +dimension 20 +uid 234,0 +) +*135 (MRCItem +litem &119 +pos 1 +dimension 50 +uid 235,0 +) +*136 (MRCItem +litem &120 +pos 2 +dimension 100 +uid 236,0 +) +*137 (MRCItem +litem &121 +pos 3 +dimension 100 +uid 237,0 +) +*138 (MRCItem +litem &122 +pos 4 +dimension 50 +uid 238,0 +) +*139 (MRCItem +litem &123 +pos 5 +dimension 50 +uid 239,0 +) +*140 (MRCItem +litem &124 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/struct.bd new file mode 100644 index 0000000..4d097de --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/struct.bd @@ -0,0 +1,11275 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_speed" +duLibraryName "SystemOnChip" +duName "periphSpeedController" +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +mwi 0 +uid 17519,0 +) +(Instance +name "I_sin" +duLibraryName "SystemOnChip" +duName "sinCosTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 17540,0 +) +(Instance +name "I_dacy" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17565,0 +) +(Instance +name "I_dacx" +duLibraryName "DigitalToAnalogConverter" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17590,0 +) +(Instance +name "I_phase" +duLibraryName "WaveformGenerator" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 17619,0 +) +(Instance +name "I_trig" +duLibraryName "SplineInterpolator" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 17644,0 +) +(Instance +name "I_srx" +duLibraryName "SplineInterpolator" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17685,0 +) +(Instance +name "I_coeffx" +duLibraryName "SplineInterpolator" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 17730,0 +) +(Instance +name "I_polyx" +duLibraryName "SplineInterpolator" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 17775,0 +) +(Instance +name "I_offsx" +duLibraryName "SplineInterpolator" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17792,0 +) +(Instance +name "I_offsy" +duLibraryName "SplineInterpolator" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 17809,0 +) +(Instance +name "I_polyy" +duLibraryName "SplineInterpolator" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 17854,0 +) +(Instance +name "I_coeffy" +duLibraryName "SplineInterpolator" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 17899,0 +) +(Instance +name "I_sry" +duLibraryName "SplineInterpolator" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 18037,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerOperator" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerOperator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:42:24" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "ahbBeamerOperator" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@operator\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerOperator\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:42:24" +) +(vvPair +variable "unit" +value "ahbBeamerOperator" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,178000,270000,179000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,178500,253200,178500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "270000,174000,274000,175000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "270200,174500,270200,174500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,176000,270000,177000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,176500,253200,176500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "249000,176000,253000,177000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "249200,176500,249200,176500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "270000,175000,290000,179000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "270200,175200,284300,176400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,174000,290000,175000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,174500,274200,174500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "249000,174000,270000,176000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "254350,174400,264650,175600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "249000,177000,253000,178000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "249200,177500,249200,177500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "249000,178000,253000,179000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "249200,178500,249200,178500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,177000,270000,178000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,177500,253200,177500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "249000,174000,290000,179000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,124500,31700,125500" +st "clock : std_ulogic" +) +) +*13 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "289500,153625,291000,154375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "289000,154000,289500,154000" +pts [ +"289000,154000" +"289500,154000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,153300,295700,154700" +st "outX" +blo "292000,154500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,122700,31800,123700" +st "outX : std_ulogic" +) +) +*15 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "289500,116625,291000,117375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "289000,117000,289500,117000" +pts [ +"289000,117000" +"289500,117000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,116300,295600,117700" +st "outY" +blo "292000,117500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,126300,31800,127300" +st "outY : std_ulogic" +) +) +*17 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,125400,31700,126400" +st "reset : std_ulogic" +) +) +*18 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,131700,33400,132700" +st "newPolynom : std_ulogic" +) +) +*19 (Net +uid 542,0 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 25 +suid 11,0 +) +declText (MLText +uid 543,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,151500,45700,152500" +st "SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 544,0 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 39 +suid 12,0 +) +declText (MLText +uid 545,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,164100,46800,165100" +st "SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 546,0 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 35 +suid 13,0 +) +declText (MLText +uid 547,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,160500,45800,161500" +st "SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 548,0 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 26 +suid 14,0 +) +declText (MLText +uid 549,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,152400,45900,153400" +st "SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 550,0 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 27 +suid 15,0 +) +declText (MLText +uid 551,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,153300,45900,154300" +st "SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 552,0 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 28 +suid 16,0 +) +declText (MLText +uid 553,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,154200,45900,155200" +st "SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 554,0 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 29 +suid 17,0 +) +declText (MLText +uid 555,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,155100,45900,156100" +st "SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 556,0 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 12 +suid 18,0 +) +declText (MLText +uid 557,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,139800,44500,140800" +st "SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 558,0 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 14 +suid 19,0 +) +declText (MLText +uid 559,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,141600,44500,142600" +st "SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 560,0 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 16 +suid 20,0 +) +declText (MLText +uid 561,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,143400,44400,144400" +st "SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 562,0 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 19 +suid 21,0 +) +declText (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,146100,44500,147100" +st "SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*30 (Net +uid 865,0 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 36 +suid 22,0 +) +declText (MLText +uid 866,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,161400,45800,162400" +st "SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*31 (Net +uid 867,0 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 31 +suid 23,0 +) +declText (MLText +uid 868,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,156900,45900,157900" +st "SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*32 (Net +uid 869,0 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 32 +suid 24,0 +) +declText (MLText +uid 870,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,157800,45900,158800" +st "SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 871,0 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 33 +suid 25,0 +) +declText (MLText +uid 872,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,158700,45900,159700" +st "SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 873,0 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 26,0 +) +declText (MLText +uid 874,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,159600,45900,160600" +st "SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*35 (Net +uid 875,0 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +declText (MLText +uid 876,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,140700,44500,141700" +st "SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*36 (Net +uid 877,0 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 15 +suid 28,0 +) +declText (MLText +uid 878,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,142500,44500,143500" +st "SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*37 (Net +uid 879,0 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 17 +suid 29,0 +) +declText (MLText +uid 880,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,144300,44400,145300" +st "SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*38 (Net +uid 881,0 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 20 +suid 30,0 +) +declText (MLText +uid 882,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,147000,44500,148000" +st "SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*39 (Net +uid 883,0 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 31,0 +) +declText (MLText +uid 884,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,156000,45700,157000" +st "SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 885,0 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 40 +suid 32,0 +) +declText (MLText +uid 886,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,165000,46800,166000" +st "SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,123600,31600,124600" +st "run : std_ulogic" +) +) +*42 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,129000,46900,130000" +st "updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*43 (Net +uid 1993,0 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 23 +suid 43,0 +) +declText (MLText +uid 1994,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,149700,36400,150700" +st "SIGNAL interpolationEnable : std_ulogic" +) +) +*44 (HdlText +uid 3135,0 +optionalChildren [ +*45 (EmbeddedText +uid 3140,0 +commentText (CommentText +uid 3141,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,152000,146000,156000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3143,0 +va (VaSet +) +xt "132200,152200,144900,155800" +st " +samplesX <= cosine when selSinCos = '1' + else signed(memX); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3136,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,150000,147000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 3138,0 +va (VaSet +) +xt "131400,158000,134000,159200" +st "eb2" +blo "131400,159000" +tm "HdlTextNameMgr" +) +*47 (Text +uid 3139,0 +va (VaSet +) +xt "131400,159000,132800,160200" +st "2" +blo "131400,160000" +tm "HdlTextNumberMgr" +) +] +) +) +*48 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,129900,47000,130900" +st "memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*49 (HdlText +uid 3896,0 +optionalChildren [ +*50 (EmbeddedText +uid 3901,0 +commentText (CommentText +uid 3902,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3903,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,115000,146000,119000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3904,0 +va (VaSet +) +xt "132200,115200,144900,118800" +st " +samplesY <= sine when selSinCos = '1' + else signed(memY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3897,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,113000,147000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3898,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 3899,0 +va (VaSet +) +xt "131400,121000,134000,122200" +st "eb1" +blo "131400,122000" +tm "HdlTextNameMgr" +) +*52 (Text +uid 3900,0 +va (VaSet +) +xt "131400,122000,132800,123200" +st "1" +blo "131400,123000" +tm "HdlTextNumberMgr" +) +] +) +) +*53 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,130800,47000,131800" +st "memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*54 (HdlText +uid 4719,0 +optionalChildren [ +*55 (EmbeddedText +uid 4724,0 +commentText (CommentText +uid 4725,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4726,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "64000,141000,78000,143000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4727,0 +va (VaSet +) +xt "64200,141200,78000,142400" +st " +step <= to_unsigned(1, step'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4720,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "63000,140000,79000,144000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 4722,0 +va (VaSet +) +xt "63400,144000,66000,145200" +st "eb4" +blo "63400,145000" +tm "HdlTextNameMgr" +) +*57 (Text +uid 4723,0 +va (VaSet +) +xt "63400,145000,64800,146200" +st "4" +blo "63400,146000" +tm "HdlTextNumberMgr" +) +] +) +) +*58 (Net +uid 4800,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 24 +suid 57,0 +) +declText (MLText +uid 4801,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,150600,46400,151600" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*59 (Net +uid 4802,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 38 +suid 58,0 +) +declText (MLText +uid 4803,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,163200,46000,164200" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*60 (Net +uid 4858,0 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 37 +suid 59,0 +) +declText (MLText +uid 4859,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,162300,44800,163300" +st "SIGNAL sine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*61 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 270 +xt "89000,108625,90500,109375" +) +(Line +uid 5083,0 +sl 0 +ro 270 +xt "90500,109000,91000,109000" +pts [ +"90500,109000" +"91000,109000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "81100,108300,88000,109700" +st "selSinCos" +ju 2 +blo "88000,109500" +tm "WireNameMgr" +) +) +) +*62 (HdlText +uid 5244,0 +optionalChildren [ +*63 (EmbeddedText +uid 5249,0 +commentText (CommentText +uid 5250,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 5251,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "99000,104000,115000,114000" +) +oxt "0,0,18000,5000" +text (MLText +uid 5252,0 +va (VaSet +) +xt "99200,104200,114600,113800" +st " +interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; +interpolateLinear <= '0' when selSinCos = '1' + else interpolateLin; +--interpolateLinear <= interpolateLin; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 5245,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "99000,103000,115000,115000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5246,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 5247,0 +va (VaSet +) +xt "99400,115000,102000,116200" +st "eb5" +blo "99400,116000" +tm "HdlTextNameMgr" +) +*65 (Text +uid 5248,0 +va (VaSet +) +xt "99400,116000,100800,117200" +st "5" +blo "99400,117000" +tm "HdlTextNumberMgr" +) +] +) +) +*66 (Net +uid 5261,0 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 22 +suid 60,0 +) +declText (MLText +uid 5262,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,148800,35900,149800" +st "SIGNAL interpolationEn : std_ulogic" +) +) +*67 (Net +uid 5936,0 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 61,0 +) +declText (MLText +uid 5937,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,145200,45100,146200" +st "SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*68 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,127200,32300,128200" +st "selSinCos : std_ulogic" +) +) +*69 (Net +uid 8148,0 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 21 +suid 69,0 +) +declText (MLText +uid 8149,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,147900,36000,148900" +st "SIGNAL interpolateLinear : std_ulogic" +) +) +*70 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,128100,32400,129100" +st "interpolateLin : std_ulogic" +) +) +*71 (PortIoIn +uid 15558,0 +shape (CompositeShape +uid 15559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15560,0 +sl 0 +ro 270 +xt "49000,112625,50500,113375" +) +(Line +uid 15561,0 +sl 0 +ro 270 +xt "50500,113000,51000,113000" +pts [ +"50500,113000" +"51000,113000" +] +) +] +) +tg (WTG +uid 15562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15563,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "44200,112500,48000,113900" +st "clock" +ju 2 +blo "48000,113700" +tm "WireNameMgr" +) +) +) +*72 (PortIoIn +uid 15570,0 +shape (CompositeShape +uid 15571,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15572,0 +sl 0 +ro 270 +xt "89000,110625,90500,111375" +) +(Line +uid 15573,0 +sl 0 +ro 270 +xt "90500,111000,91000,111000" +pts [ +"90500,111000" +"91000,111000" +] +) +] +) +tg (WTG +uid 15574,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15575,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "77700,110500,88000,111900" +st "interpolateLin" +ju 2 +blo "88000,111700" +tm "WireNameMgr" +) +) +) +*73 (PortIoIn +uid 15582,0 +shape (CompositeShape +uid 15583,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15584,0 +sl 0 +ro 270 +xt "121000,153625,122500,154375" +) +(Line +uid 15585,0 +sl 0 +ro 270 +xt "122500,154000,123000,154000" +pts [ +"122500,154000" +"123000,154000" +] +) +] +) +tg (WTG +uid 15586,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15587,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "95800,153500,120000,154900" +st "memX : (signalBitNb-1 DOWNTO 0)" +ju 2 +blo "120000,154700" +tm "WireNameMgr" +) +) +) +*74 (PortIoIn +uid 15594,0 +shape (CompositeShape +uid 15595,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15596,0 +sl 0 +ro 270 +xt "49000,114625,50500,115375" +) +(Line +uid 15597,0 +sl 0 +ro 270 +xt "50500,115000,51000,115000" +pts [ +"50500,115000" +"51000,115000" +] +) +] +) +tg (WTG +uid 15598,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15599,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43900,114500,48000,115900" +st "reset" +ju 2 +blo "48000,115700" +tm "WireNameMgr" +) +) +) +*75 (PortIoIn +uid 15606,0 +shape (CompositeShape +uid 15607,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15608,0 +sl 0 +ro 270 +xt "49000,106625,50500,107375" +) +(Line +uid 15609,0 +sl 0 +ro 270 +xt "50500,107000,51000,107000" +pts [ +"50500,107000" +"51000,107000" +] +) +] +) +tg (WTG +uid 15610,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15611,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45100,106500,48000,107900" +st "run" +ju 2 +blo "48000,107700" +tm "WireNameMgr" +) +) +) +*76 (PortIoIn +uid 15632,0 +shape (CompositeShape +uid 15633,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15634,0 +sl 0 +ro 270 +xt "49000,108625,50500,109375" +) +(Line +uid 15635,0 +sl 0 +ro 270 +xt "50500,109000,51000,109000" +pts [ +"50500,109000" +"51000,109000" +] +) +] +) +tg (WTG +uid 15636,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15637,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13500,108500,48000,109900" +st "updatePeriod : (updatePeriodBitNb-1 DOWNTO 0)" +ju 2 +blo "48000,109700" +tm "WireNameMgr" +) +) +) +*77 (PortIoIn +uid 16404,0 +shape (CompositeShape +uid 16405,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16406,0 +sl 0 +ro 270 +xt "121000,116625,122500,117375" +) +(Line +uid 16407,0 +sl 0 +ro 270 +xt "122500,117000,123000,117000" +pts [ +"122500,117000" +"123000,117000" +] +) +] +) +tg (WTG +uid 16408,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16409,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "95900,116500,120000,117900" +st "memY : (signalBitNb-1 DOWNTO 0)" +ju 2 +blo "120000,117700" +tm "WireNameMgr" +) +) +) +*78 (PortIoOut +uid 16674,0 +shape (CompositeShape +uid 16675,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16676,0 +sl 0 +ro 270 +xt "209500,175625,211000,176375" +) +(Line +uid 16677,0 +sl 0 +ro 270 +xt "209000,176000,209500,176000" +pts [ +"209000,176000" +"209500,176000" +] +) +] +) +tg (WTG +uid 16678,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16679,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "212000,175500,221600,176900" +st "newPolynom" +blo "212000,176700" +tm "WireNameMgr" +) +) +) +*79 (SaComponent +uid 17519,0 +optionalChildren [ +*80 (CptPort +uid 17499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75000,106625,75750,107375" +) +tg (CPTG +uid 17501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17502,0 +va (VaSet +) +xt "68100,106400,74000,107600" +st "enableOut" +ju 2 +blo "74000,107400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*81 (CptPort +uid 17503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,112625,59000,113375" +) +tg (CPTG +uid 17505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17506,0 +va (VaSet +) +xt "60000,112400,63400,113600" +st "clock" +blo "60000,113400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*82 (CptPort +uid 17507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,114625,59000,115375" +) +tg (CPTG +uid 17509,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17510,0 +va (VaSet +) +xt "60000,114400,63300,115600" +st "reset" +blo "60000,115400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*83 (CptPort +uid 17511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,108625,59000,109375" +) +tg (CPTG +uid 17513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17514,0 +va (VaSet +) +xt "60000,108400,68000,109600" +st "updatePeriod" +blo "60000,109400" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*84 (CptPort +uid 17515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,106625,59000,107375" +) +tg (CPTG +uid 17517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17518,0 +va (VaSet +) +xt "60000,106400,65100,107600" +st "enableIn" +blo "60000,107400" +) +) +thePort (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 17520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "59000,103000,75000,117000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 17521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 17522,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,116800,68000,118000" +st "SystemOnChip" +blo "59600,117800" +tm "BdLibraryNameMgr" +) +*86 (Text +uid 17523,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,117700,72400,118900" +st "periphSpeedController" +blo "59600,118700" +tm "CptNameMgr" +) +*87 (Text +uid 17524,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,118600,64200,119800" +st "I_speed" +blo "59600,119600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17526,0 +text (MLText +uid 17527,0 +va (VaSet +font "Verdana,8,0" +) +xt "59000,120600,83400,121600" +st "updatePeriodBitNb = updatePeriodBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*88 (SaComponent +uid 17540,0 +optionalChildren [ +*89 (CptPort +uid 17528,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17529,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,149625,115750,150375" +) +tg (CPTG +uid 17530,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17531,0 +va (VaSet +) +xt "111200,149400,114000,150600" +st "sine" +ju 2 +blo "114000,150400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*90 (CptPort +uid 17532,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17533,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,149625,99000,150375" +) +tg (CPTG +uid 17534,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17535,0 +va (VaSet +) +xt "100000,149400,103700,150600" +st "phase" +blo "100000,150400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*91 (CptPort +uid 17536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17537,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,151625,115750,152375" +) +tg (CPTG +uid 17538,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17539,0 +va (VaSet +) +xt "110000,151400,114000,152600" +st "cosine" +ju 2 +blo "114000,152400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 17541,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "99000,146000,115000,156000" +) +oxt "32000,8000,48000,18000" +ttg (MlTextGroup +uid 17542,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 17543,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,155800,108000,157000" +st "SystemOnChip" +blo "99600,156800" +tm "BdLibraryNameMgr" +) +*93 (Text +uid 17544,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,156700,106600,157900" +st "sinCosTable" +blo "99600,157700" +tm "CptNameMgr" +) +*94 (Text +uid 17545,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,157600,102700,158800" +st "I_sin" +blo "99600,158600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17546,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17547,0 +text (MLText +uid 17548,0 +va (VaSet +font "Verdana,8,0" +) +xt "99000,159000,123000,162000" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*95 (SaComponent +uid 17565,0 +optionalChildren [ +*96 (CptPort +uid 17549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,120625,265000,121375" +) +tg (CPTG +uid 17551,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17552,0 +va (VaSet +) +xt "266000,120400,269400,121600" +st "clock" +blo "266000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*97 (CptPort +uid 17553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,116625,265000,117375" +) +tg (CPTG +uid 17555,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17556,0 +va (VaSet +) +xt "266000,116400,272200,117600" +st "parallelIn" +blo "266000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*98 (CptPort +uid 17557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,116625,281750,117375" +) +tg (CPTG +uid 17559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17560,0 +va (VaSet +) +xt "274601,116400,280001,117600" +st "serialOut" +ju 2 +blo "280001,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*99 (CptPort +uid 17561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,122625,265000,123375" +) +tg (CPTG +uid 17563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17564,0 +va (VaSet +) +xt "266000,122400,269300,123600" +st "reset" +blo "266000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 17566,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,113000,281000,125000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 17567,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 17568,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,124800,280300,126000" +st "DigitalToAnalogConverter" +blo "265600,125800" +tm "BdLibraryNameMgr" +) +*101 (Text +uid 17569,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,125700,268300,126900" +st "DAC" +blo "265600,126700" +tm "CptNameMgr" +) +*102 (Text +uid 17570,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,126600,269600,127800" +st "I_dacy" +blo "265600,127600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17571,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17572,0 +text (MLText +uid 17573,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,128600,283400,129600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*103 (SaComponent +uid 17590,0 +optionalChildren [ +*104 (CptPort +uid 17574,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17575,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,157625,265000,158375" +) +tg (CPTG +uid 17576,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17577,0 +va (VaSet +) +xt "266000,157400,269400,158600" +st "clock" +blo "266000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*105 (CptPort +uid 17578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,153625,265000,154375" +) +tg (CPTG +uid 17580,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17581,0 +va (VaSet +) +xt "266000,153400,272200,154600" +st "parallelIn" +blo "266000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*106 (CptPort +uid 17582,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17583,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,153625,281750,154375" +) +tg (CPTG +uid 17584,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17585,0 +va (VaSet +) +xt "274601,153400,280001,154600" +st "serialOut" +ju 2 +blo "280001,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*107 (CptPort +uid 17586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17587,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,159625,265000,160375" +) +tg (CPTG +uid 17588,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17589,0 +va (VaSet +) +xt "266000,159400,269300,160600" +st "reset" +blo "266000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 17591,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,150000,281000,162000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 17592,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +uid 17593,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,161800,280300,163000" +st "DigitalToAnalogConverter" +blo "265600,162800" +tm "BdLibraryNameMgr" +) +*109 (Text +uid 17594,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,162700,268300,163900" +st "DAC" +blo "265600,163700" +tm "CptNameMgr" +) +*110 (Text +uid 17595,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,163600,269600,164800" +st "I_dacx" +blo "265600,164600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17596,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17597,0 +text (MLText +uid 17598,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,165600,283400,166600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*111 (SaComponent +uid 17619,0 +optionalChildren [ +*112 (CptPort +uid 17599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,155625,75000,156375" +) +tg (CPTG +uid 17601,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17602,0 +va (VaSet +) +xt "76000,155400,79400,156600" +st "clock" +blo "76000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*113 (CptPort +uid 17603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,149625,91750,150375" +) +tg (CPTG +uid 17605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17606,0 +va (VaSet +) +xt "84800,149400,90000,150600" +st "sawtooth" +ju 2 +blo "90000,150400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*114 (CptPort +uid 17607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,157625,75000,158375" +) +tg (CPTG +uid 17609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17610,0 +va (VaSet +) +xt "76000,157400,79300,158600" +st "reset" +blo "76000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*115 (CptPort +uid 17611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,149625,75000,150375" +) +tg (CPTG +uid 17613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17614,0 +va (VaSet +) +xt "76000,149400,78900,150600" +st "step" +blo "76000,150400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*116 (CptPort +uid 17615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,153625,75000,154375" +) +tg (CPTG +uid 17617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17618,0 +va (VaSet +) +xt "76000,153400,77900,154600" +st "en" +blo "76000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 17620,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,146000,91000,160000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 17621,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*117 (Text +uid 17622,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,159800,87100,161000" +st "WaveformGenerator" +blo "75600,160800" +tm "BdLibraryNameMgr" +) +*118 (Text +uid 17623,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,160700,83500,161900" +st "sawtoothGen" +blo "75600,161700" +tm "CptNameMgr" +) +*119 (Text +uid 17624,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,161600,80200,162800" +st "I_phase" +blo "75600,162600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17625,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17626,0 +text (MLText +uid 17627,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,163600,90700,164600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*120 (SaComponent +uid 17644,0 +optionalChildren [ +*121 (CptPort +uid 17628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17629,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,175625,177750,176375" +) +tg (CPTG +uid 17630,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17631,0 +va (VaSet +) +xt "169400,175400,176000,176600" +st "triggerOut" +ju 2 +blo "176000,176400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*122 (CptPort +uid 17632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,179625,161000,180375" +) +tg (CPTG +uid 17634,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17635,0 +va (VaSet +) +xt "162000,179400,165400,180600" +st "clock" +blo "162000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*123 (CptPort +uid 17636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17637,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,181625,161000,182375" +) +tg (CPTG +uid 17638,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17639,0 +va (VaSet +) +xt "162000,181400,165300,182600" +st "reset" +blo "162000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*124 (CptPort +uid 17640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,175625,161000,176375" +) +tg (CPTG +uid 17642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17643,0 +va (VaSet +) +xt "162000,175400,163900,176600" +st "en" +blo "162000,176400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 17645,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,172000,177000,184000" +) +oxt "32000,6000,48000,18000" +ttg (MlTextGroup +uid 17646,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 17647,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,183800,172000,185000" +st "SplineInterpolator" +blo "161600,184800" +tm "BdLibraryNameMgr" +) +*126 (Text +uid 17648,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,184700,172300,185900" +st "interpolatorTrigger" +blo "161600,185700" +tm "CptNameMgr" +) +*127 (Text +uid 17649,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,185600,165100,186800" +st "I_trig" +blo "161600,186600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17650,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17651,0 +text (MLText +uid 17652,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,187600,183100,188600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*128 (SaComponent +uid 17685,0 +optionalChildren [ +*129 (CptPort +uid 17653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17654,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,159625,161000,160375" +) +tg (CPTG +uid 17655,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17656,0 +va (VaSet +) +xt "162000,159400,165400,160600" +st "clock" +blo "162000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*130 (CptPort +uid 17657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17658,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,161625,161000,162375" +) +tg (CPTG +uid 17659,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17660,0 +va (VaSet +) +xt "162000,161400,165300,162600" +st "reset" +blo "162000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*131 (CptPort +uid 17661,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,155625,161000,156375" +) +tg (CPTG +uid 17663,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17664,0 +va (VaSet +) +xt "162000,155400,169900,156600" +st "shiftSamples" +blo "162000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*132 (CptPort +uid 17665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,153625,161000,154375" +) +tg (CPTG +uid 17667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17668,0 +va (VaSet +) +xt "162000,153400,167400,154600" +st "sampleIn" +blo "162000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*133 (CptPort +uid 17669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,153625,177750,154375" +) +tg (CPTG +uid 17671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17672,0 +va (VaSet +) +xt "171000,153400,176000,154600" +st "sample1" +ju 2 +blo "176000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*134 (CptPort +uid 17673,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17674,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,155625,177750,156375" +) +tg (CPTG +uid 17675,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17676,0 +va (VaSet +) +xt "171000,155400,176000,156600" +st "sample2" +ju 2 +blo "176000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*135 (CptPort +uid 17677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17678,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,157625,177750,158375" +) +tg (CPTG +uid 17679,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17680,0 +va (VaSet +) +xt "171000,157400,176000,158600" +st "sample3" +ju 2 +blo "176000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*136 (CptPort +uid 17681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17682,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,159625,177750,160375" +) +tg (CPTG +uid 17683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17684,0 +va (VaSet +) +xt "171000,159400,176000,160600" +st "sample4" +ju 2 +blo "176000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 17686,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,150000,177000,164000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 17687,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 17688,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,163800,172000,165000" +st "SplineInterpolator" +blo "161600,164800" +tm "BdLibraryNameMgr" +) +*138 (Text +uid 17689,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,164700,176100,165900" +st "interpolatorShiftRegister" +blo "161600,165700" +tm "CptNameMgr" +) +*139 (Text +uid 17690,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,165600,164800,166800" +st "I_srx" +blo "161600,166600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17691,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17692,0 +text (MLText +uid 17693,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,167600,179400,168600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*140 (SaComponent +uid 17730,0 +optionalChildren [ +*141 (CptPort +uid 17694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,153625,185000,154375" +) +tg (CPTG +uid 17696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17697,0 +va (VaSet +) +xt "186000,153400,191000,154600" +st "sample1" +blo "186000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*142 (CptPort +uid 17698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,155625,185000,156375" +) +tg (CPTG +uid 17700,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17701,0 +va (VaSet +) +xt "186000,155400,191000,156600" +st "sample2" +blo "186000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*143 (CptPort +uid 17702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,157625,185000,158375" +) +tg (CPTG +uid 17704,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17705,0 +va (VaSet +) +xt "186000,157400,191000,158600" +st "sample3" +blo "186000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*144 (CptPort +uid 17706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17707,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,159625,185000,160375" +) +tg (CPTG +uid 17708,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17709,0 +va (VaSet +) +xt "186000,159400,191000,160600" +st "sample4" +blo "186000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*145 (CptPort +uid 17710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,153625,201750,154375" +) +tg (CPTG +uid 17712,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17713,0 +va (VaSet +) +xt "198700,153400,200000,154600" +st "a" +ju 2 +blo "200000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*146 (CptPort +uid 17714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,155625,201750,156375" +) +tg (CPTG +uid 17716,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17717,0 +va (VaSet +) +xt "198700,155400,200000,156600" +st "b" +ju 2 +blo "200000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*147 (CptPort +uid 17718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17719,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,159625,201750,160375" +) +tg (CPTG +uid 17720,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17721,0 +va (VaSet +) +xt "198700,159400,200000,160600" +st "d" +ju 2 +blo "200000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*148 (CptPort +uid 17722,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17723,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,157625,201750,158375" +) +tg (CPTG +uid 17724,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17725,0 +va (VaSet +) +xt "198700,157400,200000,158600" +st "c" +ju 2 +blo "200000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*149 (CptPort +uid 17726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17727,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,161625,185000,162375" +) +tg (CPTG +uid 17728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17729,0 +va (VaSet +) +xt "186000,161400,195900,162600" +st "interpolateLinear" +blo "186000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 17731,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,150000,201000,166000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 17732,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 17733,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,165800,196000,167000" +st "SplineInterpolator" +blo "185600,166800" +tm "BdLibraryNameMgr" +) +*151 (Text +uid 17734,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,166700,199500,167900" +st "interpolatorCoefficients" +blo "185600,167700" +tm "CptNameMgr" +) +*152 (Text +uid 17735,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,167600,190600,168800" +st "I_coeffx" +blo "185600,168600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17736,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17737,0 +text (MLText +uid 17738,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,169800,203100,171800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*153 (SaComponent +uid 17775,0 +optionalChildren [ +*154 (CptPort +uid 17739,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17740,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,167625,217000,168375" +) +tg (CPTG +uid 17741,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17742,0 +va (VaSet +) +xt "218000,167400,221400,168600" +st "clock" +blo "218000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*155 (CptPort +uid 17743,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,169625,217000,170375" +) +tg (CPTG +uid 17745,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17746,0 +va (VaSet +) +xt "218000,169400,221300,170600" +st "reset" +blo "218000,170400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*156 (CptPort +uid 17747,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,161625,217000,162375" +) +tg (CPTG +uid 17749,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17750,0 +va (VaSet +) +xt "218000,161400,227100,162600" +st "restartPolynom" +blo "218000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*157 (CptPort +uid 17751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,159625,217000,160375" +) +tg (CPTG +uid 17753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17754,0 +va (VaSet +) +xt "218000,159400,219300,160600" +st "d" +blo "218000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*158 (CptPort +uid 17755,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17756,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,153625,233750,154375" +) +tg (CPTG +uid 17757,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17758,0 +va (VaSet +) +xt "225800,153400,232000,154600" +st "sampleOut" +ju 2 +blo "232000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*159 (CptPort +uid 17759,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17760,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,157625,217000,158375" +) +tg (CPTG +uid 17761,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17762,0 +va (VaSet +) +xt "218000,157400,219300,158600" +st "c" +blo "218000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*160 (CptPort +uid 17763,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17764,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,155625,217000,156375" +) +tg (CPTG +uid 17765,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17766,0 +va (VaSet +) +xt "218000,155400,219300,156600" +st "b" +blo "218000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*161 (CptPort +uid 17767,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17768,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,153625,217000,154375" +) +tg (CPTG +uid 17769,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17770,0 +va (VaSet +) +xt "218000,153400,219300,154600" +st "a" +blo "218000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*162 (CptPort +uid 17771,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17772,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,165625,217000,166375" +) +tg (CPTG +uid 17773,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17774,0 +va (VaSet +) +xt "218000,165400,219900,166600" +st "en" +blo "218000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 17776,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,150000,233000,173000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 17777,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*163 (Text +uid 17778,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,172800,228000,174000" +st "SplineInterpolator" +blo "217600,173800" +tm "BdLibraryNameMgr" +) +*164 (Text +uid 17779,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,173700,234300,174900" +st "interpolatorCalculatePolynom" +blo "217600,174700" +tm "CptNameMgr" +) +*165 (Text +uid 17780,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,174600,222000,175800" +st "I_polyx" +blo "217600,175600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17781,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17782,0 +text (MLText +uid 17783,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,177000,241500,180000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*166 (SaComponent +uid 17792,0 +optionalChildren [ +*167 (CptPort +uid 17784,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17785,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,153625,257750,154375" +) +tg (CPTG +uid 17786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17787,0 +va (VaSet +) +xt "248200,153400,256000,154600" +st "unsignedOut" +ju 2 +blo "256000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*168 (CptPort +uid 17788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17789,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,153625,241000,154375" +) +tg (CPTG +uid 17790,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17791,0 +va (VaSet +) +xt "242000,153400,247100,154600" +st "signedIn" +blo "242000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 17793,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,150000,257000,158000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 17794,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 17795,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,157800,252000,159000" +st "SplineInterpolator" +blo "241600,158800" +tm "BdLibraryNameMgr" +) +*170 (Text +uid 17796,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,158700,251700,159900" +st "offsetToUnsigned" +blo "241600,159700" +tm "CptNameMgr" +) +*171 (Text +uid 17797,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,159600,246000,160800" +st "I_offsx" +blo "241600,160600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17798,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17799,0 +text (MLText +uid 17800,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,161800,256600,162800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*172 (SaComponent +uid 17809,0 +optionalChildren [ +*173 (CptPort +uid 17801,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17802,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,116625,257750,117375" +) +tg (CPTG +uid 17803,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17804,0 +va (VaSet +) +xt "248200,116400,256000,117600" +st "unsignedOut" +ju 2 +blo "256000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*174 (CptPort +uid 17805,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17806,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,116625,241000,117375" +) +tg (CPTG +uid 17807,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17808,0 +va (VaSet +) +xt "242000,116400,247100,117600" +st "signedIn" +blo "242000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 17810,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,113000,257000,121000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 17811,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*175 (Text +uid 17812,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,120800,252000,122000" +st "SplineInterpolator" +blo "241600,121800" +tm "BdLibraryNameMgr" +) +*176 (Text +uid 17813,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,121700,251700,122900" +st "offsetToUnsigned" +blo "241600,122700" +tm "CptNameMgr" +) +*177 (Text +uid 17814,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,122600,246000,123800" +st "I_offsy" +blo "241600,123600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17815,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17816,0 +text (MLText +uid 17817,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,124800,256600,125800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*178 (SaComponent +uid 17854,0 +optionalChildren [ +*179 (CptPort +uid 17818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17819,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,130625,217000,131375" +) +tg (CPTG +uid 17820,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17821,0 +va (VaSet +) +xt "218000,130400,221400,131600" +st "clock" +blo "218000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*180 (CptPort +uid 17822,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17823,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,132625,217000,133375" +) +tg (CPTG +uid 17824,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17825,0 +va (VaSet +) +xt "218000,132400,221300,133600" +st "reset" +blo "218000,133400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*181 (CptPort +uid 17826,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17827,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,124625,217000,125375" +) +tg (CPTG +uid 17828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17829,0 +va (VaSet +) +xt "218000,124400,227100,125600" +st "restartPolynom" +blo "218000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*182 (CptPort +uid 17830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,122625,217000,123375" +) +tg (CPTG +uid 17832,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17833,0 +va (VaSet +) +xt "218000,122400,219300,123600" +st "d" +blo "218000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*183 (CptPort +uid 17834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17835,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,116625,233750,117375" +) +tg (CPTG +uid 17836,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17837,0 +va (VaSet +) +xt "225800,116400,232000,117600" +st "sampleOut" +ju 2 +blo "232000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*184 (CptPort +uid 17838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,120625,217000,121375" +) +tg (CPTG +uid 17840,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17841,0 +va (VaSet +) +xt "218000,120400,219300,121600" +st "c" +blo "218000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*185 (CptPort +uid 17842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,118625,217000,119375" +) +tg (CPTG +uid 17844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17845,0 +va (VaSet +) +xt "218000,118400,219300,119600" +st "b" +blo "218000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*186 (CptPort +uid 17846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,116625,217000,117375" +) +tg (CPTG +uid 17848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17849,0 +va (VaSet +) +xt "218000,116400,219300,117600" +st "a" +blo "218000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*187 (CptPort +uid 17850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,128625,217000,129375" +) +tg (CPTG +uid 17852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17853,0 +va (VaSet +) +xt "218000,128400,219900,129600" +st "en" +blo "218000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 17855,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,113000,233000,136000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 17856,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*188 (Text +uid 17857,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,135800,228000,137000" +st "SplineInterpolator" +blo "217600,136800" +tm "BdLibraryNameMgr" +) +*189 (Text +uid 17858,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,136700,234300,137900" +st "interpolatorCalculatePolynom" +blo "217600,137700" +tm "CptNameMgr" +) +*190 (Text +uid 17859,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,137600,222000,138800" +st "I_polyy" +blo "217600,138600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17860,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17861,0 +text (MLText +uid 17862,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,140000,241500,143000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*191 (SaComponent +uid 17899,0 +optionalChildren [ +*192 (CptPort +uid 17863,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17864,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,116625,185000,117375" +) +tg (CPTG +uid 17865,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17866,0 +va (VaSet +) +xt "186000,116400,191000,117600" +st "sample1" +blo "186000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*193 (CptPort +uid 17867,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17868,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,118625,185000,119375" +) +tg (CPTG +uid 17869,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17870,0 +va (VaSet +) +xt "186000,118400,191000,119600" +st "sample2" +blo "186000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*194 (CptPort +uid 17871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,120625,185000,121375" +) +tg (CPTG +uid 17873,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17874,0 +va (VaSet +) +xt "186000,120400,191000,121600" +st "sample3" +blo "186000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*195 (CptPort +uid 17875,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17876,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,122625,185000,123375" +) +tg (CPTG +uid 17877,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17878,0 +va (VaSet +) +xt "186000,122400,191000,123600" +st "sample4" +blo "186000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*196 (CptPort +uid 17879,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17880,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,116625,201750,117375" +) +tg (CPTG +uid 17881,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17882,0 +va (VaSet +) +xt "198700,116400,200000,117600" +st "a" +ju 2 +blo "200000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*197 (CptPort +uid 17883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17884,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,118625,201750,119375" +) +tg (CPTG +uid 17885,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17886,0 +va (VaSet +) +xt "198700,118400,200000,119600" +st "b" +ju 2 +blo "200000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*198 (CptPort +uid 17887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17888,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,122625,201750,123375" +) +tg (CPTG +uid 17889,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17890,0 +va (VaSet +) +xt "198700,122400,200000,123600" +st "d" +ju 2 +blo "200000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*199 (CptPort +uid 17891,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17892,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,120625,201750,121375" +) +tg (CPTG +uid 17893,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17894,0 +va (VaSet +) +xt "198700,120400,200000,121600" +st "c" +ju 2 +blo "200000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*200 (CptPort +uid 17895,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,124625,185000,125375" +) +tg (CPTG +uid 17897,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17898,0 +va (VaSet +) +xt "186000,124400,195900,125600" +st "interpolateLinear" +blo "186000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 17900,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,113000,201000,129000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 17901,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*201 (Text +uid 17902,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,128800,196000,130000" +st "SplineInterpolator" +blo "185600,129800" +tm "BdLibraryNameMgr" +) +*202 (Text +uid 17903,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,129700,199500,130900" +st "interpolatorCoefficients" +blo "185600,130700" +tm "CptNameMgr" +) +*203 (Text +uid 17904,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,130600,190600,131800" +st "I_coeffy" +blo "185600,131600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17905,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17906,0 +text (MLText +uid 17907,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,132800,203100,134800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*204 (SaComponent +uid 18037,0 +optionalChildren [ +*205 (CptPort +uid 18005,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18006,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,122625,161000,123375" +) +tg (CPTG +uid 18007,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18008,0 +va (VaSet +) +xt "162000,122400,165400,123600" +st "clock" +blo "162000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*206 (CptPort +uid 18009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,124625,161000,125375" +) +tg (CPTG +uid 18011,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18012,0 +va (VaSet +) +xt "162000,124400,165300,125600" +st "reset" +blo "162000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*207 (CptPort +uid 18013,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18014,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,118625,161000,119375" +) +tg (CPTG +uid 18015,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18016,0 +va (VaSet +) +xt "162000,118400,169900,119600" +st "shiftSamples" +blo "162000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*208 (CptPort +uid 18017,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18018,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,116625,161000,117375" +) +tg (CPTG +uid 18019,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18020,0 +va (VaSet +) +xt "162000,116400,167400,117600" +st "sampleIn" +blo "162000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*209 (CptPort +uid 18021,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18022,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,116625,177750,117375" +) +tg (CPTG +uid 18023,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18024,0 +va (VaSet +) +xt "171000,116400,176000,117600" +st "sample1" +ju 2 +blo "176000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*210 (CptPort +uid 18025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,118625,177750,119375" +) +tg (CPTG +uid 18027,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18028,0 +va (VaSet +) +xt "171000,118400,176000,119600" +st "sample2" +ju 2 +blo "176000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*211 (CptPort +uid 18029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,120625,177750,121375" +) +tg (CPTG +uid 18031,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18032,0 +va (VaSet +) +xt "171000,120400,176000,121600" +st "sample3" +ju 2 +blo "176000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*212 (CptPort +uid 18033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,122625,177750,123375" +) +tg (CPTG +uid 18035,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18036,0 +va (VaSet +) +xt "171000,122400,176000,123600" +st "sample4" +ju 2 +blo "176000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 18038,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,113000,177000,127000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 18039,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*213 (Text +uid 18040,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,126800,172000,128000" +st "SplineInterpolator" +blo "161600,127800" +tm "BdLibraryNameMgr" +) +*214 (Text +uid 18041,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,127700,176100,128900" +st "interpolatorShiftRegister" +blo "161600,128700" +tm "CptNameMgr" +) +*215 (Text +uid 18042,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,128600,164800,129800" +st "I_sry" +blo "161600,129600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18043,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18044,0 +text (MLText +uid 18045,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,130600,179400,131600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*216 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "281750,154000,289000,154000" +pts [ +"281750,154000" +"289000,154000" +] +) +start &106 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,152600,289700,154000" +st "outX" +blo "286000,153800" +tm "WireNameMgr" +) +) +on &14 +) +*217 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "281750,117000,289000,117000" +pts [ +"281750,117000" +"289000,117000" +] +) +start &98 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,115600,289600,117000" +st "outY" +blo "286000,116800" +tm "WireNameMgr" +) +) +on &16 +) +*218 (Wire +uid 354,0 +optionalChildren [ +*219 (BdJunction +uid 9152,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9153,0 +va (VaSet +vasetType 1 +) +xt "152600,155600,153400,156400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 355,0 +va (VaSet +vasetType 3 +) +xt "153000,156000,181000,176000" +pts [ +"181000,176000" +"181000,169000" +"153000,169000" +"153000,156000" +"160250,156000" +] +) +start *220 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "180600,175600,181400,176400" +radius 400 +) +) +end &131 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,154600,161600,156000" +st "newPolynom" +blo "152000,155800" +tm "WireNameMgr" +) +) +on &18 +) +*221 (Wire +uid 360,0 +optionalChildren [ +&220 +*222 (BdJunction +uid 9244,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9245,0 +va (VaSet +vasetType 1 +) +xt "204600,161600,205400,162400" +radius 400 +) +) +*223 (BdJunction +uid 16692,0 +ps "OnConnectorStrategy" +shape (Circle +uid 16693,0 +va (VaSet +vasetType 1 +) +xt "204600,175600,205400,176400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 361,0 +va (VaSet +vasetType 3 +) +xt "177750,162000,216250,176000" +pts [ +"177750,176000" +"205000,176000" +"205000,162000" +"216250,162000" +] +) +start &121 +end &156 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,12,0" +) +xt "207000,160600,216600,162000" +st "newPolynom" +blo "207000,161800" +tm "WireNameMgr" +) +) +on &18 +) +*224 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "157000,182000,160250,182000" +pts [ +"157000,182000" +"160250,182000" +] +) +end &123 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,180600,160100,182000" +st "reset" +blo "156000,181800" +tm "WireNameMgr" +) +) +on &17 +) +*225 (Wire +uid 370,0 +shape (OrthoPolyLine +uid 371,0 +va (VaSet +vasetType 3 +) +xt "157000,180000,160250,180000" +pts [ +"157000,180000" +"160250,180000" +] +) +end &122 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 375,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,178600,159800,180000" +st "clock" +blo "156000,179800" +tm "WireNameMgr" +) +) +on &12 +) +*226 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "157000,162000,160250,162000" +pts [ +"157000,162000" +"160250,162000" +] +) +end &130 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,160600,160100,162000" +st "reset" +blo "156000,161800" +tm "WireNameMgr" +) +) +on &17 +) +*227 (Wire +uid 382,0 +shape (OrthoPolyLine +uid 383,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,154000,160250,154000" +pts [ +"147000,154000" +"160250,154000" +] +) +start &44 +end &132 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 384,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 385,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,152600,155800,154000" +st "samplesX" +blo "149000,153800" +tm "WireNameMgr" +) +) +on &21 +) +*228 (Wire +uid 386,0 +shape (OrthoPolyLine +uid 387,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,156000,184250,156000" +pts [ +"177750,156000" +"184250,156000" +] +) +start &134 +end &142 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,154600,184900,156000" +st "sampleX2" +blo "178000,155800" +tm "WireNameMgr" +) +) +on &23 +) +*229 (Wire +uid 390,0 +shape (OrthoPolyLine +uid 391,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,154000,184250,154000" +pts [ +"177750,154000" +"184250,154000" +] +) +start &133 +end &141 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,152600,184900,154000" +st "sampleX1" +blo "178000,153800" +tm "WireNameMgr" +) +) +on &22 +) +*230 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "157000,160000,160250,160000" +pts [ +"157000,160000" +"160250,160000" +] +) +end &129 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,158600,159800,160000" +st "clock" +blo "156000,159800" +tm "WireNameMgr" +) +) +on &12 +) +*231 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,154000,216250,154000" +pts [ +"201750,154000" +"216250,154000" +] +) +start &145 +end &161 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,152600,206150,154000" +st "aX" +blo "203750,153800" +tm "WireNameMgr" +) +) +on &26 +) +*232 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,160000,184250,160000" +pts [ +"177750,160000" +"184250,160000" +] +) +start &136 +end &144 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 407,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,158600,184900,160000" +st "sampleX4" +blo "178000,159800" +tm "WireNameMgr" +) +) +on &25 +) +*233 (Wire +uid 408,0 +shape (OrthoPolyLine +uid 409,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,158000,184250,158000" +pts [ +"177750,158000" +"184250,158000" +] +) +start &135 +end &143 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 410,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 411,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,156600,184900,158000" +st "sampleX3" +blo "178000,157800" +tm "WireNameMgr" +) +) +on &24 +) +*234 (Wire +uid 412,0 +shape (OrthoPolyLine +uid 413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,160000,216250,160000" +pts [ +"201750,160000" +"216250,160000" +] +) +start &147 +end &157 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 414,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 415,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,158600,206150,160000" +st "dX" +blo "203750,159800" +tm "WireNameMgr" +) +) +on &29 +) +*235 (Wire +uid 416,0 +shape (OrthoPolyLine +uid 417,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,158000,216250,158000" +pts [ +"201750,158000" +"216250,158000" +] +) +start &148 +end &159 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,156600,205950,158000" +st "cX" +blo "203750,157800" +tm "WireNameMgr" +) +) +on &28 +) +*236 (Wire +uid 420,0 +shape (OrthoPolyLine +uid 421,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,156000,216250,156000" +pts [ +"201750,156000" +"216250,156000" +] +) +start &146 +end &160 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 422,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 423,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,154600,206150,156000" +st "bX" +blo "203750,155800" +tm "WireNameMgr" +) +) +on &27 +) +*237 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +) +xt "213000,170000,216250,170000" +pts [ +"213000,170000" +"216250,170000" +] +) +end &155 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,168600,216100,170000" +st "reset" +blo "212000,169800" +tm "WireNameMgr" +) +) +on &17 +) +*238 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "213000,168000,216250,168000" +pts [ +"213000,168000" +"216250,168000" +] +) +end &154 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,166600,215800,168000" +st "clock" +blo "212000,167800" +tm "WireNameMgr" +) +) +on &12 +) +*239 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +) +xt "261000,160000,264250,160000" +pts [ +"261000,160000" +"264250,160000" +] +) +end &107 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 504,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,158600,264100,160000" +st "reset" +blo "260000,159800" +tm "WireNameMgr" +) +) +on &17 +) +*240 (Wire +uid 505,0 +shape (OrthoPolyLine +uid 506,0 +va (VaSet +vasetType 3 +) +xt "261000,158000,264250,158000" +pts [ +"261000,158000" +"264250,158000" +] +) +end &104 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,156600,263800,158000" +st "clock" +blo "260000,157800" +tm "WireNameMgr" +) +) +on &12 +) +*241 (Wire +uid 532,0 +shape (OrthoPolyLine +uid 533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,154000,240250,154000" +pts [ +"233750,154000" +"240250,154000" +] +) +start &158 +end &168 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 534,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 535,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,152600,240100,154000" +st "sampleX" +blo "234000,153800" +tm "WireNameMgr" +) +) +on &19 +) +*242 (Wire +uid 538,0 +shape (OrthoPolyLine +uid 539,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,154000,264250,154000" +pts [ +"257750,154000" +"264250,154000" +] +) +start &167 +end &105 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,152600,265400,154000" +st "unsignedX" +blo "258000,153800" +tm "WireNameMgr" +) +) +on &20 +) +*243 (Wire +uid 767,0 +shape (OrthoPolyLine +uid 768,0 +va (VaSet +vasetType 3 +) +xt "205000,125000,216250,162000" +pts [ +"205000,162000" +"205000,125000" +"216250,125000" +] +) +start &222 +end &181 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,123600,214600,125000" +st "newPolynom" +blo "205000,124800" +tm "WireNameMgr" +) +) +on &18 +) +*244 (Wire +uid 775,0 +shape (OrthoPolyLine +uid 776,0 +va (VaSet +vasetType 3 +) +xt "153000,119000,160250,156000" +pts [ +"153000,156000" +"153000,119000" +"160250,119000" +] +) +start &219 +end &207 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 777,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,117600,161600,119000" +st "newPolynom" +blo "152000,118800" +tm "WireNameMgr" +) +) +on &18 +) +*245 (Wire +uid 779,0 +shape (OrthoPolyLine +uid 780,0 +va (VaSet +vasetType 3 +) +xt "157000,125000,160250,125000" +pts [ +"157000,125000" +"160250,125000" +] +) +end &206 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,123600,160100,125000" +st "reset" +blo "156000,124800" +tm "WireNameMgr" +) +) +on &17 +) +*246 (Wire +uid 785,0 +shape (OrthoPolyLine +uid 786,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,117000,184250,117000" +pts [ +"177750,117000" +"184250,117000" +] +) +start &209 +end &192 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 787,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 788,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,115600,184800,117000" +st "sampleY1" +blo "178000,116800" +tm "WireNameMgr" +) +) +on &31 +) +*247 (Wire +uid 789,0 +shape (OrthoPolyLine +uid 790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,119000,184250,119000" +pts [ +"177750,119000" +"184250,119000" +] +) +start &210 +end &193 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 791,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 792,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,117600,184800,119000" +st "sampleY2" +blo "178000,118800" +tm "WireNameMgr" +) +) +on &32 +) +*248 (Wire +uid 793,0 +shape (OrthoPolyLine +uid 794,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,117000,160250,117000" +pts [ +"147000,117000" +"160250,117000" +] +) +start &49 +end &208 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 797,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 798,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,115600,154700,117000" +st "samplesY" +blo "148000,116800" +tm "WireNameMgr" +) +) +on &30 +) +*249 (Wire +uid 799,0 +shape (OrthoPolyLine +uid 800,0 +va (VaSet +vasetType 3 +) +xt "157000,123000,160250,123000" +pts [ +"157000,123000" +"160250,123000" +] +) +end &205 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 803,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 804,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,121600,159800,123000" +st "clock" +blo "156000,122800" +tm "WireNameMgr" +) +) +on &12 +) +*250 (Wire +uid 805,0 +shape (OrthoPolyLine +uid 806,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,123000,216250,123000" +pts [ +"201750,123000" +"216250,123000" +] +) +start &198 +end &182 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 808,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,121600,206050,123000" +st "dY" +blo "203750,122800" +tm "WireNameMgr" +) +) +on &38 +) +*251 (Wire +uid 809,0 +shape (OrthoPolyLine +uid 810,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,121000,184250,121000" +pts [ +"177750,121000" +"184250,121000" +] +) +start &211 +end &194 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 811,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 812,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,119600,184800,121000" +st "sampleY3" +blo "178000,120800" +tm "WireNameMgr" +) +) +on &33 +) +*252 (Wire +uid 813,0 +shape (OrthoPolyLine +uid 814,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,123000,184250,123000" +pts [ +"177750,123000" +"184250,123000" +] +) +start &212 +end &195 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 816,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,121600,184800,123000" +st "sampleY4" +blo "178000,122800" +tm "WireNameMgr" +) +) +on &34 +) +*253 (Wire +uid 817,0 +shape (OrthoPolyLine +uid 818,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,117000,216250,117000" +pts [ +"201750,117000" +"216250,117000" +] +) +start &196 +end &186 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 820,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,115600,206050,117000" +st "aY" +blo "203750,116800" +tm "WireNameMgr" +) +) +on &35 +) +*254 (Wire +uid 821,0 +shape (OrthoPolyLine +uid 822,0 +va (VaSet +vasetType 3 +) +xt "213000,133000,216250,133000" +pts [ +"213000,133000" +"216250,133000" +] +) +end &180 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 826,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,131600,216100,133000" +st "reset" +blo "212000,132800" +tm "WireNameMgr" +) +) +on &17 +) +*255 (Wire +uid 827,0 +shape (OrthoPolyLine +uid 828,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,119000,216250,119000" +pts [ +"201750,119000" +"216250,119000" +] +) +start &197 +end &185 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 830,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,117600,206050,119000" +st "bY" +blo "203750,118800" +tm "WireNameMgr" +) +) +on &36 +) +*256 (Wire +uid 831,0 +shape (OrthoPolyLine +uid 832,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,121000,216250,121000" +pts [ +"201750,121000" +"216250,121000" +] +) +start &199 +end &184 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 834,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,119600,205850,121000" +st "cY" +blo "203750,120800" +tm "WireNameMgr" +) +) +on &37 +) +*257 (Wire +uid 835,0 +shape (OrthoPolyLine +uid 836,0 +va (VaSet +vasetType 3 +) +xt "261000,123000,264250,123000" +pts [ +"261000,123000" +"264250,123000" +] +) +end &99 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 840,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,121600,264100,123000" +st "reset" +blo "260000,122800" +tm "WireNameMgr" +) +) +on &17 +) +*258 (Wire +uid 841,0 +shape (OrthoPolyLine +uid 842,0 +va (VaSet +vasetType 3 +) +xt "213000,131000,216250,131000" +pts [ +"213000,131000" +"216250,131000" +] +) +end &179 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 845,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 846,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,129600,215800,131000" +st "clock" +blo "212000,130800" +tm "WireNameMgr" +) +) +on &12 +) +*259 (Wire +uid 847,0 +shape (OrthoPolyLine +uid 848,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,117000,240250,117000" +pts [ +"233750,117000" +"240250,117000" +] +) +start &183 +end &174 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 849,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 850,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,115600,240000,117000" +st "sampleY" +blo "234000,116800" +tm "WireNameMgr" +) +) +on &39 +) +*260 (Wire +uid 851,0 +shape (OrthoPolyLine +uid 852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,117000,264250,117000" +pts [ +"257750,117000" +"264250,117000" +] +) +start &173 +end &97 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 853,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 854,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,115600,265300,117000" +st "unsignedY" +blo "258000,116800" +tm "WireNameMgr" +) +) +on &40 +) +*261 (Wire +uid 855,0 +shape (OrthoPolyLine +uid 856,0 +va (VaSet +vasetType 3 +) +xt "261000,121000,264250,121000" +pts [ +"261000,121000" +"264250,121000" +] +) +end &96 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 859,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 860,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,119600,263800,121000" +st "clock" +blo "260000,120800" +tm "WireNameMgr" +) +) +on &12 +) +*262 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "153000,176000,160250,176000" +pts [ +"153000,176000" +"160250,176000" +] +) +end &124 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2001,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2002,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,174600,161900,176000" +st "interpolationEnable" +blo "148000,175800" +tm "WireNameMgr" +) +) +on &43 +) +*263 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,154000,131000,154000" +pts [ +"123000,154000" +"131000,154000" +] +) +start &73 +end &44 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "124000,152600,128600,154000" +st "memX" +blo "124000,153800" +tm "WireNameMgr" +) +) +on &48 +) +*264 (Wire +uid 3432,0 +shape (OrthoPolyLine +uid 3433,0 +va (VaSet +vasetType 3 +) +xt "209000,166000,216250,166000" +pts [ +"209000,166000" +"216250,166000" +] +) +end &162 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3439,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,164600,217900,166000" +st "interpolationEnable" +blo "204000,165800" +tm "WireNameMgr" +) +) +on &43 +) +*265 (Wire +uid 3485,0 +shape (OrthoPolyLine +uid 3486,0 +va (VaSet +vasetType 3 +) +xt "209000,129000,216250,129000" +pts [ +"209000,129000" +"216250,129000" +] +) +end &187 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3492,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,127600,217900,129000" +st "interpolationEnable" +blo "204000,128800" +tm "WireNameMgr" +) +) +on &43 +) +*266 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,117000,131000,117000" +pts [ +"123000,117000" +"131000,117000" +] +) +start &77 +end &49 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,115600,130250,117000" +st "memY" +blo "125750,116800" +tm "WireNameMgr" +) +) +on &53 +) +*267 (Wire +uid 4770,0 +shape (OrthoPolyLine +uid 4771,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,150000,98250,150000" +pts [ +"91750,150000" +"98250,150000" +] +) +start &113 +end &90 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4775,0 +va (VaSet +font "Verdana,12,0" +) +xt "92000,148600,96700,150000" +st "phase" +blo "92000,149800" +tm "WireNameMgr" +) +) +on &58 +) +*268 (Wire +uid 4782,0 +shape (OrthoPolyLine +uid 4783,0 +va (VaSet +vasetType 3 +) +xt "71000,158000,74250,158000" +pts [ +"71000,158000" +"74250,158000" +] +) +end &114 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4787,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,156600,75100,158000" +st "reset" +blo "71000,157800" +tm "WireNameMgr" +) +) +on &17 +) +*269 (Wire +uid 4788,0 +shape (OrthoPolyLine +uid 4789,0 +va (VaSet +vasetType 3 +) +xt "71000,156000,74250,156000" +pts [ +"71000,156000" +"74250,156000" +] +) +end &112 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4793,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,154600,74800,156000" +st "clock" +blo "71000,155800" +tm "WireNameMgr" +) +) +on &12 +) +*270 (Wire +uid 4794,0 +shape (OrthoPolyLine +uid 4795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71000,144000,74250,150000" +pts [ +"74250,150000" +"71000,150000" +"71000,144000" +] +) +start &115 +end &54 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4799,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,148600,74600,150000" +st "step" +blo "71000,149800" +tm "WireNameMgr" +) +) +on &59 +) +*271 (Wire +uid 4860,0 +shape (OrthoPolyLine +uid 4861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,115000,131000,150000" +pts [ +"115750,150000" +"119000,150000" +"119000,115000" +"131000,115000" +] +) +start &89 +end &49 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4865,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,148600,121150,150000" +st "sine" +blo "117750,149800" +tm "WireNameMgr" +) +) +on &60 +) +*272 (Wire +uid 4866,0 +shape (OrthoPolyLine +uid 4867,0 +va (VaSet +vasetType 3 +) +xt "67000,154000,74250,154000" +pts [ +"67000,154000" +"74250,154000" +] +) +end &116 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4873,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,152600,76900,154000" +st "interpolationEnable" +blo "63000,153800" +tm "WireNameMgr" +) +) +on &43 +) +*273 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "91000,109000,99000,109000" +pts [ +"91000,109000" +"99000,109000" +] +) +start &61 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,107600,97900,109000" +st "selSinCos" +blo "91000,108800" +tm "WireNameMgr" +) +) +on &68 +) +*274 (Wire +uid 5253,0 +shape (OrthoPolyLine +uid 5254,0 +va (VaSet +vasetType 3 +) +xt "115000,107000,123000,107000" +pts [ +"115000,107000" +"123000,107000" +] +) +start &62 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5259,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5260,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,105600,131650,107000" +st "interpolationEnable" +blo "117750,106800" +tm "WireNameMgr" +) +) +on &43 +) +*275 (Wire +uid 5263,0 +shape (OrthoPolyLine +uid 5264,0 +va (VaSet +vasetType 3 +) +xt "75750,107000,99000,107000" +pts [ +"75750,107000" +"99000,107000" +] +) +start &80 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5270,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,105600,100200,107000" +st "interpolationEn" +blo "89000,106800" +tm "WireNameMgr" +) +) +on &66 +) +*276 (Wire +uid 5938,0 +shape (OrthoPolyLine +uid 5939,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,152000,131000,152000" +pts [ +"115750,152000" +"131000,152000" +] +) +start &91 +end &44 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5942,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5943,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,150600,122550,152000" +st "cosine" +blo "117750,151800" +tm "WireNameMgr" +) +) +on &67 +) +*277 (Wire +uid 8248,0 +optionalChildren [ +*278 (BdJunction +uid 8258,0 +ps "OnConnectorStrategy" +shape (Circle +uid 8259,0 +va (VaSet +vasetType 1 +) +xt "180600,124600,181400,125400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8249,0 +va (VaSet +vasetType 3 +) +xt "115000,111000,184250,162000" +pts [ +"184250,162000" +"181000,162000" +"181000,111000" +"115000,111000" +] +) +start &149 +end &62 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8253,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,109600,129400,111000" +st "interpolateLinear" +blo "117000,110800" +tm "WireNameMgr" +) +) +on &69 +) +*279 (Wire +uid 8254,0 +shape (OrthoPolyLine +uid 8255,0 +va (VaSet +vasetType 3 +) +xt "181000,125000,184250,125000" +pts [ +"184250,125000" +"181000,125000" +] +) +start &200 +end &278 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "171250,123600,183650,125000" +st "interpolateLinear" +blo "171250,124800" +tm "WireNameMgr" +) +) +on &69 +) +*280 (Wire +uid 9246,0 +shape (OrthoPolyLine +uid 9247,0 +va (VaSet +vasetType 3 +) +xt "139000,121000,139000,125000" +pts [ +"139000,125000" +"139000,121000" +] +) +end &49 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9253,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,123600,145900,125000" +st "selSinCos" +blo "139000,124800" +tm "WireNameMgr" +) +) +on &68 +) +*281 (Wire +uid 9254,0 +shape (OrthoPolyLine +uid 9255,0 +va (VaSet +vasetType 3 +) +xt "139000,158000,139000,162000" +pts [ +"139000,162000" +"139000,158000" +] +) +end &44 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9260,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9261,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,160600,145900,162000" +st "selSinCos" +blo "139000,161800" +tm "WireNameMgr" +) +) +on &68 +) +*282 (Wire +uid 15564,0 +shape (OrthoPolyLine +uid 15565,0 +va (VaSet +vasetType 3 +) +xt "51000,113000,58250,113000" +pts [ +"51000,113000" +"58250,113000" +] +) +start &71 +end &81 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15569,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,111600,56800,113000" +st "clock" +blo "53000,112800" +tm "WireNameMgr" +) +) +on &12 +) +*283 (Wire +uid 15576,0 +shape (OrthoPolyLine +uid 15577,0 +va (VaSet +vasetType 3 +) +xt "91000,111000,99000,111000" +pts [ +"91000,111000" +"99000,111000" +] +) +start &72 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15581,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,109600,101300,111000" +st "interpolateLin" +blo "91000,110800" +tm "WireNameMgr" +) +) +on &70 +) +*284 (Wire +uid 15600,0 +shape (OrthoPolyLine +uid 15601,0 +va (VaSet +vasetType 3 +) +xt "51000,115000,58250,115000" +pts [ +"51000,115000" +"58250,115000" +] +) +start &74 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15605,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,113600,57100,115000" +st "reset" +blo "53000,114800" +tm "WireNameMgr" +) +) +on &17 +) +*285 (Wire +uid 15612,0 +shape (OrthoPolyLine +uid 15613,0 +va (VaSet +vasetType 3 +) +xt "51000,107000,58250,107000" +pts [ +"51000,107000" +"58250,107000" +] +) +start &75 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15616,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15617,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,105600,53900,107000" +st "run" +blo "51000,106800" +tm "WireNameMgr" +) +) +on &41 +) +*286 (Wire +uid 15638,0 +shape (OrthoPolyLine +uid 15639,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,109000,58250,109000" +pts [ +"51000,109000" +"58250,109000" +] +) +start &76 +end &83 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15642,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15643,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,107600,59100,109000" +st "updatePeriod" +blo "49000,108800" +tm "WireNameMgr" +) +) +on &42 +) +*287 (Wire +uid 16686,0 +shape (OrthoPolyLine +uid 16687,0 +va (VaSet +vasetType 3 +) +xt "205000,176000,209000,176000" +pts [ +"205000,176000" +"209000,176000" +"209000,176000" +] +) +start &223 +end &78 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16690,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16691,0 +va (VaSet +font "Verdana,12,0" +) +xt "200000,174600,209600,176000" +st "newPolynom" +blo "200000,175800" +tm "WireNameMgr" +) +) +on &18 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *288 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*289 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "17000,110400,23900,111400" +st "Package List" +blo "17000,111200" +) +*290 (MLText +uid 44,0 +va (VaSet +) +xt "17000,111400,34500,115000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*292 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*293 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*294 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*295 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*296 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*297 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "13023,98875,296113,252685" +cachedDiagramExtent "13500,0,295700,188600" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "ipp://ippsion.hevs.ch/ipp/PREA309_HPLJ3005DN" +toPrinter 1 +colour 1 +xMargin 46 +yMargin 46 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,99000" +lastUid 18336,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*298 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*299 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*300 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*301 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*302 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*303 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*304 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*305 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*306 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*307 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*308 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*309 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*310 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*311 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*312 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*313 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*314 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*315 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*316 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*317 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*318 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "17000,120900,24000,121900" +st "Declarations" +blo "17000,121700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "17000,121800,20400,122800" +st "Ports:" +blo "17000,122600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "17000,132600,21800,133600" +st "Pre User:" +blo "17000,133400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,133500,53100,139500" +st "constant coeffBitNb : positive := signalBitNb+3+1; +constant sampleCountBitNb : positive := 8; +constant patternAddressBitNb : positive := 8; +-- sinewave generator +constant tableAddressBitNb : positive := 3; +constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "17000,138900,26000,139900" +st "Diagram Signals:" +blo "17000,139700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "17000,120900,23000,121900" +st "Post User:" +blo "17000,121700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "17000,120900,17000,120900" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 74,0 +usingSuid 1 +emptyRow *319 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*320 (RefLabelRowHdr +) +*321 (TitleRowHdr +) +*322 (FilterRowHdr +) +*323 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*324 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*325 (GroupColHdr +tm "GroupColHdrMgr" +) +*326 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*327 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*328 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*329 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*330 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*331 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*332 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 10635,0 +) +*333 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 10639,0 +) +*334 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 10641,0 +) +*335 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 10645,0 +) +*336 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +) +uid 10651,0 +) +*337 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 25 +suid 11,0 +) +) +uid 10653,0 +) +*338 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 39 +suid 12,0 +) +) +uid 10655,0 +) +*339 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 35 +suid 13,0 +) +) +uid 10657,0 +) +*340 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 26 +suid 14,0 +) +) +uid 10659,0 +) +*341 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 27 +suid 15,0 +) +) +uid 10661,0 +) +*342 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 28 +suid 16,0 +) +) +uid 10663,0 +) +*343 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 29 +suid 17,0 +) +) +uid 10665,0 +) +*344 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 12 +suid 18,0 +) +) +uid 10667,0 +) +*345 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 14 +suid 19,0 +) +) +uid 10669,0 +) +*346 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 16 +suid 20,0 +) +) +uid 10671,0 +) +*347 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 19 +suid 21,0 +) +) +uid 10673,0 +) +*348 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 36 +suid 22,0 +) +) +uid 10675,0 +) +*349 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 31 +suid 23,0 +) +) +uid 10677,0 +) +*350 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 32 +suid 24,0 +) +) +uid 10679,0 +) +*351 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 33 +suid 25,0 +) +) +uid 10681,0 +) +*352 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 26,0 +) +) +uid 10683,0 +) +*353 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +) +uid 10685,0 +) +*354 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 15 +suid 28,0 +) +) +uid 10687,0 +) +*355 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 17 +suid 29,0 +) +) +uid 10689,0 +) +*356 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 20 +suid 30,0 +) +) +uid 10691,0 +) +*357 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 31,0 +) +) +uid 10693,0 +) +*358 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 40 +suid 32,0 +) +) +uid 10695,0 +) +*359 (LeafLogPort +port (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 38,0 +) +) +uid 10707,0 +) +*360 (LeafLogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +) +uid 10715,0 +) +*361 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 23 +suid 43,0 +) +) +uid 10717,0 +) +*362 (LeafLogPort +port (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 48,0 +) +) +uid 10727,0 +) +*363 (LeafLogPort +port (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 53,0 +) +) +uid 10737,0 +) +*364 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 24 +suid 57,0 +) +) +uid 10745,0 +) +*365 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 38 +suid 58,0 +) +) +uid 10747,0 +) +*366 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 37 +suid 59,0 +) +) +uid 10749,0 +) +*367 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 22 +suid 60,0 +) +) +uid 10751,0 +) +*368 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 61,0 +) +) +uid 10753,0 +) +*369 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +) +uid 10755,0 +) +*370 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 21 +suid 69,0 +) +) +uid 10769,0 +) +*371 (LeafLogPort +port (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 70,0 +) +) +uid 10771,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*372 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *373 (MRCItem +litem &319 +pos 40 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*374 (MRCItem +litem &320 +pos 0 +dimension 20 +uid 10790,0 +) +*375 (MRCItem +litem &321 +pos 1 +dimension 23 +uid 10791,0 +) +*376 (MRCItem +litem &322 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*377 (MRCItem +litem &332 +pos 2 +dimension 20 +uid 10636,0 +) +*378 (MRCItem +litem &333 +pos 0 +dimension 20 +uid 10640,0 +) +*379 (MRCItem +litem &334 +pos 4 +dimension 20 +uid 10642,0 +) +*380 (MRCItem +litem &335 +pos 3 +dimension 20 +uid 10646,0 +) +*381 (MRCItem +litem &336 +pos 10 +dimension 20 +uid 10652,0 +) +*382 (MRCItem +litem &337 +pos 11 +dimension 20 +uid 10654,0 +) +*383 (MRCItem +litem &338 +pos 12 +dimension 20 +uid 10656,0 +) +*384 (MRCItem +litem &339 +pos 13 +dimension 20 +uid 10658,0 +) +*385 (MRCItem +litem &340 +pos 14 +dimension 20 +uid 10660,0 +) +*386 (MRCItem +litem &341 +pos 15 +dimension 20 +uid 10662,0 +) +*387 (MRCItem +litem &342 +pos 16 +dimension 20 +uid 10664,0 +) +*388 (MRCItem +litem &343 +pos 17 +dimension 20 +uid 10666,0 +) +*389 (MRCItem +litem &344 +pos 18 +dimension 20 +uid 10668,0 +) +*390 (MRCItem +litem &345 +pos 19 +dimension 20 +uid 10670,0 +) +*391 (MRCItem +litem &346 +pos 20 +dimension 20 +uid 10672,0 +) +*392 (MRCItem +litem &347 +pos 21 +dimension 20 +uid 10674,0 +) +*393 (MRCItem +litem &348 +pos 22 +dimension 20 +uid 10676,0 +) +*394 (MRCItem +litem &349 +pos 23 +dimension 20 +uid 10678,0 +) +*395 (MRCItem +litem &350 +pos 24 +dimension 20 +uid 10680,0 +) +*396 (MRCItem +litem &351 +pos 25 +dimension 20 +uid 10682,0 +) +*397 (MRCItem +litem &352 +pos 26 +dimension 20 +uid 10684,0 +) +*398 (MRCItem +litem &353 +pos 27 +dimension 20 +uid 10686,0 +) +*399 (MRCItem +litem &354 +pos 28 +dimension 20 +uid 10688,0 +) +*400 (MRCItem +litem &355 +pos 29 +dimension 20 +uid 10690,0 +) +*401 (MRCItem +litem &356 +pos 30 +dimension 20 +uid 10692,0 +) +*402 (MRCItem +litem &357 +pos 31 +dimension 20 +uid 10694,0 +) +*403 (MRCItem +litem &358 +pos 32 +dimension 20 +uid 10696,0 +) +*404 (MRCItem +litem &359 +pos 1 +dimension 20 +uid 10708,0 +) +*405 (MRCItem +litem &360 +pos 7 +dimension 20 +uid 10716,0 +) +*406 (MRCItem +litem &361 +pos 33 +dimension 20 +uid 10718,0 +) +*407 (MRCItem +litem &362 +pos 8 +dimension 20 +uid 10728,0 +) +*408 (MRCItem +litem &363 +pos 9 +dimension 20 +uid 10738,0 +) +*409 (MRCItem +litem &364 +pos 34 +dimension 20 +uid 10746,0 +) +*410 (MRCItem +litem &365 +pos 35 +dimension 20 +uid 10748,0 +) +*411 (MRCItem +litem &366 +pos 36 +dimension 20 +uid 10750,0 +) +*412 (MRCItem +litem &367 +pos 37 +dimension 20 +uid 10752,0 +) +*413 (MRCItem +litem &368 +pos 38 +dimension 20 +uid 10754,0 +) +*414 (MRCItem +litem &369 +pos 5 +dimension 20 +uid 10756,0 +) +*415 (MRCItem +litem &370 +pos 39 +dimension 20 +uid 10770,0 +) +*416 (MRCItem +litem &371 +pos 6 +dimension 20 +uid 10772,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*417 (MRCItem +litem &323 +pos 0 +dimension 20 +uid 10794,0 +) +*418 (MRCItem +litem &325 +pos 1 +dimension 50 +uid 10795,0 +) +*419 (MRCItem +litem &326 +pos 2 +dimension 100 +uid 10796,0 +) +*420 (MRCItem +litem &327 +pos 3 +dimension 50 +uid 10797,0 +) +*421 (MRCItem +litem &328 +pos 4 +dimension 100 +uid 10798,0 +) +*422 (MRCItem +litem &329 +pos 5 +dimension 100 +uid 10799,0 +) +*423 (MRCItem +litem &330 +pos 6 +dimension 50 +uid 10800,0 +) +*424 (MRCItem +litem &331 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *425 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*426 (RefLabelRowHdr +) +*427 (TitleRowHdr +) +*428 (FilterRowHdr +) +*429 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*430 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*431 (GroupColHdr +tm "GroupColHdrMgr" +) +*432 (NameColHdr +tm "GenericNameColHdrMgr" +) +*433 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*434 (InitColHdr +tm "GenericValueColHdrMgr" +) +*435 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*436 (EolColHdr +tm "GenericEolColHdrMgr" +) +*437 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 15314,0 +) +*438 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 15897,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10815,0 +optionalChildren [ +*439 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *440 (MRCItem +litem &425 +pos 2 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*441 (MRCItem +litem &426 +pos 0 +dimension 20 +uid 10818,0 +) +*442 (MRCItem +litem &427 +pos 1 +dimension 23 +uid 10819,0 +) +*443 (MRCItem +litem &428 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*444 (MRCItem +litem &437 +pos 0 +dimension 20 +uid 15313,0 +) +*445 (MRCItem +litem &438 +pos 1 +dimension 20 +uid 15896,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*446 (MRCItem +litem &429 +pos 0 +dimension 20 +uid 10822,0 +) +*447 (MRCItem +litem &431 +pos 1 +dimension 50 +uid 10823,0 +) +*448 (MRCItem +litem &432 +pos 2 +dimension 100 +uid 10824,0 +) +*449 (MRCItem +litem &433 +pos 3 +dimension 100 +uid 10825,0 +) +*450 (MRCItem +litem &434 +pos 4 +dimension 50 +uid 10826,0 +) +*451 (MRCItem +litem &435 +pos 5 +dimension 50 +uid 10827,0 +) +*452 (MRCItem +litem &436 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/symbol.sb new file mode 100644 index 0000000..e1e5442 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@operator/symbol.sb @@ -0,0 +1,2066 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2019,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 203,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +uid 204,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 209,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 210,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 211,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +uid 539,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +uid 541,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +uid 543,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +uid 653,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +uid 725,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 132,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 137,0 +) +*29 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*30 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 159,0 +) +*31 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 160,0 +) +*32 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 162,0 +) +*33 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 165,0 +) +*34 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 166,0 +) +*35 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 167,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 540,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 542,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 544,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 654,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 724,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*41 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 141,0 +) +*42 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 145,0 +) +*43 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 149,0 +) +*45 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 151,0 +) +*46 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 153,0 +) +*47 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 155,0 +) +*48 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*62 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 593,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 218,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *64 (MRCItem +litem &49 +pos 2 +dimension 20 +) +uid 172,0 +optionalChildren [ +*65 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 175,0 +) +*66 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 177,0 +) +*67 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*68 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 199,0 +) +*69 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 594,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*70 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*71 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 185,0 +) +*72 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 187,0 +) +*73 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 189,0 +) +*74 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 191,0 +) +*75 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 193,0 +) +*76 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@operator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@operator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@operator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerOperator" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerOperator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamerOperator" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@operator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerOperator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "ahbBeamerOperator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*77 (SymbolBody +uid 8,0 +optionalChildren [ +*78 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,26625,42000,27375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "43000,26400,45500,27300" +st "clock" +blo "43000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,21000,10300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*79 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,12625,42000,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "43000,12400,44500,13300" +st "run" +blo "43000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8500,21000,9400" +st "run : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*80 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,12625,58750,13375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "55001,12400,57001,13300" +st "outX" +ju 2 +blo "57001,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7600,21000,8500" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*81 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,28625,42000,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "43000,28400,45500,29300" +st "reset" +blo "43000,29100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,21000,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*82 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,14625,58750,15375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "55001,14400,57001,15300" +st "outY" +ju 2 +blo "57001,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,21000,12100" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*83 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,18625,58750,19375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "52501,18400,57001,19300" +st "selSinCos" +ju 2 +blo "57001,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,21000,13000" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +) +*84 (CptPort +uid 524,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 525,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,14625,42000,15375" +) +tg (CPTG +uid 526,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 527,0 +va (VaSet +font "courier,9,0" +) +xt "43000,14400,50500,15300" +st "interpolateLin" +blo "43000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 528,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,21000,13900" +st "interpolateLin : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*85 (CptPort +uid 529,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,16625,42000,17375" +) +tg (CPTG +uid 531,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 532,0 +va (VaSet +font "courier,9,0" +) +xt "43000,16400,49500,17300" +st "updatePeriod" +blo "43000,17100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 533,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,35000,14800" +st "updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*86 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,20625,42000,21375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +font "courier,9,0" +) +xt "43000,20400,45000,21300" +st "memX" +blo "43000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,36500,15700" +st "memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +) +*87 (CptPort +uid 648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,22625,42000,23375" +) +tg (CPTG +uid 650,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 651,0 +va (VaSet +font "courier,9,0" +) +xt "43000,22400,45000,23300" +st "memY" +blo "43000,23100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 652,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,36500,16600" +st "memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +) +*88 (CptPort +uid 726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 727,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,18625,42000,19375" +) +tg (CPTG +uid 728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 729,0 +va (VaSet +font "courier,9,0" +) +xt "43000,18400,48500,19300" +st "newPolynom" +blo "43000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 730,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,20000,17500" +st "newPolynom : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,9000,58000,31000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "42600,30800,49100,31700" +st "SystemOnChip" +blo "42600,31500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "42600,31700,51600,32600" +st "ahbBeamerOperator" +blo "42600,32400" +) +) +gi *89 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,34600,58000,38200" +st "Generic Declarations + +updatePeriodBitNb positive 16 +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*90 (Grouping +uid 16,0 +optionalChildren [ +*91 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*96 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*101 (CommentGraphic +uid 591,0 +shape (PolyLine2D +pts [ +"42000,33000" +"58000,33000" +] +uid 592,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "42000,33000,58000,33000" +) +oxt "36000,32000,52000,32000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *102 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*104 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "26,33,1318,883" +viewArea "-1000,-1000,77329,50684" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *105 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *106 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6700,3000,7600" +st "Ports:" +blo "0,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17500,2500,18400" +st "User:" +blo "0,18200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,2000,18400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 776,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/struct.bd new file mode 100644 index 0000000..b8a0102 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/struct.bd @@ -0,0 +1,10779 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_ctl" +duLibraryName "SystemOnChip" +duName "periphControlReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 21149,0 +) +(Instance +name "I_speed" +duLibraryName "SystemOnChip" +duName "periphSpeedReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +mwi 0 +uid 21186,0 +) +(Instance +name "I_decoder" +duLibraryName "SystemOnChip" +duName "periphAddressDecoder" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 21219,0 +) +(Instance +name "I_addry" +duLibraryName "SystemOnChip" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 21252,0 +) +(Instance +name "I_ctly" +duLibraryName "SystemOnChip" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 21297,0 +) +(Instance +name "I_addrx" +duLibraryName "SystemOnChip" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 21330,0 +) +(Instance +name "I_ctlx" +duLibraryName "SystemOnChip" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 21375,0 +) +(Instance +name "I_ramx" +duLibraryName "SystemOnChip" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR/ramYInit.txt\"" +) +] +mwi 0 +uid 21412,0 +) +(Instance +name "I_ramy" +duLibraryName "SystemOnChip" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR/ramXInit.txt\"" +) +] +mwi 0 +uid 21449,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +(EmbeddedInstance +name "eb11" +number "11" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@registers\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@registers\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@registers" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerRegisters" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerRegisters" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:01:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamerRegisters" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahb@beamer@registers\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\ahbBeamerRegisters\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:01:22" +) +(vvPair +variable "unit" +value "ahbBeamerRegisters" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,197000,127000,198000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,197500,110200,197500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,193000,131000,194000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,193500,127200,193500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,195000,127000,196000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,195500,110200,195500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,195000,110000,196000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,195500,106200,195500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,194000,147000,198000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,194200,141300,195400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "131000,193000,147000,194000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "131200,193500,131200,193500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,193000,127000,195000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "111350,193400,121650,194600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,196000,110000,197000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,196500,106200,196500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,197000,110000,198000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,197500,106200,197500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,196000,127000,197000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,196500,110200,196500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "106000,193000,147000,198000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 18 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,120100,17600,121100" +st "SIGNAL clock : std_ulogic" +) +) +*13 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 19 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121000,17600,122000" +st "SIGNAL reset : std_ulogic" +) +) +*14 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 7 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,106600,16100,107600" +st "newPolynom : std_ulogic" +) +) +*15 (Net +uid 990,0 +decl (Decl +n "selControl" +t "std_ulogic" +o 32 +suid 33,0 +) +declText (MLText +uid 991,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,132700,18100,133700" +st "SIGNAL selControl : std_ulogic" +) +) +*16 (Net +uid 1055,0 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 33 +suid 35,0 +) +declText (MLText +uid 1056,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,133600,18300,134600" +st "SIGNAL selSpeed : std_ulogic" +) +) +*17 (Net +uid 1063,0 +decl (Decl +n "selX" +t "std_ulogic" +o 34 +suid 36,0 +) +declText (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,134500,17500,135500" +st "SIGNAL selX : std_ulogic" +) +) +*18 (Net +uid 1071,0 +decl (Decl +n "selY" +t "std_ulogic" +o 35 +suid 37,0 +) +declText (MLText +uid 1072,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,135400,17500,136400" +st "SIGNAL selY : std_ulogic" +) +) +*19 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 4 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103900,14300,104900" +st "run : std_ulogic" +) +) +*20 (Net +uid 1356,0 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 36 +suid 39,0 +) +declText (MLText +uid 1357,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,136300,18900,137300" +st "SIGNAL updatePattern : std_ulogic" +) +) +*21 (Net +uid 1470,0 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 31 +suid 40,0 +) +declText (MLText +uid 1471,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,131800,33000,132800" +st "SIGNAL patternSize : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 1589,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 26 +suid 41,0 +) +declText (MLText +uid 1590,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,127300,31600,128300" +st "SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 5 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,104800,29600,105800" +st "updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 2776,0 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 21 +suid 44,0 +) +declText (MLText +uid 2777,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,122800,32600,123800" +st "SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 2850,0 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 23 +suid 45,0 +) +declText (MLText +uid 2851,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,124600,17900,125600" +st "SIGNAL cntIncrX : std_ulogic" +) +) +*26 (Net +uid 2852,0 +decl (Decl +n "memWrX" +t "std_ulogic" +o 29 +suid 46,0 +) +declText (MLText +uid 2853,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,130000,19000,131000" +st "SIGNAL memWrX : std_ulogic" +) +) +*27 (Net +uid 2854,0 +decl (Decl +n "memEnX" +t "std_ulogic" +o 27 +suid 47,0 +) +declText (MLText +uid 2855,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,128200,18900,129200" +st "SIGNAL memEnX : std_ulogic" +) +) +*28 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,102100,29700,103100" +st "memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 3827,0 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 24 +suid 49,0 +) +declText (MLText +uid 3828,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,125500,17900,126500" +st "SIGNAL cntIncrY : std_ulogic" +) +) +*30 (Net +uid 3890,0 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 22 +suid 50,0 +) +declText (MLText +uid 3891,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,123700,32600,124700" +st "SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*31 (Net +uid 3892,0 +decl (Decl +n "memWrY" +t "std_ulogic" +o 30 +suid 51,0 +) +declText (MLText +uid 3893,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,130900,19000,131900" +st "SIGNAL memWrY : std_ulogic" +) +) +*32 (Net +uid 3894,0 +decl (Decl +n "memEnY" +t "std_ulogic" +o 28 +suid 52,0 +) +declText (MLText +uid 3895,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,129100,18900,130100" +st "SIGNAL memEnY : std_ulogic" +) +) +*33 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103000,29700,104000" +st "memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*34 (PortIoOut +uid 4041,0 +shape (CompositeShape +uid 4042,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4043,0 +sl 0 +ro 270 +xt "143500,3625,145000,4375" +) +(Line +uid 4044,0 +sl 0 +ro 270 +xt "143000,4000,143500,4000" +pts [ +"143000,4000" +"143500,4000" +] +) +] +) +tg (WTG +uid 4045,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4046,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "146000,3300,166900,4700" +st "testOut : (1 TO testOutBitNb)" +blo "146000,4500" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 4053,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 1 +suid 54,0 +) +declText (MLText +uid 4054,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,101200,26200,102200" +st "testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*36 (HdlText +uid 4055,0 +optionalChildren [ +*37 (EmbeddedText +uid 4060,0 +commentText (CommentText +uid 4061,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4062,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "120000,3000,134000,25000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4063,0 +va (VaSet +) +xt "120200,3200,133900,24800" +st " +testout( 1) <= run_int; run <= run_int; +testout( 2) <= updatePattern; +testout( 3) <= interpolateLin_int; interpolateLin <= interpolateLin_int; +testout( 4) <= newPolynom; +testout( 5) <= newPolynom; +testout( 6) <= '0'; +testout( 7) <= '0'; +testout( 8) <= selControl; +testout( 9) <= selSpeed; +testout(10) <= selX; +testout(11) <= selY; +testout(12) <= '0'; +testout(13) <= addr(0); +testout(14) <= addr(1); +testout(15) <= dataIn(0); +testout(16) <= dataIn(1); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 22000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4056,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "119000,2000,135000,26000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4057,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 4058,0 +va (VaSet +) +xt "119400,26000,122000,27200" +st "eb3" +blo "119400,27000" +tm "HdlTextNameMgr" +) +*39 (Text +uid 4059,0 +va (VaSet +) +xt "119400,27000,120800,28200" +st "3" +blo "119400,28000" +tm "HdlTextNumberMgr" +) +] +) +) +*40 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 6 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,105700,15100,106700" +st "interpolateLin : std_ulogic" +) +) +*41 (PortIoOut +uid 14845,0 +shape (CompositeShape +uid 14846,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14847,0 +sl 0 +ro 270 +xt "134500,115625,136000,116375" +) +(Line +uid 14848,0 +sl 0 +ro 270 +xt "134000,116000,134500,116000" +pts [ +"134000,116000" +"134500,116000" +] +) +] +) +tg (WTG +uid 14849,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14850,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "137000,115300,161100,116700" +st "memY : (signalBitNb-1 DOWNTO 0)" +blo "137000,116500" +tm "WireNameMgr" +) +) +) +*42 (PortIoOut +uid 14851,0 +shape (CompositeShape +uid 14852,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14853,0 +sl 0 +ro 270 +xt "134500,153625,136000,154375" +) +(Line +uid 14854,0 +sl 0 +ro 270 +xt "134000,154000,134500,154000" +pts [ +"134000,154000" +"134500,154000" +] +) +] +) +tg (WTG +uid 14855,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14856,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "137000,153300,161200,154700" +st "memX : (signalBitNb-1 DOWNTO 0)" +blo "137000,154500" +tm "WireNameMgr" +) +) +) +*43 (PortIoOut +uid 14857,0 +shape (CompositeShape +uid 14858,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14859,0 +sl 0 +ro 270 +xt "109750,41625,111250,42375" +) +(Line +uid 14860,0 +sl 0 +ro 270 +xt "109250,42000,109750,42000" +pts [ +"109250,42000" +"109750,42000" +] +) +] +) +tg (WTG +uid 14861,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14862,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,41300,114900,42700" +st "run" +blo "112000,42500" +tm "WireNameMgr" +) +) +) +*44 (PortIoOut +uid 14863,0 +shape (CompositeShape +uid 14864,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14865,0 +sl 0 +ro 270 +xt "109750,69625,111250,70375" +) +(Line +uid 14866,0 +sl 0 +ro 270 +xt "109250,70000,109750,70000" +pts [ +"109250,70000" +"109750,70000" +] +) +] +) +tg (WTG +uid 14867,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14868,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,69300,146500,70700" +st "updatePeriod : (updatePeriodBitNb-1 DOWNTO 0)" +blo "112000,70500" +tm "WireNameMgr" +) +) +) +*45 (PortIoOut +uid 14869,0 +shape (CompositeShape +uid 14870,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14871,0 +sl 0 +ro 270 +xt "109500,43625,111000,44375" +) +(Line +uid 14872,0 +sl 0 +ro 270 +xt "109000,44000,109500,44000" +pts [ +"109000,44000" +"109500,44000" +] +) +] +) +tg (WTG +uid 14873,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14874,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,43300,122300,44700" +st "interpolateLin" +blo "112000,44500" +tm "WireNameMgr" +) +) +) +*46 (Net +uid 16705,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 25 +suid 74,0 +) +declText (MLText +uid 16706,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,126400,31800,127400" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*47 (HdlText +uid 16707,0 +optionalChildren [ +*48 (EmbeddedText +uid 16712,0 +commentText (CommentText +uid 16713,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 16714,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "30000,39000,46000,53000" +) +oxt "0,0,18000,5000" +text (MLText +uid 16715,0 +va (VaSet +) +xt "30200,39200,45400,52400" +st " +storeControls: process(reset, clock) +begin + if reset = '1' then + addr <= (others => '0'); + write <= '0'; + elsif rising_edge(clock) then + write <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addr <= hAddr(addr'range); + write <= hWrite; + end if; + end if; +end process storeControls; + +dataIn <= hWData; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 16708,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "30000,38000,46000,54000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 16709,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 16710,0 +va (VaSet +) +xt "30400,54000,33000,55200" +st "eb8" +blo "30400,55000" +tm "HdlTextNameMgr" +) +*50 (Text +uid 16711,0 +va (VaSet +) +xt "30400,55000,31800,56200" +st "8" +blo "30400,56000" +tm "HdlTextNumberMgr" +) +] +) +) +*51 (Net +uid 16716,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 20 +suid 75,0 +) +declText (MLText +uid 16717,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121900,29500,122900" +st "SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*52 (HdlText +uid 17145,0 +optionalChildren [ +*53 (EmbeddedText +uid 17150,0 +commentText (CommentText +uid 17151,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 17152,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "58000,87000,66000,93000" +) +oxt "0,0,18000,5000" +text (MLText +uid 17153,0 +va (VaSet +) +xt "58200,87200,65800,92000" +st " +memDataIn <= dataIn(memDataIn'range); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 8000 +) +) +) +] +shape (Rectangle +uid 17146,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "58000,86000,66000,94000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 17147,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 17148,0 +va (VaSet +) +xt "58400,94000,61000,95200" +st "eb9" +blo "58400,95000" +tm "HdlTextNameMgr" +) +*55 (Text +uid 17149,0 +va (VaSet +) +xt "58400,95000,59800,96200" +st "9" +blo "58400,96000" +tm "HdlTextNumberMgr" +) +] +) +) +*56 (Net +uid 17162,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 38 +suid 77,0 +) +declText (MLText +uid 17163,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,138100,33600,139100" +st "SIGNAL memDataIn : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*57 (Net +uid 17505,0 +decl (Decl +n "write" +t "std_ulogic" +o 37 +suid 78,0 +) +declText (MLText +uid 17506,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,137200,17600,138200" +st "SIGNAL write : std_ulogic" +) +) +*58 (HdlText +uid 17860,0 +optionalChildren [ +*59 (EmbeddedText +uid 17865,0 +commentText (CommentText +uid 17866,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 17867,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "30000,19000,46000,29000" +) +oxt "0,0,18000,5000" +text (MLText +uid 17868,0 +va (VaSet +) +xt "30200,19200,46200,27600" +st " +hRData <= std_ulogic_vector(dataOut); + +hReady <= '1'; -- no wait state +hResp <= '0'; -- data OK +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 17861,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "30000,18000,46000,30000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 17862,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 17863,0 +va (VaSet +) +xt "30400,30000,33700,31200" +st "eb10" +blo "30400,31000" +tm "HdlTextNameMgr" +) +*61 (Text +uid 17864,0 +va (VaSet +) +xt "30400,31000,32500,32200" +st "10" +blo "30400,32000" +tm "HdlTextNumberMgr" +) +] +) +) +*62 (PortIoIn +uid 18266,0 +shape (CompositeShape +uid 18267,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18268,0 +sl 0 +ro 270 +xt "68000,135625,69500,136375" +) +(Line +uid 18269,0 +sl 0 +ro 270 +xt "69500,136000,70000,136000" +pts [ +"69500,136000" +"70000,136000" +] +) +] +) +tg (WTG +uid 18270,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18271,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57400,135300,67000,136700" +st "newPolynom" +ju 2 +blo "67000,136500" +tm "WireNameMgr" +) +) +) +*63 (PortIoIn +uid 19642,0 +shape (CompositeShape +uid 19643,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19644,0 +sl 0 +ro 270 +xt "20000,3625,21500,4375" +) +(Line +uid 19645,0 +sl 0 +ro 270 +xt "21500,4000,22000,4000" +pts [ +"21500,4000" +"22000,4000" +] +) +] +) +tg (WTG +uid 19646,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19647,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "15500,3500,19000,4900" +st "hClk" +ju 2 +blo "19000,4700" +tm "WireNameMgr" +) +) +) +*64 (Net +uid 19654,0 +decl (Decl +n "hClk" +t "std_ulogic" +o 8 +suid 79,0 +) +declText (MLText +uid 19655,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,107500,14500,108500" +st "hClk : std_ulogic" +) +) +*65 (PortIoOut +uid 19656,0 +shape (CompositeShape +uid 19657,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19658,0 +sl 0 +ro 90 +xt "20000,21625,21500,22375" +) +(Line +uid 19659,0 +sl 0 +ro 90 +xt "21500,22000,22000,22000" +pts [ +"22000,22000" +"21500,22000" +] +) +] +) +tg (WTG +uid 19660,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19661,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-6700,21300,19000,22700" +st "hRData : (ahbDataBitNb-1 downto 0)" +ju 2 +blo "19000,22500" +tm "WireNameMgr" +) +) +) +*66 (Net +uid 19668,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 9 +suid 80,0 +) +declText (MLText +uid 19669,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,108400,29900,109400" +st "hRData : std_ulogic_vector(ahbDataBitNb-1 downto 0)" +) +) +*67 (PortIoIn +uid 19670,0 +shape (CompositeShape +uid 19671,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19672,0 +sl 0 +ro 270 +xt "20000,41625,21500,42375" +) +(Line +uid 19673,0 +sl 0 +ro 270 +xt "21500,42000,22000,42000" +pts [ +"21500,42000" +"22000,42000" +] +) +] +) +tg (WTG +uid 19674,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19675,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-7900,41500,19000,42900" +st "hAddr : (ahbAddressBitNb-1 downto 0)" +ju 2 +blo "19000,42700" +tm "WireNameMgr" +) +) +) +*68 (Net +uid 19682,0 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 10 +suid 81,0 +) +declText (MLText +uid 19683,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,109300,27300,110300" +st "hAddr : unsigned(ahbAddressBitNb-1 downto 0)" +) +) +*69 (PortIoIn +uid 19684,0 +shape (CompositeShape +uid 19685,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19686,0 +sl 0 +ro 270 +xt "20000,5625,21500,6375" +) +(Line +uid 19687,0 +sl 0 +ro 270 +xt "21500,6000,22000,6000" +pts [ +"21500,6000" +"22000,6000" +] +) +] +) +tg (WTG +uid 19688,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19689,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "12200,5500,19000,6900" +st "hReset_n" +ju 2 +blo "19000,6700" +tm "WireNameMgr" +) +) +) +*70 (Net +uid 19696,0 +decl (Decl +n "hReset_n" +t "std_ulogic" +o 11 +suid 82,0 +) +declText (MLText +uid 19697,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,110200,15300,111200" +st "hReset_n : std_ulogic" +) +) +*71 (PortIoIn +uid 19698,0 +shape (CompositeShape +uid 19699,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19700,0 +sl 0 +ro 270 +xt "20000,43625,21500,44375" +) +(Line +uid 19701,0 +sl 0 +ro 270 +xt "21500,44000,22000,44000" +pts [ +"21500,44000" +"22000,44000" +] +) +] +) +tg (WTG +uid 19702,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19703,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-7200,43500,19000,44900" +st "hWData : (ahbDataBitNb-1 downto 0)" +ju 2 +blo "19000,44700" +tm "WireNameMgr" +) +) +) +*72 (Net +uid 19710,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 12 +suid 83,0 +) +declText (MLText +uid 19711,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,111100,30100,112100" +st "hWData : std_ulogic_vector(ahbDataBitNb-1 downto 0)" +) +) +*73 (PortIoIn +uid 19712,0 +shape (CompositeShape +uid 19713,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19714,0 +sl 0 +ro 270 +xt "20000,45625,21500,46375" +) +(Line +uid 19715,0 +sl 0 +ro 270 +xt "21500,46000,22000,46000" +pts [ +"21500,46000" +"22000,46000" +] +) +] +) +tg (WTG +uid 19716,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19717,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-6900,45500,19000,46900" +st "hTrans : (ahbTransBitNb-1 downto 0)" +ju 2 +blo "19000,46700" +tm "WireNameMgr" +) +) +) +*74 (Net +uid 19724,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 13 +suid 84,0 +) +declText (MLText +uid 19725,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,112000,29900,113000" +st "hTrans : std_ulogic_vector(ahbTransBitNb-1 downto 0)" +) +) +*75 (PortIoIn +uid 19726,0 +shape (CompositeShape +uid 19727,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19728,0 +sl 0 +ro 270 +xt "20000,47625,21500,48375" +) +(Line +uid 19729,0 +sl 0 +ro 270 +xt "21500,48000,22000,48000" +pts [ +"21500,48000" +"22000,48000" +] +) +] +) +tg (WTG +uid 19730,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19731,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "14000,47500,19000,48900" +st "hWrite" +ju 2 +blo "19000,48700" +tm "WireNameMgr" +) +) +) +*76 (Net +uid 19738,0 +decl (Decl +n "hWrite" +t "std_ulogic" +o 14 +suid 85,0 +) +declText (MLText +uid 19739,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,112900,14700,113900" +st "hWrite : std_ulogic" +) +) +*77 (PortIoIn +uid 19740,0 +shape (CompositeShape +uid 19741,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19742,0 +sl 0 +ro 270 +xt "20000,49625,21500,50375" +) +(Line +uid 19743,0 +sl 0 +ro 270 +xt "21500,50000,22000,50000" +pts [ +"21500,50000" +"22000,50000" +] +) +] +) +tg (WTG +uid 19744,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19745,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "15500,49500,19000,50900" +st "hSel" +ju 2 +blo "19000,50700" +tm "WireNameMgr" +) +) +) +*78 (Net +uid 19752,0 +decl (Decl +n "hSel" +t "std_ulogic" +o 15 +suid 86,0 +) +declText (MLText +uid 19753,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,113800,14400,114800" +st "hSel : std_ulogic" +) +) +*79 (PortIoOut +uid 19754,0 +shape (CompositeShape +uid 19755,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19756,0 +sl 0 +ro 90 +xt "20000,23625,21500,24375" +) +(Line +uid 19757,0 +sl 0 +ro 90 +xt "21500,24000,22000,24000" +pts [ +"22000,24000" +"21500,24000" +] +) +] +) +tg (WTG +uid 19758,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19759,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13500,23300,19000,24700" +st "hReady" +ju 2 +blo "19000,24500" +tm "WireNameMgr" +) +) +) +*80 (Net +uid 19766,0 +decl (Decl +n "hReady" +t "std_ulogic" +o 16 +suid 87,0 +) +declText (MLText +uid 19767,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,114700,15200,115700" +st "hReady : std_ulogic" +) +) +*81 (PortIoOut +uid 19768,0 +shape (CompositeShape +uid 19769,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19770,0 +sl 0 +ro 90 +xt "20000,25625,21500,26375" +) +(Line +uid 19771,0 +sl 0 +ro 90 +xt "21500,26000,22000,26000" +pts [ +"22000,26000" +"21500,26000" +] +) +] +) +tg (WTG +uid 19772,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19773,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "14300,25300,19000,26700" +st "hResp" +ju 2 +blo "19000,26500" +tm "WireNameMgr" +) +) +) +*82 (Net +uid 19780,0 +decl (Decl +n "hResp" +t "std_ulogic" +o 17 +suid 88,0 +) +declText (MLText +uid 19781,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,115600,14900,116600" +st "hResp : std_ulogic" +) +) +*83 (HdlText +uid 20266,0 +optionalChildren [ +*84 (EmbeddedText +uid 20271,0 +commentText (CommentText +uid 20272,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 20273,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "30000,1000,46000,9000" +) +oxt "0,0,18000,5000" +text (MLText +uid 20274,0 +va (VaSet +) +xt "30200,1200,43900,4800" +st " +clock<= hClk; + +reset <= not hReset_n; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 20267,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "30000,0,46000,10000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 20268,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 20269,0 +va (VaSet +) +xt "30400,10000,33700,11200" +st "eb11" +blo "30400,11000" +tm "HdlTextNameMgr" +) +*86 (Text +uid 20270,0 +va (VaSet +) +xt "30400,11000,32500,12200" +st "11" +blo "30400,12000" +tm "HdlTextNumberMgr" +) +] +) +) +*87 (Net +uid 20553,0 +decl (Decl +n "run_int" +t "std_ulogic" +o 39 +suid 90,0 +) +declText (MLText +uid 20554,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,139000,17800,140000" +st "SIGNAL run_int : std_ulogic" +) +) +*88 (Net +uid 20563,0 +decl (Decl +n "interpolateLin_int" +t "std_ulogic" +o 40 +suid 92,0 +) +declText (MLText +uid 20564,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,139900,18600,140900" +st "SIGNAL interpolateLin_int : std_ulogic" +) +) +*89 (SaComponent +uid 21149,0 +optionalChildren [ +*90 (CptPort +uid 21109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,41625,94750,42375" +) +tg (CPTG +uid 21111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21112,0 +va (VaSet +) +xt "90700,41400,93000,42600" +st "run" +ju 2 +blo "93000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*91 (CptPort +uid 21113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,41625,78000,42375" +) +tg (CPTG +uid 21115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21116,0 +va (VaSet +) +xt "79000,41400,83000,42600" +st "dataIn" +blo "79000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*92 (CptPort +uid 21117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,47625,94750,48375" +) +tg (CPTG +uid 21119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21120,0 +va (VaSet +) +xt "84500,47400,93000,48600" +st "updatePattern" +ju 2 +blo "93000,48400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*93 (CptPort +uid 21121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21122,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,43625,78000,44375" +) +tg (CPTG +uid 21123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21124,0 +va (VaSet +) +xt "79000,43400,83800,44600" +st "dataOut" +blo "79000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*94 (CptPort +uid 21125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,47625,78000,48375" +) +tg (CPTG +uid 21127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21128,0 +va (VaSet +) +xt "79000,47400,82100,48600" +st "write" +blo "79000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*95 (CptPort +uid 21129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,49625,78000,50375" +) +tg (CPTG +uid 21131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21132,0 +va (VaSet +) +xt "79000,49400,80900,50600" +st "en" +blo "79000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*96 (CptPort +uid 21133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,53625,78000,54375" +) +tg (CPTG +uid 21135,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21136,0 +va (VaSet +) +xt "79000,53400,82400,54600" +st "clock" +blo "79000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*97 (CptPort +uid 21137,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21138,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,55625,78000,56375" +) +tg (CPTG +uid 21139,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21140,0 +va (VaSet +) +xt "79000,55400,82300,56600" +st "reset" +blo "79000,56400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*98 (CptPort +uid 21141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,43625,94750,44375" +) +tg (CPTG +uid 21143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21144,0 +va (VaSet +) +xt "83100,43400,93000,44600" +st "interpolateLinear" +ju 2 +blo "93000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*99 (CptPort +uid 21145,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21146,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,49625,94750,50375" +) +tg (CPTG +uid 21147,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21148,0 +va (VaSet +) +xt "85900,49400,93000,50600" +st "patternSize" +ju 2 +blo "93000,50400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 downto 0)" +o 10 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 21150,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,38000,94000,58000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 21151,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 21152,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,57800,87000,59000" +st "SystemOnChip" +blo "78600,58800" +tm "BdLibraryNameMgr" +) +*101 (Text +uid 21153,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,58700,88400,59900" +st "periphControlReg" +blo "78600,59700" +tm "CptNameMgr" +) +*102 (Text +uid 21154,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,59600,81600,60800" +st "I_ctl" +blo "78600,60600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21155,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21156,0 +text (MLText +uid 21157,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,61600,102300,63600" +st "dataBitNb = dataBitNb ( positive ) +patternSizeBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*103 (SaComponent +uid 21186,0 +optionalChildren [ +*104 (CptPort +uid 21158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,69625,94750,70375" +) +tg (CPTG +uid 21160,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21161,0 +va (VaSet +) +xt "85000,69400,93000,70600" +st "updatePeriod" +ju 2 +blo "93000,70400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*105 (CptPort +uid 21162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,69625,78000,70375" +) +tg (CPTG +uid 21164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21165,0 +va (VaSet +) +xt "79000,69400,83000,70600" +st "dataIn" +blo "79000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*106 (CptPort +uid 21166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21167,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,71625,78000,72375" +) +tg (CPTG +uid 21168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21169,0 +va (VaSet +) +xt "79000,71400,83800,72600" +st "dataOut" +blo "79000,72400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*107 (CptPort +uid 21170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,77625,78000,78375" +) +tg (CPTG +uid 21172,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21173,0 +va (VaSet +) +xt "79000,77400,80900,78600" +st "en" +blo "79000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*108 (CptPort +uid 21174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,81625,78000,82375" +) +tg (CPTG +uid 21176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21177,0 +va (VaSet +) +xt "79000,81400,82400,82600" +st "clock" +blo "79000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*109 (CptPort +uid 21178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,83625,78000,84375" +) +tg (CPTG +uid 21180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21181,0 +va (VaSet +) +xt "79000,83400,82300,84600" +st "reset" +blo "79000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +*110 (CptPort +uid 21182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,75625,78000,76375" +) +tg (CPTG +uid 21184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21185,0 +va (VaSet +) +xt "79000,75400,82100,76600" +st "write" +blo "79000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 21187,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,66000,94000,86000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 21188,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 21189,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,85800,87000,87000" +st "SystemOnChip" +blo "78600,86800" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 21190,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,86700,87800,87900" +st "periphSpeedReg" +blo "78600,87700" +tm "CptNameMgr" +) +*113 (Text +uid 21191,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,87600,83200,88800" +st "I_speed" +blo "78600,88600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21192,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21193,0 +text (MLText +uid 21194,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,89600,102400,91600" +st "dataBitNb = dataBitNb ( positive ) +updatePeriodBitNb = updatePeriodBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 21219,0 +optionalChildren [ +*115 (CptPort +uid 21195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21196,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,71625,46750,72375" +) +tg (CPTG +uid 21197,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21198,0 +va (VaSet +) +xt "38400,71400,45000,72600" +st "selControl" +ju 2 +blo "45000,72400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*116 (CptPort +uid 21199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,71625,30000,72375" +) +tg (CPTG +uid 21201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21202,0 +va (VaSet +) +xt "31000,71400,33900,72600" +st "addr" +blo "31000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*117 (CptPort +uid 21203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21204,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,73625,46750,74375" +) +tg (CPTG +uid 21205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21206,0 +va (VaSet +) +xt "39700,73400,45000,74600" +st "selSpeed" +ju 2 +blo "45000,74400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*118 (CptPort +uid 21207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,77625,46750,78375" +) +tg (CPTG +uid 21209,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21210,0 +va (VaSet +) +xt "42100,77400,45000,78600" +st "selX" +ju 2 +blo "45000,78400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*119 (CptPort +uid 21211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,79625,46750,80375" +) +tg (CPTG +uid 21213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21214,0 +va (VaSet +) +xt "42100,79400,45000,80600" +st "selY" +ju 2 +blo "45000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*120 (CptPort +uid 21215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,81625,46750,82375" +) +tg (CPTG +uid 21217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21218,0 +va (VaSet +) +xt "42100,81400,45000,82600" +st "selZ" +ju 2 +blo "45000,82400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 21220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,68000,46000,86000" +) +oxt "34000,9000,50000,27000" +ttg (MlTextGroup +uid 21221,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 21222,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,85800,39000,87000" +st "SystemOnChip" +blo "30600,86800" +tm "BdLibraryNameMgr" +) +*122 (Text +uid 21223,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,86700,43500,87900" +st "periphAddressDecoder" +blo "30600,87700" +tm "CptNameMgr" +) +*123 (Text +uid 21224,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,87600,36200,88800" +st "I_decoder" +blo "30600,88600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21225,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21226,0 +text (MLText +uid 21227,0 +va (VaSet +font "Verdana,8,0" +) +xt "30000,89600,50000,90600" +st "addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*124 (SaComponent +uid 21252,0 +optionalChildren [ +*125 (CptPort +uid 21228,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21229,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,109625,78000,110375" +) +tg (CPTG +uid 21230,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21231,0 +va (VaSet +) +xt "79000,109400,80900,110600" +st "en" +blo "79000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*126 (CptPort +uid 21232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21233,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,113625,78000,114375" +) +tg (CPTG +uid 21234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21235,0 +va (VaSet +) +xt "79000,113400,82400,114600" +st "clock" +blo "79000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*127 (CptPort +uid 21236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21237,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,115625,78000,116375" +) +tg (CPTG +uid 21238,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21239,0 +va (VaSet +) +xt "79000,115400,82300,116600" +st "reset" +blo "79000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*128 (CptPort +uid 21240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21241,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,107625,78000,108375" +) +tg (CPTG +uid 21242,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21243,0 +va (VaSet +) +xt "79000,107400,85500,108600" +st "updateMem" +blo "79000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*129 (CptPort +uid 21244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21245,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,105625,94750,106375" +) +tg (CPTG +uid 21246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21247,0 +va (VaSet +) +xt "90100,105400,93000,106600" +st "addr" +ju 2 +blo "93000,106400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*130 (CptPort +uid 21248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21249,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,105625,78000,106375" +) +tg (CPTG +uid 21250,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21251,0 +va (VaSet +) +xt "79000,105400,86100,106600" +st "patternSize" +blo "79000,106400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 21253,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,102000,94000,118000" +) +oxt "38000,13000,54000,29000" +ttg (MlTextGroup +uid 21254,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +uid 21255,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,117800,87000,119000" +st "SystemOnChip" +blo "78600,118800" +tm "BdLibraryNameMgr" +) +*132 (Text +uid 21256,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,118700,93200,119900" +st "blockRAMAddressCounter" +blo "78600,119700" +tm "CptNameMgr" +) +*133 (Text +uid 21257,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,119600,83100,120800" +st "I_addry" +blo "78600,120600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21258,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21259,0 +text (MLText +uid 21260,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,121600,100900,122600" +st "addressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*134 (SaComponent +uid 21297,0 +optionalChildren [ +*135 (CptPort +uid 21261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21262,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,133625,94750,134375" +) +tg (CPTG +uid 21263,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21264,0 +va (VaSet +) +xt "88600,133400,93000,134600" +st "memWr" +ju 2 +blo "93000,134400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*136 (CptPort +uid 21265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21266,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,129625,78000,130375" +) +tg (CPTG +uid 21267,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21268,0 +va (VaSet +) +xt "79000,129400,81200,130600" +st "sel" +blo "79000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*137 (CptPort +uid 21269,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21270,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,135625,94750,136375" +) +tg (CPTG +uid 21271,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21272,0 +va (VaSet +) +xt "88600,135400,93000,136600" +st "memEn" +ju 2 +blo "93000,136400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*138 (CptPort +uid 21273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21274,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,133625,78000,134375" +) +tg (CPTG +uid 21275,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21276,0 +va (VaSet +) +xt "79000,133400,83100,134600" +st "update" +blo "79000,134400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*139 (CptPort +uid 21277,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21278,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,131625,78000,132375" +) +tg (CPTG +uid 21279,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21280,0 +va (VaSet +) +xt "79000,131400,80800,132600" +st "wr" +blo "79000,132400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*140 (CptPort +uid 21281,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21282,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,129625,94750,130375" +) +tg (CPTG +uid 21283,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21284,0 +va (VaSet +) +xt "88600,129400,93000,130600" +st "cntIncr" +ju 2 +blo "93000,130400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*141 (CptPort +uid 21285,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21286,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,135625,78000,136375" +) +tg (CPTG +uid 21287,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21288,0 +va (VaSet +) +xt "79000,135400,85300,136600" +st "newSample" +blo "79000,136400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*142 (CptPort +uid 21289,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21290,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,139625,78000,140375" +) +tg (CPTG +uid 21291,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21292,0 +va (VaSet +) +xt "79000,139400,82400,140600" +st "clock" +blo "79000,140400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*143 (CptPort +uid 21293,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21294,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,141625,78000,142375" +) +tg (CPTG +uid 21295,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21296,0 +va (VaSet +) +xt "79000,141400,82300,142600" +st "reset" +blo "79000,142400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 21298,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,126000,94000,144000" +) +oxt "32000,8000,48000,26000" +ttg (MlTextGroup +uid 21299,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +uid 21300,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,143800,87000,145000" +st "SystemOnChip" +blo "78600,144800" +tm "BdLibraryNameMgr" +) +*145 (Text +uid 21301,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,144700,88300,145900" +st "blockRAMControl" +blo "78600,145700" +tm "CptNameMgr" +) +*146 (Text +uid 21302,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,145600,82200,146800" +st "I_ctly" +blo "78600,146600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21303,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21304,0 +text (MLText +uid 21305,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,139800,78000,139800" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*147 (SaComponent +uid 21330,0 +optionalChildren [ +*148 (CptPort +uid 21306,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21307,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,159625,78000,160375" +) +tg (CPTG +uid 21308,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21309,0 +va (VaSet +) +xt "79000,159400,80900,160600" +st "en" +blo "79000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*149 (CptPort +uid 21310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21311,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,163625,78000,164375" +) +tg (CPTG +uid 21312,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21313,0 +va (VaSet +) +xt "79000,163400,82400,164600" +st "clock" +blo "79000,164400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*150 (CptPort +uid 21314,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21315,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,165625,78000,166375" +) +tg (CPTG +uid 21316,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21317,0 +va (VaSet +) +xt "79000,165400,82300,166600" +st "reset" +blo "79000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*151 (CptPort +uid 21318,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21319,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,157625,78000,158375" +) +tg (CPTG +uid 21320,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21321,0 +va (VaSet +) +xt "79000,157400,85500,158600" +st "updateMem" +blo "79000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*152 (CptPort +uid 21322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,155625,94750,156375" +) +tg (CPTG +uid 21324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21325,0 +va (VaSet +) +xt "90100,155400,93000,156600" +st "addr" +ju 2 +blo "93000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*153 (CptPort +uid 21326,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21327,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,155625,78000,156375" +) +tg (CPTG +uid 21328,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21329,0 +va (VaSet +) +xt "79000,155400,86100,156600" +st "patternSize" +blo "79000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 21331,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,152000,94000,168000" +) +oxt "38000,13000,54000,29000" +ttg (MlTextGroup +uid 21332,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*154 (Text +uid 21333,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,167800,87000,169000" +st "SystemOnChip" +blo "78600,168800" +tm "BdLibraryNameMgr" +) +*155 (Text +uid 21334,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,168700,93200,169900" +st "blockRAMAddressCounter" +blo "78600,169700" +tm "CptNameMgr" +) +*156 (Text +uid 21335,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,169600,83100,170800" +st "I_addrx" +blo "78600,170600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21336,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21337,0 +text (MLText +uid 21338,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,171600,100900,172600" +st "addressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*157 (SaComponent +uid 21375,0 +optionalChildren [ +*158 (CptPort +uid 21339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,183625,94750,184375" +) +tg (CPTG +uid 21341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21342,0 +va (VaSet +) +xt "88600,183400,93000,184600" +st "memWr" +ju 2 +blo "93000,184400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*159 (CptPort +uid 21343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21344,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,179625,78000,180375" +) +tg (CPTG +uid 21345,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21346,0 +va (VaSet +) +xt "79000,179400,81200,180600" +st "sel" +blo "79000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*160 (CptPort +uid 21347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,185625,94750,186375" +) +tg (CPTG +uid 21349,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21350,0 +va (VaSet +) +xt "88600,185400,93000,186600" +st "memEn" +ju 2 +blo "93000,186400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*161 (CptPort +uid 21351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21352,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,183625,78000,184375" +) +tg (CPTG +uid 21353,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21354,0 +va (VaSet +) +xt "79000,183400,83100,184600" +st "update" +blo "79000,184400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*162 (CptPort +uid 21355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,181625,78000,182375" +) +tg (CPTG +uid 21357,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21358,0 +va (VaSet +) +xt "79000,181400,80800,182600" +st "wr" +blo "79000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*163 (CptPort +uid 21359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,179625,94750,180375" +) +tg (CPTG +uid 21361,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21362,0 +va (VaSet +) +xt "88600,179400,93000,180600" +st "cntIncr" +ju 2 +blo "93000,180400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*164 (CptPort +uid 21363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21364,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,185625,78000,186375" +) +tg (CPTG +uid 21365,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21366,0 +va (VaSet +) +xt "79000,185400,85300,186600" +st "newSample" +blo "79000,186400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*165 (CptPort +uid 21367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,189625,78000,190375" +) +tg (CPTG +uid 21369,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21370,0 +va (VaSet +) +xt "79000,189400,82400,190600" +st "clock" +blo "79000,190400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*166 (CptPort +uid 21371,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21372,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,191625,78000,192375" +) +tg (CPTG +uid 21373,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21374,0 +va (VaSet +) +xt "79000,191400,82300,192600" +st "reset" +blo "79000,192400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 21376,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,176000,94000,194000" +) +oxt "32000,8000,48000,26000" +ttg (MlTextGroup +uid 21377,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*167 (Text +uid 21378,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,193800,87000,195000" +st "SystemOnChip" +blo "78600,194800" +tm "BdLibraryNameMgr" +) +*168 (Text +uid 21379,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,194700,88300,195900" +st "blockRAMControl" +blo "78600,195700" +tm "CptNameMgr" +) +*169 (Text +uid 21380,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,195600,82200,196800" +st "I_ctlx" +blo "78600,196600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21381,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21382,0 +text (MLText +uid 21383,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,189800,78000,189800" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*170 (SaComponent +uid 21412,0 +optionalChildren [ +*171 (CptPort +uid 21384,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21385,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,153625,110000,154375" +) +tg (CPTG +uid 21386,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21387,0 +va (VaSet +) +xt "111000,153400,115000,154600" +st "dataIn" +blo "111000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*172 (CptPort +uid 21388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21389,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "126000,153625,126750,154375" +) +tg (CPTG +uid 21390,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21391,0 +va (VaSet +) +xt "120200,153400,125000,154600" +st "dataOut" +ju 2 +blo "125000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*173 (CptPort +uid 21392,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21393,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,161625,110000,162375" +) +tg (CPTG +uid 21394,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21395,0 +va (VaSet +) +xt "111000,161400,112900,162600" +st "en" +blo "111000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*174 (CptPort +uid 21396,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,165625,110000,166375" +) +tg (CPTG +uid 21398,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21399,0 +va (VaSet +) +xt "111000,165400,114400,166600" +st "clock" +blo "111000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*175 (CptPort +uid 21400,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21401,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,167625,110000,168375" +) +tg (CPTG +uid 21402,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21403,0 +va (VaSet +) +xt "111000,167400,114300,168600" +st "reset" +blo "111000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*176 (CptPort +uid 21404,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21405,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,159625,110000,160375" +) +tg (CPTG +uid 21406,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21407,0 +va (VaSet +) +xt "111000,159400,114100,160600" +st "write" +blo "111000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*177 (CptPort +uid 21408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,155625,110000,156375" +) +tg (CPTG +uid 21410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21411,0 +va (VaSet +) +xt "111000,155400,113900,156600" +st "addr" +blo "111000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 21413,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "110000,150000,126000,170000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 21414,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +uid 21415,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,169800,119000,171000" +st "SystemOnChip" +blo "110600,170800" +tm "BdLibraryNameMgr" +) +*179 (Text +uid 21416,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,170700,116100,171900" +st "blockRAM" +blo "110600,171700" +tm "CptNameMgr" +) +*180 (Text +uid 21417,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,171600,114800,172800" +st "I_ramx" +blo "110600,172600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21418,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21419,0 +text (MLText +uid 21420,0 +va (VaSet +font "Verdana,8,0" +) +xt "110000,173600,137300,176600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = signalBitNb ( positive ) +initFileSpec = \"$SIMULATION_DIR/ramYInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR/ramYInit.txt\"" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*181 (SaComponent +uid 21449,0 +optionalChildren [ +*182 (CptPort +uid 21421,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21422,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,115625,110000,116375" +) +tg (CPTG +uid 21423,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21424,0 +va (VaSet +) +xt "111000,115400,115000,116600" +st "dataIn" +blo "111000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*183 (CptPort +uid 21425,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21426,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "126000,115625,126750,116375" +) +tg (CPTG +uid 21427,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21428,0 +va (VaSet +) +xt "120200,115400,125000,116600" +st "dataOut" +ju 2 +blo "125000,116400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*184 (CptPort +uid 21429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21430,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,123625,110000,124375" +) +tg (CPTG +uid 21431,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21432,0 +va (VaSet +) +xt "111000,123400,112900,124600" +st "en" +blo "111000,124400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*185 (CptPort +uid 21433,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21434,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,127625,110000,128375" +) +tg (CPTG +uid 21435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21436,0 +va (VaSet +) +xt "111000,127400,114400,128600" +st "clock" +blo "111000,128400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*186 (CptPort +uid 21437,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21438,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,129625,110000,130375" +) +tg (CPTG +uid 21439,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21440,0 +va (VaSet +) +xt "111000,129400,114300,130600" +st "reset" +blo "111000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*187 (CptPort +uid 21441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21442,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,121625,110000,122375" +) +tg (CPTG +uid 21443,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21444,0 +va (VaSet +) +xt "111000,121400,114100,122600" +st "write" +blo "111000,122400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*188 (CptPort +uid 21445,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21446,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,117625,110000,118375" +) +tg (CPTG +uid 21447,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21448,0 +va (VaSet +) +xt "111000,117400,113900,118600" +st "addr" +blo "111000,118400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 21450,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "110000,112000,126000,132000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 21451,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*189 (Text +uid 21452,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,131800,119000,133000" +st "SystemOnChip" +blo "110600,132800" +tm "BdLibraryNameMgr" +) +*190 (Text +uid 21453,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,132700,116100,133900" +st "blockRAM" +blo "110600,133700" +tm "CptNameMgr" +) +*191 (Text +uid 21454,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,133600,114800,134800" +st "I_ramy" +blo "110600,134600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21455,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21456,0 +text (MLText +uid 21457,0 +va (VaSet +font "Verdana,8,0" +) +xt "110000,135600,137300,138600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = signalBitNb ( positive ) +initFileSpec = \"$SIMULATION_DIR/ramXInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR/ramXInit.txt\"" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*192 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "74000,54000,77250,54000" +pts [ +"74000,54000" +"77250,54000" +] +) +end &96 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,52600,77800,54000" +st "clock" +blo "74000,53800" +tm "WireNameMgr" +) +) +on &12 +) +*193 (Wire +uid 157,0 +shape (OrthoPolyLine +uid 158,0 +va (VaSet +vasetType 3 +) +xt "74000,56000,77250,56000" +pts [ +"74000,56000" +"77250,56000" +] +) +end &97 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,54600,78100,56000" +st "reset" +blo "74000,55800" +tm "WireNameMgr" +) +) +on &13 +) +*194 (Wire +uid 1057,0 +shape (OrthoPolyLine +uid 1058,0 +va (VaSet +vasetType 3 +) +xt "46750,74000,77250,78000" +pts [ +"46750,74000" +"58000,74000" +"58000,78000" +"77250,78000" +] +) +start &117 +end &107 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1062,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,76600,76600,78000" +st "selSpeed" +blo "70000,77800" +tm "WireNameMgr" +) +) +on &16 +) +*195 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "46750,78000,77250,180000" +pts [ +"46750,78000" +"56000,78000" +"56000,180000" +"77250,180000" +] +) +start &118 +end &159 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,178600,73400,180000" +st "selX" +blo "70000,179800" +tm "WireNameMgr" +) +) +on &17 +) +*196 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "46750,80000,77250,130000" +pts [ +"46750,80000" +"54000,80000" +"54000,130000" +"77250,130000" +] +) +start &119 +end &136 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1078,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,128600,73300,130000" +st "selY" +blo "70000,129800" +tm "WireNameMgr" +) +) +on &18 +) +*197 (Wire +uid 1332,0 +shape (OrthoPolyLine +uid 1333,0 +va (VaSet +vasetType 3 +) +xt "46750,50000,77250,72000" +pts [ +"46750,72000" +"58000,72000" +"58000,50000" +"77250,50000" +] +) +start &115 +end &95 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1339,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,48600,78000,50000" +st "selControl" +blo "70000,49800" +tm "WireNameMgr" +) +) +on &15 +) +*198 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +va (VaSet +vasetType 3 +) +xt "70000,48000,77250,48000" +pts [ +"70000,48000" +"77250,48000" +] +) +end &94 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1347,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,46600,74000,48000" +st "write" +blo "70000,47800" +tm "WireNameMgr" +) +) +on &57 +) +*199 (Wire +uid 1350,0 +shape (OrthoPolyLine +uid 1351,0 +va (VaSet +vasetType 3 +) +xt "104000,42000,109250,42000" +pts [ +"104000,42000" +"109250,42000" +] +) +end &43 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1355,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,40600,108900,42000" +st "run" +blo "106000,41800" +tm "WireNameMgr" +) +) +on &19 +) +*200 (Wire +uid 1358,0 +shape (OrthoPolyLine +uid 1359,0 +va (VaSet +vasetType 3 +) +xt "94750,48000,102000,48000" +pts [ +"94750,48000" +"102000,48000" +] +) +start &92 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1363,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,46600,107550,48000" +st "updatePattern" +blo "96750,47800" +tm "WireNameMgr" +) +) +on &20 +) +*201 (Wire +uid 1472,0 +shape (OrthoPolyLine +uid 1473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,50000,102000,50000" +pts [ +"94750,50000" +"102000,50000" +] +) +start &99 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1477,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,48600,105650,50000" +st "patternSize" +blo "96750,49800" +tm "WireNameMgr" +) +) +on &21 +) +*202 (Wire +uid 1526,0 +optionalChildren [ +*203 (BdJunction +uid 1538,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1539,0 +va (VaSet +vasetType 1 +) +xt "61600,41600,62400,42400" +radius 400 +) +) +*204 (BdJunction +uid 1736,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1737,0 +va (VaSet +vasetType 1 +) +xt "61600,69600,62400,70400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1527,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,42000,62000,86000" +pts [ +"62000,86000" +"62000,42000" +"46000,42000" +] +) +start &52 +end &47 +sat 1 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1532,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1533,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,40600,51000,42000" +st "dataIn" +blo "46000,41800" +tm "WireNameMgr" +) +) +on &46 +) +*205 (Wire +uid 1534,0 +shape (OrthoPolyLine +uid 1535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,42000,77250,42000" +pts [ +"62000,42000" +"77250,42000" +] +) +start &203 +end &91 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1537,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,40600,76000,42000" +st "dataIn" +blo "71000,41800" +tm "WireNameMgr" +) +) +on &46 +) +*206 (Wire +uid 1583,0 +optionalChildren [ +*207 (BdJunction +uid 2856,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2857,0 +va (VaSet +vasetType 1 +) +xt "65600,71600,66400,72400" +radius 400 +) +) +*208 (BdJunction +uid 2864,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2865,0 +va (VaSet +vasetType 1 +) +xt "65600,43600,66400,44400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1584,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,22000,66000,76000" +pts [ +"66000,76000" +"66000,22000" +"46000,22000" +] +) +end &58 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,20600,55000,22000" +st "dataOut" +blo "49000,21800" +tm "WireNameMgr" +) +) +on &22 +) +*209 (Wire +uid 1732,0 +shape (OrthoPolyLine +uid 1733,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,70000,77250,70000" +pts [ +"62000,70000" +"77250,70000" +] +) +start &204 +end &105 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "72250,68600,77250,70000" +st "dataIn" +blo "72250,69800" +tm "WireNameMgr" +) +) +on &46 +) +*210 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66000,72000,77250,72000" +pts [ +"66000,72000" +"77250,72000" +] +) +start &207 +end &106 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1741,0 +va (VaSet +font "Verdana,12,0" +) +xt "71250,70600,77250,72000" +st "dataOut" +blo "71250,71800" +tm "WireNameMgr" +) +) +on &22 +) +*211 (Wire +uid 1744,0 +shape (OrthoPolyLine +uid 1745,0 +va (VaSet +vasetType 3 +) +xt "74000,84000,77250,84000" +pts [ +"74000,84000" +"77250,84000" +] +) +end &109 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,82600,78100,84000" +st "reset" +blo "74000,83800" +tm "WireNameMgr" +) +) +on &13 +) +*212 (Wire +uid 1752,0 +shape (OrthoPolyLine +uid 1753,0 +va (VaSet +vasetType 3 +) +xt "74000,82000,77250,82000" +pts [ +"74000,82000" +"77250,82000" +] +) +end &108 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,80600,77800,82000" +st "clock" +blo "74000,81800" +tm "WireNameMgr" +) +) +on &12 +) +*213 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,70000,109250,70000" +pts [ +"94750,70000" +"109250,70000" +] +) +start &104 +end &44 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,68600,106100,70000" +st "updatePeriod" +blo "96000,69800" +tm "WireNameMgr" +) +) +on &23 +) +*214 (Wire +uid 2478,0 +shape (OrthoPolyLine +uid 2479,0 +va (VaSet +vasetType 3 +) +xt "106000,166000,109250,166000" +pts [ +"106000,166000" +"109250,166000" +] +) +end &174 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2485,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,164600,109800,166000" +st "clock" +blo "106000,165800" +tm "WireNameMgr" +) +) +on &12 +) +*215 (Wire +uid 2486,0 +shape (OrthoPolyLine +uid 2487,0 +va (VaSet +vasetType 3 +) +xt "106000,168000,109250,168000" +pts [ +"106000,168000" +"109250,168000" +] +) +end &175 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2493,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,166600,110100,168000" +st "reset" +blo "106000,167800" +tm "WireNameMgr" +) +) +on &13 +) +*216 (Wire +uid 2638,0 +shape (OrthoPolyLine +uid 2639,0 +va (VaSet +vasetType 3 +) +xt "94750,160000,109250,184000" +pts [ +"94750,184000" +"102000,184000" +"102000,160000" +"109250,160000" +] +) +start &158 +end &176 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2640,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,158600,109400,160000" +st "memWrX" +blo "103000,159800" +tm "WireNameMgr" +) +) +on &26 +) +*217 (Wire +uid 2644,0 +shape (OrthoPolyLine +uid 2645,0 +va (VaSet +vasetType 3 +) +xt "94750,162000,109250,186000" +pts [ +"94750,186000" +"104000,186000" +"104000,162000" +"109250,162000" +] +) +start &160 +end &173 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2646,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2647,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,160600,109200,162000" +st "memEnX" +blo "103000,161800" +tm "WireNameMgr" +) +) +on &27 +) +*218 (Wire +uid 2648,0 +shape (OrthoPolyLine +uid 2649,0 +va (VaSet +vasetType 3 +) +xt "70000,184000,77250,184000" +pts [ +"70000,184000" +"77250,184000" +] +) +end &161 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2655,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,182600,79800,184000" +st "updatePattern" +blo "69000,183800" +tm "WireNameMgr" +) +) +on &20 +) +*219 (Wire +uid 2772,0 +shape (OrthoPolyLine +uid 2773,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,156000,109250,156000" +pts [ +"94750,156000" +"109250,156000" +] +) +start &152 +end &177 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2775,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,154600,109500,156000" +st "addrX" +blo "105000,155800" +tm "WireNameMgr" +) +) +on &24 +) +*220 (Wire +uid 2778,0 +shape (OrthoPolyLine +uid 2779,0 +va (VaSet +vasetType 3 +) +xt "74000,166000,77250,166000" +pts [ +"74000,166000" +"77250,166000" +] +) +end &150 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2785,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,164600,78100,166000" +st "reset" +blo "74000,165800" +tm "WireNameMgr" +) +) +on &13 +) +*221 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +) +xt "74000,164000,77250,164000" +pts [ +"74000,164000" +"77250,164000" +] +) +end &149 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2793,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,162600,77800,164000" +st "clock" +blo "74000,163800" +tm "WireNameMgr" +) +) +on &12 +) +*222 (Wire +uid 2844,0 +shape (OrthoPolyLine +uid 2845,0 +va (VaSet +vasetType 3 +) +xt "72000,160000,98000,180000" +pts [ +"94750,180000" +"98000,180000" +"98000,174000" +"72000,174000" +"72000,160000" +"77250,160000" +] +) +start &163 +end &148 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2846,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2847,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,158600,77900,160000" +st "cntIncrX" +blo "72000,159800" +tm "WireNameMgr" +) +) +on &25 +) +*223 (Wire +uid 2860,0 +shape (OrthoPolyLine +uid 2861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66000,44000,77250,44000" +pts [ +"66000,44000" +"77250,44000" +] +) +start &208 +end &93 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2862,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2863,0 +va (VaSet +font "Verdana,12,0" +) +xt "71250,42600,77250,44000" +st "dataOut" +blo "71250,43800" +tm "WireNameMgr" +) +) +on &22 +) +*224 (Wire +uid 2866,0 +shape (OrthoPolyLine +uid 2867,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,156000,77250,156000" +pts [ +"70000,156000" +"77250,156000" +] +) +end &153 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2873,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,154600,77900,156000" +st "patternSize" +blo "69000,155800" +tm "WireNameMgr" +) +) +on &21 +) +*225 (Wire +uid 2919,0 +shape (OrthoPolyLine +uid 2920,0 +va (VaSet +vasetType 3 +) +xt "70000,186000,77250,186000" +pts [ +"77250,186000" +"70000,186000" +] +) +start &164 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2926,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,184600,78600,186000" +st "newPolynom" +blo "69000,185800" +tm "WireNameMgr" +) +) +on &14 +) +*226 (Wire +uid 2996,0 +shape (OrthoPolyLine +uid 2997,0 +va (VaSet +vasetType 3 +) +xt "74000,192000,77250,192000" +pts [ +"74000,192000" +"77250,192000" +] +) +end &166 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3003,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,190600,78100,192000" +st "reset" +blo "74000,191800" +tm "WireNameMgr" +) +) +on &13 +) +*227 (Wire +uid 3004,0 +shape (OrthoPolyLine +uid 3005,0 +va (VaSet +vasetType 3 +) +xt "74000,190000,77250,190000" +pts [ +"74000,190000" +"77250,190000" +] +) +end &165 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3010,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3011,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,188600,77800,190000" +st "clock" +blo "74000,189800" +tm "WireNameMgr" +) +) +on &12 +) +*228 (Wire +uid 3094,0 +shape (OrthoPolyLine +uid 3095,0 +va (VaSet +vasetType 3 +) +xt "70000,158000,77250,158000" +pts [ +"70000,158000" +"77250,158000" +] +) +end &151 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3101,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,156600,79800,158000" +st "updatePattern" +blo "69000,157800" +tm "WireNameMgr" +) +) +on &20 +) +*229 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "126750,154000,134000,154000" +pts [ +"126750,154000" +"134000,154000" +] +) +start &172 +end &42 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "128000,152600,132600,154000" +st "memX" +blo "128000,153800" +tm "WireNameMgr" +) +) +on &28 +) +*230 (Wire +uid 3751,0 +shape (OrthoPolyLine +uid 3752,0 +va (VaSet +vasetType 3 +) +xt "106000,130000,109250,130000" +pts [ +"106000,130000" +"109250,130000" +] +) +end &186 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3756,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,128600,110100,130000" +st "reset" +blo "106000,129800" +tm "WireNameMgr" +) +) +on &13 +) +*231 (Wire +uid 3757,0 +shape (OrthoPolyLine +uid 3758,0 +va (VaSet +vasetType 3 +) +xt "106000,128000,109250,128000" +pts [ +"106000,128000" +"109250,128000" +] +) +end &185 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3762,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,126600,109800,128000" +st "clock" +blo "106000,127800" +tm "WireNameMgr" +) +) +on &12 +) +*232 (Wire +uid 3763,0 +shape (OrthoPolyLine +uid 3764,0 +va (VaSet +vasetType 3 +) +xt "70000,134000,77250,134000" +pts [ +"70000,134000" +"77250,134000" +] +) +end &138 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3768,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,132600,79800,134000" +st "updatePattern" +blo "69000,133800" +tm "WireNameMgr" +) +) +on &20 +) +*233 (Wire +uid 3769,0 +shape (OrthoPolyLine +uid 3770,0 +va (VaSet +vasetType 3 +) +xt "94750,124000,109250,136000" +pts [ +"94750,136000" +"102000,136000" +"102000,124000" +"109250,124000" +] +) +start &137 +end &184 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3772,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,122600,109100,124000" +st "memEnY" +blo "103000,123800" +tm "WireNameMgr" +) +) +on &32 +) +*234 (Wire +uid 3773,0 +shape (OrthoPolyLine +uid 3774,0 +va (VaSet +vasetType 3 +) +xt "94750,122000,109250,134000" +pts [ +"94750,134000" +"100000,134000" +"100000,122000" +"109250,122000" +] +) +start &135 +end &187 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3776,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,120600,109300,122000" +st "memWrY" +blo "103000,121800" +tm "WireNameMgr" +) +) +on &31 +) +*235 (Wire +uid 3777,0 +shape (OrthoPolyLine +uid 3778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,106000,109250,118000" +pts [ +"94750,106000" +"98000,106000" +"98000,118000" +"109250,118000" +] +) +start &129 +end &188 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3779,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3780,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,116600,109400,118000" +st "addrY" +blo "105000,117800" +tm "WireNameMgr" +) +) +on &30 +) +*236 (Wire +uid 3793,0 +shape (OrthoPolyLine +uid 3794,0 +va (VaSet +vasetType 3 +) +xt "72000,110000,98000,130000" +pts [ +"94750,130000" +"98000,130000" +"98000,124000" +"72000,124000" +"72000,110000" +"77250,110000" +] +) +start &140 +end &125 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3796,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,108600,77800,110000" +st "cntIncrY" +blo "72000,109800" +tm "WireNameMgr" +) +) +on &29 +) +*237 (Wire +uid 3797,0 +shape (OrthoPolyLine +uid 3798,0 +va (VaSet +vasetType 3 +) +xt "70000,136000,77250,136000" +pts [ +"77250,136000" +"70000,136000" +] +) +start &141 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3801,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3802,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,134600,78600,136000" +st "newPolynom" +blo "69000,135800" +tm "WireNameMgr" +) +) +on &14 +) +*238 (Wire +uid 3803,0 +shape (OrthoPolyLine +uid 3804,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,106000,77250,106000" +pts [ +"70000,106000" +"77250,106000" +] +) +end &130 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3808,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,104600,77900,106000" +st "patternSize" +blo "69000,105800" +tm "WireNameMgr" +) +) +on &21 +) +*239 (Wire +uid 3809,0 +shape (OrthoPolyLine +uid 3810,0 +va (VaSet +vasetType 3 +) +xt "70000,108000,77250,108000" +pts [ +"70000,108000" +"77250,108000" +] +) +end &128 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3813,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3814,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,106600,79800,108000" +st "updatePattern" +blo "69000,107800" +tm "WireNameMgr" +) +) +on &20 +) +*240 (Wire +uid 3815,0 +shape (OrthoPolyLine +uid 3816,0 +va (VaSet +vasetType 3 +) +xt "74000,140000,77250,140000" +pts [ +"74000,140000" +"77250,140000" +] +) +end &142 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,138600,77800,140000" +st "clock" +blo "74000,139800" +tm "WireNameMgr" +) +) +on &12 +) +*241 (Wire +uid 3866,0 +shape (OrthoPolyLine +uid 3867,0 +va (VaSet +vasetType 3 +) +xt "74000,114000,77250,114000" +pts [ +"74000,114000" +"77250,114000" +] +) +end &126 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3873,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,112600,77800,114000" +st "clock" +blo "74000,113800" +tm "WireNameMgr" +) +) +on &12 +) +*242 (Wire +uid 3874,0 +shape (OrthoPolyLine +uid 3875,0 +va (VaSet +vasetType 3 +) +xt "74000,116000,77250,116000" +pts [ +"74000,116000" +"77250,116000" +] +) +end &127 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3880,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3881,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,114600,78100,116000" +st "reset" +blo "74000,115800" +tm "WireNameMgr" +) +) +on &13 +) +*243 (Wire +uid 3882,0 +shape (OrthoPolyLine +uid 3883,0 +va (VaSet +vasetType 3 +) +xt "74000,142000,77250,142000" +pts [ +"74000,142000" +"77250,142000" +] +) +end &143 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3889,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,140600,78100,142000" +st "reset" +blo "74000,141800" +tm "WireNameMgr" +) +) +on &13 +) +*244 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "126750,116000,134000,116000" +pts [ +"126750,116000" +"134000,116000" +] +) +start &183 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "128750,114600,133250,116000" +st "memY" +blo "128750,115800" +tm "WireNameMgr" +) +) +on &33 +) +*245 (Wire +uid 4047,0 +shape (OrthoPolyLine +uid 4048,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "135000,4000,143000,4000" +pts [ +"135000,4000" +"143000,4000" +] +) +start &36 +end &34 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4051,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4052,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,2600,143600,4000" +st "testOut" +blo "138000,3800" +tm "WireNameMgr" +) +) +on &35 +) +*246 (Wire +uid 7907,0 +shape (OrthoPolyLine +uid 7908,0 +va (VaSet +vasetType 3 +) +xt "70000,132000,77250,132000" +pts [ +"70000,132000" +"77250,132000" +] +) +end &139 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7913,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7914,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,130600,74000,132000" +st "write" +blo "70000,131800" +tm "WireNameMgr" +) +) +on &57 +) +*247 (Wire +uid 7915,0 +shape (OrthoPolyLine +uid 7916,0 +va (VaSet +vasetType 3 +) +xt "70000,182000,77250,182000" +pts [ +"70000,182000" +"77250,182000" +] +) +end &162 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7921,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7922,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,180600,74000,182000" +st "write" +blo "70000,181800" +tm "WireNameMgr" +) +) +on &57 +) +*248 (Wire +uid 8150,0 +shape (OrthoPolyLine +uid 8151,0 +va (VaSet +vasetType 3 +) +xt "104000,44000,109000,44000" +pts [ +"104000,44000" +"109000,44000" +] +) +end &45 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8154,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8155,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,42600,111300,44000" +st "interpolateLin" +blo "101000,43800" +tm "WireNameMgr" +) +) +on &40 +) +*249 (Wire +uid 16718,0 +shape (OrthoPolyLine +uid 16719,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,44000,50000,72000" +pts [ +"29250,72000" +"26000,72000" +"26000,64000" +"50000,64000" +"50000,44000" +"46000,44000" +] +) +start &116 +end &47 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16722,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16723,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,42600,51700,44000" +st "addr" +blo "48000,43800" +tm "WireNameMgr" +) +) +on &51 +) +*250 (Wire +uid 17156,0 +optionalChildren [ +*251 (BdJunction +uid 18482,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18483,0 +va (VaSet +vasetType 1 +) +xt "61600,99600,62400,100400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17157,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,94000,109250,116000" +pts [ +"109250,116000" +"106000,116000" +"106000,100000" +"62000,100000" +"62000,94000" +] +) +start &182 +end &52 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17160,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17161,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,114600,110100,116000" +st "memDataIn" +blo "102000,115800" +tm "WireNameMgr" +) +) +on &56 +) +*252 (Wire +uid 17164,0 +shape (OrthoPolyLine +uid 17165,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,100000,109250,154000" +pts [ +"109250,154000" +"106000,154000" +"106000,150000" +"62000,150000" +"62000,100000" +] +) +start &171 +end &251 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17170,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17171,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,152600,109100,154000" +st "memDataIn" +blo "101000,153800" +tm "WireNameMgr" +) +) +on &56 +) +*253 (Wire +uid 17515,0 +shape (OrthoPolyLine +uid 17516,0 +va (VaSet +vasetType 3 +) +xt "46000,46000,54000,46000" +pts [ +"46000,46000" +"54000,46000" +] +) +start &47 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17521,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17522,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,44600,55000,46000" +st "write" +blo "51000,45800" +tm "WireNameMgr" +) +) +on &57 +) +*254 (Wire +uid 18258,0 +shape (OrthoPolyLine +uid 18259,0 +va (VaSet +vasetType 3 +) +xt "70000,76000,77250,76000" +pts [ +"70000,76000" +"77250,76000" +] +) +end &110 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18264,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18265,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,74600,74000,76000" +st "write" +blo "70000,75800" +tm "WireNameMgr" +) +) +on &57 +) +*255 (Wire +uid 19648,0 +shape (OrthoPolyLine +uid 19649,0 +va (VaSet +vasetType 3 +) +xt "22000,4000,30000,4000" +pts [ +"22000,4000" +"30000,4000" +] +) +start &63 +end &83 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19653,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,2600,27500,4000" +st "hClk" +blo "24000,3800" +tm "WireNameMgr" +) +) +on &64 +) +*256 (Wire +uid 19662,0 +shape (OrthoPolyLine +uid 19663,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,22000,30000,22000" +pts [ +"30000,22000" +"22000,22000" +] +) +start &58 +end &65 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19666,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19667,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,20600,27400,22000" +st "hRData" +blo "22000,21800" +tm "WireNameMgr" +) +) +on &66 +) +*257 (Wire +uid 19676,0 +shape (OrthoPolyLine +uid 19677,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,42000,30000,42000" +pts [ +"22000,42000" +"30000,42000" +] +) +start &67 +end &47 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19680,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19681,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,40600,26500,42000" +st "hAddr" +blo "22000,41800" +tm "WireNameMgr" +) +) +on &68 +) +*258 (Wire +uid 19690,0 +shape (OrthoPolyLine +uid 19691,0 +va (VaSet +vasetType 3 +) +xt "22000,6000,30000,6000" +pts [ +"22000,6000" +"30000,6000" +] +) +start &69 +end &83 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19695,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,4600,28800,6000" +st "hReset_n" +blo "22000,5800" +tm "WireNameMgr" +) +) +on &70 +) +*259 (Wire +uid 19704,0 +shape (OrthoPolyLine +uid 19705,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,44000,30000,44000" +pts [ +"22000,44000" +"30000,44000" +] +) +start &71 +end &47 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19709,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,42600,27900,44000" +st "hWData" +blo "22000,43800" +tm "WireNameMgr" +) +) +on &72 +) +*260 (Wire +uid 19718,0 +shape (OrthoPolyLine +uid 19719,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,46000,30000,46000" +pts [ +"22000,46000" +"30000,46000" +] +) +start &73 +end &47 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19722,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19723,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,44600,27100,46000" +st "hTrans" +blo "22000,45800" +tm "WireNameMgr" +) +) +on &74 +) +*261 (Wire +uid 19732,0 +shape (OrthoPolyLine +uid 19733,0 +va (VaSet +vasetType 3 +) +xt "22000,48000,30000,48000" +pts [ +"22000,48000" +"30000,48000" +] +) +start &75 +end &47 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19736,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19737,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,46600,27000,48000" +st "hWrite" +blo "22000,47800" +tm "WireNameMgr" +) +) +on &76 +) +*262 (Wire +uid 19746,0 +shape (OrthoPolyLine +uid 19747,0 +va (VaSet +vasetType 3 +) +xt "22000,50000,30000,50000" +pts [ +"22000,50000" +"30000,50000" +] +) +start &77 +end &47 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19751,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,48600,25500,50000" +st "hSel" +blo "22000,49800" +tm "WireNameMgr" +) +) +on &78 +) +*263 (Wire +uid 19760,0 +shape (OrthoPolyLine +uid 19761,0 +va (VaSet +vasetType 3 +) +xt "22000,24000,30000,24000" +pts [ +"30000,24000" +"22000,24000" +] +) +start &58 +end &79 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19764,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19765,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,22600,27500,24000" +st "hReady" +blo "22000,23800" +tm "WireNameMgr" +) +) +on &80 +) +*264 (Wire +uid 19774,0 +shape (OrthoPolyLine +uid 19775,0 +va (VaSet +vasetType 3 +) +xt "22000,26000,30000,26000" +pts [ +"30000,26000" +"22000,26000" +] +) +start &58 +end &81 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19779,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,24600,26700,26000" +st "hResp" +blo "22000,25800" +tm "WireNameMgr" +) +) +on &82 +) +*265 (Wire +uid 20275,0 +shape (OrthoPolyLine +uid 20276,0 +va (VaSet +vasetType 3 +) +xt "46000,6000,54000,6000" +pts [ +"46000,6000" +"54000,6000" +] +) +start &83 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 20281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20282,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,4600,52100,6000" +st "reset" +blo "48000,5800" +tm "WireNameMgr" +) +) +on &13 +) +*266 (Wire +uid 20283,0 +shape (OrthoPolyLine +uid 20284,0 +va (VaSet +vasetType 3 +) +xt "46000,4000,54000,4000" +pts [ +"46000,4000" +"54000,4000" +] +) +start &83 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 20289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20290,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,2600,51800,4000" +st "clock" +blo "48000,3800" +tm "WireNameMgr" +) +) +on &12 +) +*267 (Wire +uid 20547,0 +shape (OrthoPolyLine +uid 20548,0 +va (VaSet +vasetType 3 +) +xt "94750,42000,102000,42000" +pts [ +"94750,42000" +"102000,42000" +] +) +start &90 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 20551,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20552,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,40600,101300,42000" +st "run_int" +blo "96000,41800" +tm "WireNameMgr" +) +) +on &87 +) +*268 (Wire +uid 20557,0 +shape (OrthoPolyLine +uid 20558,0 +va (VaSet +vasetType 3 +) +xt "94750,44000,102000,44000" +pts [ +"94750,44000" +"102000,44000" +] +) +start &98 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 20561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20562,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,42600,108700,44000" +st "interpolateLin_int" +blo "96000,43800" +tm "WireNameMgr" +) +) +on &88 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *269 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*270 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*271 (MLText +uid 44,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*272 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*273 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*274 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*275 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*276 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*277 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*278 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-2784,-2784,374267,202077" +cachedDiagramExtent "-6900,0,167000,198000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "ipp://ippsion.hevs.ch/ipp/PREA309_HPLJ3005DN" +toPrinter 1 +colour 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 21727,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*279 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*280 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*281 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*282 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*283 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*284 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*285 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*286 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*287 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*288 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*289 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*290 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*292 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*293 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*294 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*295 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*296 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*297 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*298 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*299 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,99400,7000,100400" +st "Declarations" +blo "0,100200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,100300,3400,101300" +st "Ports:" +blo "0,101100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,116500,4800,117500" +st "Pre User:" +blo "0,117300" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,117400,23800,119400" +st "constant addressBitNb: positive := hAddr'length; +constant dataBitNb : positive := hWData'length;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,119200,9000,120200" +st "Diagram Signals:" +blo "0,120000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,99400,6000,100400" +st "Post User:" +blo "0,100200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,99400,0,99400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 92,0 +usingSuid 1 +emptyRow *300 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*301 (RefLabelRowHdr +) +*302 (TitleRowHdr +) +*303 (FilterRowHdr +) +*304 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*305 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*306 (GroupColHdr +tm "GroupColHdrMgr" +) +*307 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*308 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*309 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*310 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*311 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*312 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*313 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 18 +suid 2,0 +) +) +uid 10635,0 +) +*314 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 19 +suid 7,0 +) +) +uid 10645,0 +) +*315 (LeafLogPort +port (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 7 +suid 10,0 +) +) +uid 10651,0 +) +*316 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selControl" +t "std_ulogic" +o 32 +suid 33,0 +) +) +uid 10697,0 +) +*317 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 33 +suid 35,0 +) +) +uid 10701,0 +) +*318 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selX" +t "std_ulogic" +o 34 +suid 36,0 +) +) +uid 10703,0 +) +*319 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selY" +t "std_ulogic" +o 35 +suid 37,0 +) +) +uid 10705,0 +) +*320 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 4 +suid 38,0 +) +) +uid 10707,0 +) +*321 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 36 +suid 39,0 +) +) +uid 10709,0 +) +*322 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 31 +suid 40,0 +) +) +uid 10711,0 +) +*323 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 26 +suid 41,0 +) +) +uid 10713,0 +) +*324 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 5 +suid 42,0 +) +) +uid 10715,0 +) +*325 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 21 +suid 44,0 +) +) +uid 10719,0 +) +*326 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 23 +suid 45,0 +) +) +uid 10721,0 +) +*327 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrX" +t "std_ulogic" +o 29 +suid 46,0 +) +) +uid 10723,0 +) +*328 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnX" +t "std_ulogic" +o 27 +suid 47,0 +) +) +uid 10725,0 +) +*329 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 48,0 +) +) +uid 10727,0 +) +*330 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 24 +suid 49,0 +) +) +uid 10729,0 +) +*331 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 22 +suid 50,0 +) +) +uid 10731,0 +) +*332 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrY" +t "std_ulogic" +o 30 +suid 51,0 +) +) +uid 10733,0 +) +*333 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnY" +t "std_ulogic" +o 28 +suid 52,0 +) +) +uid 10735,0 +) +*334 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 53,0 +) +) +uid 10737,0 +) +*335 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 1 +suid 54,0 +) +) +uid 10739,0 +) +*336 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 6 +suid 70,0 +) +) +uid 10771,0 +) +*337 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 25 +suid 74,0 +) +) +uid 16726,0 +) +*338 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 20 +suid 75,0 +) +) +uid 16728,0 +) +*339 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 38 +suid 77,0 +) +) +uid 17172,0 +) +*340 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "write" +t "std_ulogic" +o 37 +suid 78,0 +) +) +uid 17556,0 +) +*341 (LeafLogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 8 +suid 79,0 +) +) +uid 19623,0 +) +*342 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 9 +suid 80,0 +) +) +uid 19625,0 +) +*343 (LeafLogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 10 +suid 81,0 +) +) +uid 19627,0 +) +*344 (LeafLogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 11 +suid 82,0 +) +) +uid 19629,0 +) +*345 (LeafLogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 12 +suid 83,0 +) +) +uid 19631,0 +) +*346 (LeafLogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 13 +suid 84,0 +) +) +uid 19633,0 +) +*347 (LeafLogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 14 +suid 85,0 +) +) +uid 19635,0 +) +*348 (LeafLogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 15 +suid 86,0 +) +) +uid 19637,0 +) +*349 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 16 +suid 87,0 +) +) +uid 19639,0 +) +*350 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 17 +suid 88,0 +) +) +uid 19641,0 +) +*351 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "run_int" +t "std_ulogic" +o 39 +suid 90,0 +) +) +uid 20565,0 +) +*352 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLin_int" +t "std_ulogic" +o 40 +suid 92,0 +) +) +uid 20567,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*353 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *354 (MRCItem +litem &300 +pos 40 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*355 (MRCItem +litem &301 +pos 0 +dimension 20 +uid 10790,0 +) +*356 (MRCItem +litem &302 +pos 1 +dimension 23 +uid 10791,0 +) +*357 (MRCItem +litem &303 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*358 (MRCItem +litem &313 +pos 17 +dimension 20 +uid 10636,0 +) +*359 (MRCItem +litem &314 +pos 18 +dimension 20 +uid 10646,0 +) +*360 (MRCItem +litem &315 +pos 10 +dimension 20 +uid 10652,0 +) +*361 (MRCItem +litem &316 +pos 20 +dimension 20 +uid 10698,0 +) +*362 (MRCItem +litem &317 +pos 21 +dimension 20 +uid 10702,0 +) +*363 (MRCItem +litem &318 +pos 22 +dimension 20 +uid 10704,0 +) +*364 (MRCItem +litem &319 +pos 23 +dimension 20 +uid 10706,0 +) +*365 (MRCItem +litem &320 +pos 4 +dimension 20 +uid 10708,0 +) +*366 (MRCItem +litem &321 +pos 24 +dimension 20 +uid 10710,0 +) +*367 (MRCItem +litem &322 +pos 25 +dimension 20 +uid 10712,0 +) +*368 (MRCItem +litem &323 +pos 19 +dimension 20 +uid 10714,0 +) +*369 (MRCItem +litem &324 +pos 5 +dimension 20 +uid 10716,0 +) +*370 (MRCItem +litem &325 +pos 26 +dimension 20 +uid 10720,0 +) +*371 (MRCItem +litem &326 +pos 27 +dimension 20 +uid 10722,0 +) +*372 (MRCItem +litem &327 +pos 28 +dimension 20 +uid 10724,0 +) +*373 (MRCItem +litem &328 +pos 29 +dimension 20 +uid 10726,0 +) +*374 (MRCItem +litem &329 +pos 6 +dimension 20 +uid 10728,0 +) +*375 (MRCItem +litem &330 +pos 30 +dimension 20 +uid 10730,0 +) +*376 (MRCItem +litem &331 +pos 31 +dimension 20 +uid 10732,0 +) +*377 (MRCItem +litem &332 +pos 32 +dimension 20 +uid 10734,0 +) +*378 (MRCItem +litem &333 +pos 33 +dimension 20 +uid 10736,0 +) +*379 (MRCItem +litem &334 +pos 7 +dimension 20 +uid 10738,0 +) +*380 (MRCItem +litem &335 +pos 3 +dimension 20 +uid 10740,0 +) +*381 (MRCItem +litem &336 +pos 8 +dimension 20 +uid 10772,0 +) +*382 (MRCItem +litem &337 +pos 34 +dimension 20 +uid 16727,0 +) +*383 (MRCItem +litem &338 +pos 35 +dimension 20 +uid 16729,0 +) +*384 (MRCItem +litem &339 +pos 36 +dimension 20 +uid 17173,0 +) +*385 (MRCItem +litem &340 +pos 37 +dimension 20 +uid 17557,0 +) +*386 (MRCItem +litem &341 +pos 1 +dimension 20 +uid 19622,0 +) +*387 (MRCItem +litem &342 +pos 2 +dimension 20 +uid 19624,0 +) +*388 (MRCItem +litem &343 +pos 0 +dimension 20 +uid 19626,0 +) +*389 (MRCItem +litem &344 +pos 9 +dimension 20 +uid 19628,0 +) +*390 (MRCItem +litem &345 +pos 11 +dimension 20 +uid 19630,0 +) +*391 (MRCItem +litem &346 +pos 12 +dimension 20 +uid 19632,0 +) +*392 (MRCItem +litem &347 +pos 13 +dimension 20 +uid 19634,0 +) +*393 (MRCItem +litem &348 +pos 14 +dimension 20 +uid 19636,0 +) +*394 (MRCItem +litem &349 +pos 15 +dimension 20 +uid 19638,0 +) +*395 (MRCItem +litem &350 +pos 16 +dimension 20 +uid 19640,0 +) +*396 (MRCItem +litem &351 +pos 38 +dimension 20 +uid 20566,0 +) +*397 (MRCItem +litem &352 +pos 39 +dimension 20 +uid 20568,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*398 (MRCItem +litem &304 +pos 0 +dimension 20 +uid 10794,0 +) +*399 (MRCItem +litem &306 +pos 1 +dimension 50 +uid 10795,0 +) +*400 (MRCItem +litem &307 +pos 2 +dimension 100 +uid 10796,0 +) +*401 (MRCItem +litem &308 +pos 3 +dimension 50 +uid 10797,0 +) +*402 (MRCItem +litem &309 +pos 4 +dimension 100 +uid 10798,0 +) +*403 (MRCItem +litem &310 +pos 5 +dimension 100 +uid 10799,0 +) +*404 (MRCItem +litem &311 +pos 6 +dimension 50 +uid 10800,0 +) +*405 (MRCItem +litem &312 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *406 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*407 (RefLabelRowHdr +) +*408 (TitleRowHdr +) +*409 (FilterRowHdr +) +*410 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*411 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*412 (GroupColHdr +tm "GroupColHdrMgr" +) +*413 (NameColHdr +tm "GenericNameColHdrMgr" +) +*414 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*415 (InitColHdr +tm "GenericValueColHdrMgr" +) +*416 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*417 (EolColHdr +tm "GenericEolColHdrMgr" +) +*418 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 12900,0 +) +*419 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 15331,0 +) +*420 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 16243,0 +) +*421 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +uid 17007,0 +) +] +) +pdm (PhysicalDM +uid 10815,0 +optionalChildren [ +*422 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *423 (MRCItem +litem &406 +pos 4 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*424 (MRCItem +litem &407 +pos 0 +dimension 20 +uid 10818,0 +) +*425 (MRCItem +litem &408 +pos 1 +dimension 23 +uid 10819,0 +) +*426 (MRCItem +litem &409 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*427 (MRCItem +litem &418 +pos 3 +dimension 20 +uid 12899,0 +) +*428 (MRCItem +litem &419 +pos 0 +dimension 20 +uid 15330,0 +) +*429 (MRCItem +litem &420 +pos 1 +dimension 20 +uid 16242,0 +) +*430 (MRCItem +litem &421 +pos 2 +dimension 20 +uid 17006,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*431 (MRCItem +litem &410 +pos 0 +dimension 20 +uid 10822,0 +) +*432 (MRCItem +litem &412 +pos 1 +dimension 50 +uid 10823,0 +) +*433 (MRCItem +litem &413 +pos 2 +dimension 100 +uid 10824,0 +) +*434 (MRCItem +litem &414 +pos 3 +dimension 100 +uid 10825,0 +) +*435 (MRCItem +litem &415 +pos 4 +dimension 50 +uid 10826,0 +) +*436 (MRCItem +litem &416 +pos 5 +dimension 50 +uid 10827,0 +) +*437 (MRCItem +litem &417 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/symbol.sb new file mode 100644 index 0000000..6efdcca --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/ahb@beamer@registers/symbol.sb @@ -0,0 +1,2501 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2028,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 9 +suid 11,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 10 +suid 2,0 +) +) +uid 209,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 1 +suid 12,0 +) +) +uid 214,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +uid 525,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 5 +suid 2015,0 +) +) +uid 527,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2016,0 +) +) +uid 529,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 2017,0 +) +) +uid 531,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +uid 533,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 11 +suid 2021,0 +) +) +uid 766,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 7 +suid 2022,0 +) +) +uid 840,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 12 +suid 2023,0 +) +) +uid 970,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 13 +suid 2024,0 +) +) +uid 972,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +uid 974,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 15 +suid 2026,0 +) +) +uid 976,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 16 +suid 2027,0 +) +) +uid 978,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 17 +suid 2028,0 +) +) +uid 980,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*31 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *32 (MRCItem +litem &1 +pos 17 +dimension 20 +) +uid 132,0 +optionalChildren [ +*33 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 135,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 137,0 +) +*35 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*36 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 162,0 +) +*37 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 163,0 +) +*38 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 165,0 +) +*39 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 170,0 +) +*40 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 524,0 +) +*41 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 526,0 +) +*42 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 528,0 +) +*43 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 530,0 +) +*44 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 532,0 +) +*45 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 767,0 +) +*46 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 839,0 +) +*47 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 971,0 +) +*48 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 973,0 +) +*49 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 975,0 +) +*50 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 977,0 +) +*51 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 979,0 +) +*52 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 981,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*53 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 141,0 +) +*54 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 145,0 +) +*55 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 147,0 +) +*56 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 149,0 +) +*57 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 151,0 +) +*58 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 153,0 +) +*59 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 155,0 +) +*60 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *61 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*62 (RefLabelRowHdr +) +*63 (TitleRowHdr +) +*64 (FilterRowHdr +) +*65 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*66 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*67 (GroupColHdr +tm "GroupColHdrMgr" +) +*68 (NameColHdr +tm "GenericNameColHdrMgr" +) +*69 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*70 (InitColHdr +tm "GenericValueColHdrMgr" +) +*71 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*72 (EolColHdr +tm "GenericEolColHdrMgr" +) +*73 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*74 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 651,0 +) +*75 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 736,0 +) +*76 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +uid 814,0 +) +] +) +pdm (PhysicalDM +uid 218,0 +optionalChildren [ +*77 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *78 (MRCItem +litem &61 +pos 4 +dimension 20 +) +uid 172,0 +optionalChildren [ +*79 (MRCItem +litem &62 +pos 0 +dimension 20 +uid 175,0 +) +*80 (MRCItem +litem &63 +pos 1 +dimension 23 +uid 177,0 +) +*81 (MRCItem +litem &64 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*82 (MRCItem +litem &73 +pos 3 +dimension 20 +uid 199,0 +) +*83 (MRCItem +litem &74 +pos 0 +dimension 20 +uid 652,0 +) +*84 (MRCItem +litem &75 +pos 1 +dimension 20 +uid 737,0 +) +*85 (MRCItem +litem &76 +pos 2 +dimension 20 +uid 815,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*86 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 181,0 +) +*87 (MRCItem +litem &67 +pos 1 +dimension 50 +uid 185,0 +) +*88 (MRCItem +litem &68 +pos 2 +dimension 100 +uid 187,0 +) +*89 (MRCItem +litem &69 +pos 3 +dimension 100 +uid 189,0 +) +*90 (MRCItem +litem &70 +pos 4 +dimension 50 +uid 191,0 +) +*91 (MRCItem +litem &71 +pos 5 +dimension 50 +uid 193,0 +) +*92 (MRCItem +litem &72 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@registers/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@registers/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@registers" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerRegisters" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamerRegisters" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamerRegisters" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahb@beamer@registers/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/ahbBeamerRegisters/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "ahbBeamerRegisters" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*93 (SymbolBody +uid 8,0 +optionalChildren [ +*94 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,25625,44000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "45000,25400,47000,26300" +st "hClk" +blo "45000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18100,21000,19000" +st "hClk : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*95 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,7625,44000,8375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "45000,7400,47500,8300" +st "hAddr" +blo "45000,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19900,34000,20800" +st "hAddr : IN unsigned (ahbAddressBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 10 +suid 2,0 +) +) +) +*96 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,17625,44000,18375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "45000,17400,48000,18300" +st "hRData" +blo "45000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19000,37000,19900" +st "hRData : OUT std_ulogic_vector (ahbDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 9 +suid 11,0 +) +) +) +*97 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51625,3250,52375,4000" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "50000,5000,53500,5900" +st "testOut" +blo "50000,5700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11800,34000,12700" +st "testOut : OUT std_ulogic_vector (1 TO testOutBitNb) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 1 +suid 12,0 +) +) +) +*98 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,7625,60750,8375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +font "courier,9,0" +) +xt "57500,7400,59000,8300" +st "run" +ju 2 +blo "59000,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14500,21000,15400" +st "run : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 4 +suid 2014,0 +) +) +) +*99 (CptPort +uid 539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,11625,60750,12375" +) +tg (CPTG +uid 541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 542,0 +va (VaSet +font "courier,9,0" +) +xt "52500,11400,59000,12300" +st "updatePeriod" +ju 2 +blo "59000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 543,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15400,35000,16300" +st "updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 5 +suid 2015,0 +) +) +) +*100 (CptPort +uid 544,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 545,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,15625,60750,16375" +) +tg (CPTG +uid 546,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 547,0 +va (VaSet +font "courier,9,0" +) +xt "57000,15400,59000,16300" +st "memX" +ju 2 +blo "59000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 548,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12700,36500,13600" +st "memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2016,0 +) +) +) +*101 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,17625,60750,18375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "courier,9,0" +) +xt "57000,17400,59000,18300" +st "memY" +ju 2 +blo "59000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13600,36500,14500" +st "memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 3 +suid 2017,0 +) +) +) +*102 (CptPort +uid 554,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 555,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,9625,60750,10375" +) +tg (CPTG +uid 556,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 557,0 +va (VaSet +font "courier,9,0" +) +xt "51500,9400,59000,10300" +st "interpolateLin" +ju 2 +blo "59000,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 558,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16300,21000,17200" +st "interpolateLin : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +) +*103 (CptPort +uid 761,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 762,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,27625,44000,28375" +) +tg (CPTG +uid 763,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 764,0 +va (VaSet +font "courier,9,0" +) +xt "45000,27400,49000,28300" +st "hReset_n" +blo "45000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 765,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20800,21000,21700" +st "hReset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 11 +suid 2021,0 +) +) +) +*104 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,13625,60750,14375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +font "courier,9,0" +) +xt "53500,13400,59000,14300" +st "newPolynom" +ju 2 +blo "59000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 845,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17200,21000,18100" +st "newPolynom : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 7 +suid 2022,0 +) +) +) +*105 (CptPort +uid 940,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1005,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,9625,44000,10375" +) +tg (CPTG +uid 942,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 943,0 +va (VaSet +font "courier,9,0" +) +xt "45000,9400,48000,10300" +st "hWData" +blo "45000,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 944,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21700,37000,22600" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 12 +suid 2023,0 +) +) +) +*106 (CptPort +uid 945,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,11625,44000,12375" +) +tg (CPTG +uid 947,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 948,0 +va (VaSet +font "courier,9,0" +) +xt "45000,11400,48000,12300" +st "hTrans" +blo "45000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 949,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22600,37500,23500" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 13 +suid 2024,0 +) +) +) +*107 (CptPort +uid 950,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 951,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,13625,44000,14375" +) +tg (CPTG +uid 952,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 953,0 +va (VaSet +font "courier,9,0" +) +xt "45000,13400,48000,14300" +st "hWrite" +blo "45000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 954,0 +va (VaSet +font "courier,8,0" +) +xt "2000,23500,21000,24400" +st "hWrite : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +) +*108 (CptPort +uid 955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 956,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,15625,44000,16375" +) +tg (CPTG +uid 957,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 958,0 +va (VaSet +font "courier,9,0" +) +xt "45000,15400,47000,16300" +st "hSel" +blo "45000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 959,0 +va (VaSet +font "courier,8,0" +) +xt "2000,24400,21000,25300" +st "hSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 15 +suid 2026,0 +) +) +) +*109 (CptPort +uid 960,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1006,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,19625,44000,20375" +) +tg (CPTG +uid 962,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 963,0 +va (VaSet +font "courier,9,0" +) +xt "45000,19400,48000,20300" +st "hReady" +blo "45000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 964,0 +va (VaSet +font "courier,8,0" +) +xt "2000,25300,21000,26200" +st "hReady : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 16 +suid 2027,0 +) +) +) +*110 (CptPort +uid 965,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 966,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,21625,44000,22375" +) +tg (CPTG +uid 967,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 968,0 +va (VaSet +font "courier,9,0" +) +xt "45000,21400,47500,22300" +st "hResp" +blo "45000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 969,0 +va (VaSet +font "courier,8,0" +) +xt "2000,26200,20000,27100" +st "hResp : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 17 +suid 2028,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,4000,60000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "44600,29800,51100,30700" +st "SystemOnChip" +blo "44600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "44600,30700,54100,31600" +st "ahbBeamerRegisters" +blo "44600,31400" +) +) +gi *111 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "44000,33600,61000,39000" +st "Generic Declarations + +updatePeriodBitNb positive 16 +signalBitNb positive 16 +patternAddressBitNb positive 8 +testOutBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +(GiElement +name "testOutBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*112 (Grouping +uid 16,0 +optionalChildren [ +*113 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*114 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*115 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*116 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*117 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*118 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*119 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*120 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*121 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*122 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*123 (CommentGraphic +uid 869,0 +shape (PolyLine2D +pts [ +"44000,32000" +"60000,32000" +] +uid 870,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "44000,32000,60000,32000" +) +oxt "36000,32000,52000,32000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *124 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*126 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "125,34,1429,884" +viewArea "-1100,-1100,74850,48460" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *127 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *128 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,6500,10900" +st "Declarations" +blo "0,10700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10900,3000,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,27100,2500,28000" +st "User:" +blo "0,27800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,10000,7500,10900" +st "Internal User:" +blo "0,10700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,28000,2000,28000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,10000,0,10000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1075,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/struct.bd new file mode 100644 index 0000000..31a74cd --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/struct.bd @@ -0,0 +1,4837 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Beamer" +duName "beamerPeriphOperator" +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 16094,0 +) +(Instance +name "I0" +duLibraryName "Beamer" +duName "beamerPeriphRegisters" +elements [ +(GiElement +name "pindex" +type "natural" +value "pindex" +) +(GiElement +name "paddr" +type "positive" +value "paddr" +) +(GiElement +name "pmask" +type "positive" +value "pmask" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +mwi 0 +uid 16456,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriph" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriph" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:01:30" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerPeriph" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriph\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:01:30" +) +(vvPair +variable "unit" +value "beamerPeriph" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,48000,89000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,48500,72200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,44000,93000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,44500,89200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,46000,89000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,46500,72200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,46000,72000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,46500,68200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,45000,109000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,45200,103300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,44000,109000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "93200,44500,93200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,44000,89000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "73350,44400,83650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,47000,72000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,47500,68200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,48000,72000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,48500,68200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,47000,89000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,47500,72200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,44000,109000,49000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "90500,625,92000,1375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "90000,1000,90500,1000" +pts [ +"90000,1000" +"90500,1000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "93000,300,96700,1700" +st "outX" +blo "93000,1500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29000,13300,30000" +st "outX : std_ulogic" +) +) +*14 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "90500,2625,92000,3375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "90000,3000,90500,3000" +pts [ +"90000,3000" +"90500,3000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "93000,2300,96600,3700" +st "outY" +blo "93000,3500" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31400,13300,32400" +st "outY : std_ulogic" +) +) +*16 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 90 +xt "90500,6625,92000,7375" +) +(Line +uid 5083,0 +sl 0 +ro 90 +xt "90000,7000,90500,7000" +pts [ +"90500,7000" +"90000,7000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "93000,6300,99900,7700" +st "selSinCos" +blo "93000,7500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33000,13800,34000" +st "selSinCos : std_ulogic" +) +) +*18 (PortIoIn +uid 13128,0 +shape (CompositeShape +uid 13129,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13130,0 +sl 0 +ro 270 +xt "16000,625,17500,1375" +) +(Line +uid 13131,0 +sl 0 +ro 270 +xt "17500,1000,18000,1000" +pts [ +"17500,1000" +"18000,1000" +] +) +] +) +tg (WTG +uid 13132,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13133,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11500,500,15000,1900" +st "apbi" +ju 2 +blo "15000,1700" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 13140,0 +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 2 +suid 71,0 +) +declText (MLText +uid 13141,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29800,15800,30800" +st "apbi : apb_slv_in_type" +) +) +*20 (PortIoOut +uid 13373,0 +shape (CompositeShape +uid 13374,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13375,0 +sl 0 +ro 90 +xt "16000,2625,17500,3375" +) +(Line +uid 13376,0 +sl 0 +ro 90 +xt "17500,3000,18000,3000" +pts [ +"18000,3000" +"17500,3000" +] +) +] +) +tg (WTG +uid 13377,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13378,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11000,2300,15000,3700" +st "apbo" +ju 2 +blo "15000,3500" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 13385,0 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 5 +suid 72,0 +) +declText (MLText +uid 13386,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,32200,16700,33200" +st "apbo : apb_slv_out_type" +) +) +*22 (PortIoIn +uid 13622,0 +shape (CompositeShape +uid 13623,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13624,0 +sl 0 +ro 270 +xt "8000,14625,9500,15375" +) +(Line +uid 13625,0 +sl 0 +ro 270 +xt "9500,15000,10000,15000" +pts [ +"9500,15000" +"10000,15000" +] +) +] +) +tg (WTG +uid 13626,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13627,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "1300,14500,7000,15900" +st "reset_n" +ju 2 +blo "7000,15700" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 13634,0 +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 73,0 +) +declText (MLText +uid 13635,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30600,13600,31600" +st "reset_n : std_ulogic" +) +) +*24 (Net +uid 14876,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 74,0 +) +declText (MLText +uid 14877,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33800,13200,34800" +st "clock : std_ulogic" +) +) +*25 (PortIoIn +uid 14884,0 +shape (CompositeShape +uid 14885,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14886,0 +sl 0 +ro 270 +xt "16000,10625,17500,11375" +) +(Line +uid 14887,0 +sl 0 +ro 270 +xt "17500,11000,18000,11000" +pts [ +"17500,11000" +"18000,11000" +] +) +] +) +tg (WTG +uid 14888,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14889,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11200,10300,15000,11700" +st "clock" +ju 2 +blo "15000,11500" +tm "WireNameMgr" +) +) +) +*26 (HdlText +uid 14916,0 +optionalChildren [ +*27 (EmbeddedText +uid 14921,0 +commentText (CommentText +uid 14922,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 14923,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "18000,14000,26000,16000" +) +oxt "0,0,18000,5000" +text (MLText +uid 14924,0 +va (VaSet +) +xt "18200,14200,26100,15400" +st " +reset <= not reset_n; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 8000 +) +) +) +] +shape (Rectangle +uid 14917,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "18000,13000,26000,17000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 14918,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 14919,0 +va (VaSet +) +xt "18400,17000,21000,18200" +st "eb1" +blo "18400,18000" +tm "HdlTextNameMgr" +) +*29 (Text +uid 14920,0 +va (VaSet +) +xt "18400,18000,19800,19200" +st "1" +blo "18400,19000" +tm "HdlTextNumberMgr" +) +] +) +) +*30 (Net +uid 14974,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 75,0 +) +declText (MLText +uid 14975,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,39800,16400,40800" +st "SIGNAL reset : std_ulogic" +) +) +*31 (Net +uid 15201,0 +decl (Decl +n "run" +t "std_ulogic" +o 10 +suid 76,0 +) +declText (MLText +uid 15202,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,40600,16300,41600" +st "SIGNAL run : std_ulogic" +) +) +*32 (Net +uid 15207,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 11 +suid 77,0 +) +declText (MLText +uid 15208,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,41400,17100,42400" +st "SIGNAL interpolateLin : std_ulogic" +) +) +*33 (Net +uid 15213,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 12 +suid 78,0 +) +declText (MLText +uid 15214,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,42200,31600,43200" +st "SIGNAL updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 15462,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 79,0 +) +declText (MLText +uid 15463,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,43000,31700,44000" +st "SIGNAL memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*35 (Net +uid 15468,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 14 +suid 80,0 +) +declText (MLText +uid 15469,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,43800,31700,44800" +st "SIGNAL memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*36 (Net +uid 15574,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 81,0 +) +declText (MLText +uid 15575,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,34600,25000,35600" +st "testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*37 (PortIoOut +uid 15582,0 +shape (CompositeShape +uid 15583,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15584,0 +sl 0 +ro 270 +xt "50500,-7375,52000,-6625" +) +(Line +uid 15585,0 +sl 0 +ro 270 +xt "50000,-7000,50500,-7000" +pts [ +"50000,-7000" +"50500,-7000" +] +) +] +) +tg (WTG +uid 15586,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15587,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "53000,-7700,73900,-6300" +st "testOut : (1 TO testOutBitNb)" +blo "53000,-6500" +tm "WireNameMgr" +) +) +) +*38 (HdlText +uid 16006,0 +optionalChildren [ +*39 (EmbeddedText +uid 16011,0 +commentText (CommentText +uid 16012,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 16013,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "50000,-20000,66000,-10000" +) +oxt "0,0,18000,5000" +text (MLText +uid 16014,0 +va (VaSet +) +xt "50200,-19800,65500,-10200" +st " +--process +--begin +-- newPolynom <= '0'; +-- for index in 1 to 2**4-1 loop +-- wait until rising_edge(clock); +-- end loop; +-- newPolynom <= '1'; +-- wait until rising_edge(clock); +--end process; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 16007,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "50000,-21000,66000,-9000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 16008,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 16009,0 +va (VaSet +) +xt "50400,-9000,53000,-7800" +st "eb2" +blo "50400,-8000" +tm "HdlTextNameMgr" +) +*41 (Text +uid 16010,0 +va (VaSet +) +xt "50400,-8000,51800,-6800" +st "2" +blo "50400,-7000" +tm "HdlTextNumberMgr" +) +] +) +) +*42 (SaComponent +uid 16094,0 +optionalChildren [ +*43 (CptPort +uid 16050,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16051,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,14625,66000,15375" +) +tg (CPTG +uid 16052,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16053,0 +va (VaSet +) +xt "67000,14400,70400,15600" +st "clock" +blo "67000,15400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*44 (CptPort +uid 16054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16055,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,625,66000,1375" +) +tg (CPTG +uid 16056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16057,0 +va (VaSet +) +xt "67000,400,69300,1600" +st "run" +blo "67000,1400" +) +) +thePort (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*45 (CptPort +uid 16058,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16059,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,625,82750,1375" +) +tg (CPTG +uid 16060,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16061,0 +va (VaSet +) +xt "78001,400,81001,1600" +st "outX" +ju 2 +blo "81001,1400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*46 (CptPort +uid 16062,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16063,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,16625,66000,17375" +) +tg (CPTG +uid 16064,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16065,0 +va (VaSet +) +xt "67000,16400,70300,17600" +st "reset" +blo "67000,17400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*47 (CptPort +uid 16066,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16067,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,2625,82750,3375" +) +tg (CPTG +uid 16068,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16069,0 +va (VaSet +) +xt "78001,2400,81001,3600" +st "outY" +ju 2 +blo "81001,3400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*48 (CptPort +uid 16070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16071,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82000,6625,82750,7375" +) +tg (CPTG +uid 16072,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16073,0 +va (VaSet +) +xt "75201,6400,81001,7600" +st "selSinCos" +ju 2 +blo "81001,7400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +) +*49 (CptPort +uid 16074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,2625,66000,3375" +) +tg (CPTG +uid 16076,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16077,0 +va (VaSet +) +xt "67000,2400,75300,3600" +st "interpolateLin" +blo "67000,3400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*50 (CptPort +uid 16078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,4625,66000,5375" +) +tg (CPTG +uid 16080,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16081,0 +va (VaSet +) +xt "67000,4400,75000,5600" +st "updatePeriod" +blo "67000,5400" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*51 (CptPort +uid 16082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,8625,66000,9375" +) +tg (CPTG +uid 16084,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16085,0 +va (VaSet +) +xt "67000,8400,70800,9600" +st "memX" +blo "67000,9400" +) +) +thePort (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +) +*52 (CptPort +uid 16086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,10625,66000,11375" +) +tg (CPTG +uid 16088,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16089,0 +va (VaSet +) +xt "67000,10400,70800,11600" +st "memY" +blo "67000,11400" +) +) +thePort (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +) +*53 (CptPort +uid 16090,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16091,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65250,6625,66000,7375" +) +tg (CPTG +uid 16092,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16093,0 +va (VaSet +) +xt "67000,6400,74600,7600" +st "newPolynom" +blo "67000,7400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 16095,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "66000,-3000,82000,19000" +) +oxt "42000,9000,58000,31000" +ttg (MlTextGroup +uid 16096,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 16097,0 +va (VaSet +font "Verdana,9,1" +) +xt "66600,18800,71000,20000" +st "Beamer" +blo "66600,19800" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 16098,0 +va (VaSet +font "Verdana,9,1" +) +xt "66600,20000,79800,21200" +st "beamerPeriphOperator" +blo "66600,21000" +tm "CptNameMgr" +) +*56 (Text +uid 16099,0 +va (VaSet +font "Verdana,9,1" +) +xt "66600,21200,68300,22400" +st "I1" +blo "66600,22200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16100,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16101,0 +text (MLText +uid 16102,0 +va (VaSet +font "Verdana,8,0" +) +xt "66000,22600,90400,24600" +st "updatePeriodBitNb = updatePeriodBitNb ( positive ) +signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*57 (Net +uid 16103,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 15 +suid 83,0 +) +declText (MLText +uid 16104,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,44600,18100,45600" +st "SIGNAL newPolynom : std_ulogic" +) +) +*58 (SaComponent +uid 16456,0 +optionalChildren [ +*59 (CptPort +uid 16412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,10625,34000,11375" +) +tg (CPTG +uid 16414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16415,0 +va (VaSet +) +xt "35000,10400,38400,11600" +st "clock" +blo "35000,11400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*60 (CptPort +uid 16416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,625,34000,1375" +) +tg (CPTG +uid 16418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16419,0 +va (VaSet +) +xt "35000,400,37800,1600" +st "apbi" +blo "35000,1400" +) +) +thePort (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 1 +suid 2,0 +) +) +) +*61 (CptPort +uid 16420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16421,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,2625,34000,3375" +) +tg (CPTG +uid 16422,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16423,0 +va (VaSet +) +xt "35000,2400,38100,3600" +st "apbo" +blo "35000,3400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 3 +suid 11,0 +) +) +) +*62 (CptPort +uid 16424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16425,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41625,-3750,42375,-3000" +) +tg (CPTG +uid 16426,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16427,0 +va (VaSet +) +xt "40000,-2000,44600,-800" +st "testOut" +blo "40000,-1000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 4 +suid 12,0 +) +) +) +*63 (CptPort +uid 16428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,625,50750,1375" +) +tg (CPTG +uid 16430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16431,0 +va (VaSet +) +xt "46700,400,49000,1600" +st "run" +ju 2 +blo "49000,1400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*64 (CptPort +uid 16432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,4625,50750,5375" +) +tg (CPTG +uid 16434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16435,0 +va (VaSet +) +xt "41000,4400,49000,5600" +st "updatePeriod" +ju 2 +blo "49000,5400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*65 (CptPort +uid 16436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,8625,50750,9375" +) +tg (CPTG +uid 16438,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16439,0 +va (VaSet +) +xt "45200,8400,49000,9600" +st "memX" +ju 2 +blo "49000,9400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 2016,0 +) +) +) +*66 (CptPort +uid 16440,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16441,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,10625,50750,11375" +) +tg (CPTG +uid 16442,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16443,0 +va (VaSet +) +xt "45200,10400,49000,11600" +st "memY" +ju 2 +blo "49000,11400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 2017,0 +) +) +) +*67 (CptPort +uid 16444,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16445,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,2625,50750,3375" +) +tg (CPTG +uid 16446,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16447,0 +va (VaSet +) +xt "40700,2400,49000,3600" +st "interpolateLin" +ju 2 +blo "49000,3400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 9 +suid 2018,0 +) +) +) +*68 (CptPort +uid 16448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16449,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,12625,34000,13375" +) +tg (CPTG +uid 16450,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16451,0 +va (VaSet +) +xt "35000,12400,38300,13600" +st "reset" +blo "35000,13400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 2021,0 +) +) +) +*69 (CptPort +uid 16452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16453,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,6625,50750,7375" +) +tg (CPTG +uid 16454,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16455,0 +va (VaSet +) +xt "41400,6400,49000,7600" +st "newPolynom" +ju 2 +blo "49000,7400" +) +) +thePort (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2022,0 +) +) +) +] +shape (Rectangle +uid 16457,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,-3000,50000,15000" +) +oxt "36000,12000,52000,30000" +ttg (MlTextGroup +uid 16458,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 16459,0 +va (VaSet +font "Verdana,9,1" +) +xt "34600,14800,39000,16000" +st "Beamer" +blo "34600,15800" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 16460,0 +va (VaSet +font "Verdana,9,1" +) +xt "34600,16000,48000,17200" +st "beamerPeriphRegisters" +blo "34600,17000" +tm "CptNameMgr" +) +*72 (Text +uid 16461,0 +va (VaSet +font "Verdana,9,1" +) +xt "34600,17200,36300,18400" +st "I0" +blo "34600,18200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16462,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16463,0 +text (MLText +uid 16464,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,18600,59800,25600" +st "pindex = pindex ( natural ) +paddr = paddr ( positive ) +pmask = pmask ( positive ) +updatePeriodBitNb = updatePeriodBitNb ( positive ) +signalBitNb = signalBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "pindex" +type "natural" +value "pindex" +) +(GiElement +name "paddr" +type "positive" +value "paddr" +) +(GiElement +name "pmask" +type "positive" +value "pmask" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*73 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "82750,1000,90000,1000" +pts [ +"82750,1000" +"90000,1000" +] +) +start &45 +end &12 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,-400,90700,1000" +st "outX" +blo "87000,800" +tm "WireNameMgr" +) +) +on &13 +) +*74 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "82750,3000,90000,3000" +pts [ +"82750,3000" +"90000,3000" +] +) +start &47 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,1600,90600,3000" +st "outY" +blo "87000,2800" +tm "WireNameMgr" +) +) +on &15 +) +*75 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "82750,7000,90000,7000" +pts [ +"90000,7000" +"82750,7000" +] +) +start &16 +end &48 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,5600,91900,7000" +st "selSinCos" +blo "85000,6800" +tm "WireNameMgr" +) +) +on &17 +) +*76 (Wire +uid 13134,0 +shape (OrthoPolyLine +uid 13135,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "18000,1000,33250,1000" +pts [ +"18000,1000" +"33250,1000" +] +) +start &18 +end &60 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13138,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13139,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,-400,21500,1000" +st "apbi" +blo "18000,800" +tm "WireNameMgr" +) +) +on &19 +) +*77 (Wire +uid 13379,0 +shape (OrthoPolyLine +uid 13380,0 +va (VaSet +vasetType 3 +) +xt "18000,3000,33250,3000" +pts [ +"33250,3000" +"18000,3000" +] +) +start &61 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13383,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13384,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,1600,22000,3000" +st "apbo" +blo "18000,2800" +tm "WireNameMgr" +) +) +on &21 +) +*78 (Wire +uid 13628,0 +shape (OrthoPolyLine +uid 13629,0 +va (VaSet +vasetType 3 +) +xt "10000,15000,18000,15000" +pts [ +"10000,15000" +"18000,15000" +] +) +start &22 +end &26 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13632,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13633,0 +va (VaSet +font "Verdana,12,0" +) +xt "10000,13600,15700,15000" +st "reset_n" +blo "10000,14800" +tm "WireNameMgr" +) +) +on &23 +) +*79 (Wire +uid 14878,0 +shape (OrthoPolyLine +uid 14879,0 +va (VaSet +vasetType 3 +) +xt "18000,11000,33250,11000" +pts [ +"33250,11000" +"18000,11000" +] +) +start &59 +end &25 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 14882,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14883,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,9600,21800,11000" +st "clock" +blo "18000,10800" +tm "WireNameMgr" +) +) +on &24 +) +*80 (Wire +uid 14976,0 +shape (OrthoPolyLine +uid 14977,0 +va (VaSet +vasetType 3 +) +xt "26000,13000,33250,15000" +pts [ +"33250,13000" +"30000,13000" +"30000,15000" +"26000,15000" +] +) +start &68 +end &26 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 14980,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14981,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,11600,33100,13000" +st "reset" +blo "29000,12800" +tm "WireNameMgr" +) +) +on &30 +) +*81 (Wire +uid 15203,0 +shape (OrthoPolyLine +uid 15204,0 +va (VaSet +vasetType 3 +) +xt "50750,1000,65250,1000" +pts [ +"50750,1000" +"65250,1000" +] +) +start &63 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15206,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,-400,55650,1000" +st "run" +blo "52750,800" +tm "WireNameMgr" +) +) +on &31 +) +*82 (Wire +uid 15209,0 +shape (OrthoPolyLine +uid 15210,0 +va (VaSet +vasetType 3 +) +xt "50750,3000,65250,3000" +pts [ +"50750,3000" +"65250,3000" +] +) +start &67 +end &49 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15212,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,1600,63050,3000" +st "interpolateLin" +blo "52750,2800" +tm "WireNameMgr" +) +) +on &32 +) +*83 (Wire +uid 15215,0 +shape (OrthoPolyLine +uid 15216,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50750,5000,65250,5000" +pts [ +"50750,5000" +"65250,5000" +] +) +start &64 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15218,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,3600,62850,5000" +st "updatePeriod" +blo "52750,4800" +tm "WireNameMgr" +) +) +on &33 +) +*84 (Wire +uid 15464,0 +shape (OrthoPolyLine +uid 15465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50750,9000,65250,9000" +pts [ +"50750,9000" +"65250,9000" +] +) +start &65 +end &51 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15467,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,7600,57350,9000" +st "memX" +blo "52750,8800" +tm "WireNameMgr" +) +) +on &34 +) +*85 (Wire +uid 15470,0 +shape (OrthoPolyLine +uid 15471,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50750,11000,65250,11000" +pts [ +"50750,11000" +"65250,11000" +] +) +start &66 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15472,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15473,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,9600,57250,11000" +st "memY" +blo "52750,10800" +tm "WireNameMgr" +) +) +on &35 +) +*86 (Wire +uid 15527,0 +shape (OrthoPolyLine +uid 15528,0 +va (VaSet +vasetType 3 +) +xt "62000,15000,65250,15000" +pts [ +"65250,15000" +"62000,15000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15534,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,13600,64800,15000" +st "clock" +blo "61000,14800" +tm "WireNameMgr" +) +) +on &24 +) +*87 (Wire +uid 15535,0 +shape (OrthoPolyLine +uid 15536,0 +va (VaSet +vasetType 3 +) +xt "62000,17000,65250,17000" +pts [ +"65250,17000" +"62000,17000" +] +) +start &46 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15541,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15542,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,15600,65100,17000" +st "reset" +blo "61000,16800" +tm "WireNameMgr" +) +) +on &30 +) +*88 (Wire +uid 15576,0 +shape (OrthoPolyLine +uid 15577,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,-7000,50000,-3750" +pts [ +"42000,-3750" +"42000,-7000" +"50000,-7000" +] +) +start &62 +end &37 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15581,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-8400,50600,-7000" +st "testOut" +blo "45000,-7200" +tm "WireNameMgr" +) +) +on &36 +) +*89 (Wire +uid 16105,0 +shape (OrthoPolyLine +uid 16106,0 +va (VaSet +vasetType 3 +) +xt "50750,7000,65250,7000" +pts [ +"50750,7000" +"65250,7000" +] +) +start &69 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16107,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16108,0 +va (VaSet +font "Verdana,12,0" +) +xt "52750,5600,62350,7000" +st "newPolynom" +blo "52750,6800" +tm "WireNameMgr" +) +) +on &57 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-25000,6900,-24000" +st "Package List" +blo "0,-24200" +) +*92 (MLText +uid 44,0 +va (VaSet +) +xt "0,-24000,17500,-20400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*94 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*95 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*96 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*97 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*98 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*99 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1605,-26645,141185,50936" +cachedDiagramExtent "0,-25000,109000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "HP LaserJet P3005 PCL 6 (A303),winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-25000" +lastUid 16557,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*101 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*102 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*104 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*105 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*107 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*108 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*110 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*111 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*113 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*114 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*116 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*117 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*118 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*120 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,27000,7000,28000" +st "Declarations" +blo "0,27800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,28000,3400,29000" +st "Ports:" +blo "0,28800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,35400,4800,36400" +st "Pre User:" +blo "0,36200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,36400,23000,39400" +st "constant signalBitNb: positive := 16; +constant updatePeriodBitNb : positive := 16; +constant patternAddressBitNb : positive := 10;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,38800,9000,39800" +st "Diagram Signals:" +blo "0,39600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,27000,6000,28000" +st "Post User:" +blo "0,27800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,27000,0,27000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 83,0 +usingSuid 1 +emptyRow *121 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*122 (RefLabelRowHdr +) +*123 (TitleRowHdr +) +*124 (FilterRowHdr +) +*125 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*126 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*127 (GroupColHdr +tm "GroupColHdrMgr" +) +*128 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*129 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*130 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*131 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*132 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*133 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 10639,0 +) +*135 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 10641,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +) +uid 10755,0 +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 2 +suid 71,0 +) +) +uid 13127,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 5 +suid 72,0 +) +) +uid 13372,0 +) +*139 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 73,0 +) +) +uid 13621,0 +) +*140 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 74,0 +) +) +uid 14914,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 75,0 +) +) +uid 14982,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "run" +t "std_ulogic" +o 10 +suid 76,0 +) +) +uid 15219,0 +) +*143 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 11 +suid 77,0 +) +) +uid 15221,0 +) +*144 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 12 +suid 78,0 +) +) +uid 15223,0 +) +*145 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 79,0 +) +) +uid 15474,0 +) +*146 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 14 +suid 80,0 +) +) +uid 15476,0 +) +*147 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 81,0 +) +) +uid 15588,0 +) +*148 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 15 +suid 83,0 +) +) +uid 16109,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *150 (MRCItem +litem &121 +pos 15 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*151 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 10790,0 +) +*152 (MRCItem +litem &123 +pos 1 +dimension 23 +uid 10791,0 +) +*153 (MRCItem +litem &124 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*154 (MRCItem +litem &134 +pos 1 +dimension 20 +uid 10640,0 +) +*155 (MRCItem +litem &135 +pos 2 +dimension 20 +uid 10642,0 +) +*156 (MRCItem +litem &136 +pos 5 +dimension 20 +uid 10756,0 +) +*157 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 13126,0 +) +*158 (MRCItem +litem &138 +pos 4 +dimension 20 +uid 13371,0 +) +*159 (MRCItem +litem &139 +pos 3 +dimension 20 +uid 13620,0 +) +*160 (MRCItem +litem &140 +pos 6 +dimension 20 +uid 14915,0 +) +*161 (MRCItem +litem &141 +pos 8 +dimension 20 +uid 14983,0 +) +*162 (MRCItem +litem &142 +pos 9 +dimension 20 +uid 15220,0 +) +*163 (MRCItem +litem &143 +pos 10 +dimension 20 +uid 15222,0 +) +*164 (MRCItem +litem &144 +pos 11 +dimension 20 +uid 15224,0 +) +*165 (MRCItem +litem &145 +pos 12 +dimension 20 +uid 15475,0 +) +*166 (MRCItem +litem &146 +pos 13 +dimension 20 +uid 15477,0 +) +*167 (MRCItem +litem &147 +pos 7 +dimension 20 +uid 15589,0 +) +*168 (MRCItem +litem &148 +pos 14 +dimension 20 +uid 16110,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*169 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 10794,0 +) +*170 (MRCItem +litem &127 +pos 1 +dimension 50 +uid 10795,0 +) +*171 (MRCItem +litem &128 +pos 2 +dimension 100 +uid 10796,0 +) +*172 (MRCItem +litem &129 +pos 3 +dimension 50 +uid 10797,0 +) +*173 (MRCItem +litem &130 +pos 4 +dimension 100 +uid 10798,0 +) +*174 (MRCItem +litem &131 +pos 5 +dimension 100 +uid 10799,0 +) +*175 (MRCItem +litem &132 +pos 6 +dimension 50 +uid 10800,0 +) +*176 (MRCItem +litem &133 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *177 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*178 (RefLabelRowHdr +) +*179 (TitleRowHdr +) +*180 (FilterRowHdr +) +*181 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*182 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*183 (GroupColHdr +tm "GroupColHdrMgr" +) +*184 (NameColHdr +tm "GenericNameColHdrMgr" +) +*185 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*186 (InitColHdr +tm "GenericValueColHdrMgr" +) +*187 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*188 (EolColHdr +tm "GenericEolColHdrMgr" +) +*189 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 12900,0 +) +*190 (LogGeneric +generic (GiElement +name "pindex" +type "natural" +value "7" +) +uid 13874,0 +) +*191 (LogGeneric +generic (GiElement +name "paddr" +type "positive" +value "16#003#" +) +uid 14113,0 +) +*192 (LogGeneric +generic (GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +uid 14352,0 +) +] +) +pdm (PhysicalDM +uid 10815,0 +optionalChildren [ +*193 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *194 (MRCItem +litem &177 +pos 4 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*195 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 10818,0 +) +*196 (MRCItem +litem &179 +pos 1 +dimension 23 +uid 10819,0 +) +*197 (MRCItem +litem &180 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*198 (MRCItem +litem &189 +pos 3 +dimension 20 +uid 12899,0 +) +*199 (MRCItem +litem &190 +pos 0 +dimension 20 +uid 13873,0 +) +*200 (MRCItem +litem &191 +pos 1 +dimension 20 +uid 14112,0 +) +*201 (MRCItem +litem &192 +pos 2 +dimension 20 +uid 14351,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*202 (MRCItem +litem &181 +pos 0 +dimension 20 +uid 10822,0 +) +*203 (MRCItem +litem &183 +pos 1 +dimension 50 +uid 10823,0 +) +*204 (MRCItem +litem &184 +pos 2 +dimension 100 +uid 10824,0 +) +*205 (MRCItem +litem &185 +pos 3 +dimension 100 +uid 10825,0 +) +*206 (MRCItem +litem &186 +pos 4 +dimension 50 +uid 10826,0 +) +*207 (MRCItem +litem &187 +pos 5 +dimension 50 +uid 10827,0 +) +*208 (MRCItem +litem &188 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/symbol.sb new file mode 100644 index 0000000..512ede2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph/symbol.sb @@ -0,0 +1,1909 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "grlib" +unitName "amba" +) +] +libraryRefs [ +"ieee" +"grlib" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2014,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 203,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +uid 204,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 5 +suid 11,0 +) +) +uid 207,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 2 +suid 2,0 +) +) +uid 209,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 210,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 211,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 2014,0 +) +) +uid 548,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 132,0 +optionalChildren [ +*24 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 135,0 +) +*25 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 137,0 +) +*26 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*27 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 159,0 +) +*28 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 160,0 +) +*29 (MRCItem +litem &4 +pos 6 +dimension 20 +uid 162,0 +) +*30 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 163,0 +) +*31 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 165,0 +) +*32 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 166,0 +) +*33 (MRCItem +litem &8 +pos 3 +dimension 20 +uid 167,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 547,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*35 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 141,0 +) +*36 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 145,0 +) +*37 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 147,0 +) +*38 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 149,0 +) +*39 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 151,0 +) +*40 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 153,0 +) +*41 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 155,0 +) +*42 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "pindex" +type "natural" +value "7" +) +uid 196,0 +) +*56 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*57 (LogGeneric +generic (GiElement +name "paddr" +type "positive" +value "16#003#" +) +uid 474,0 +) +*58 (LogGeneric +generic (GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +uid 476,0 +) +] +) +pdm (PhysicalDM +uid 218,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *60 (MRCItem +litem &43 +pos 4 +dimension 20 +) +uid 172,0 +optionalChildren [ +*61 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 175,0 +) +*62 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 177,0 +) +*63 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*64 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 198,0 +) +*65 (MRCItem +litem &56 +pos 3 +dimension 20 +uid 199,0 +) +*66 (MRCItem +litem &57 +pos 1 +dimension 20 +uid 475,0 +) +*67 (MRCItem +litem &58 +pos 2 +dimension 20 +uid 477,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*68 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 181,0 +) +*69 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 185,0 +) +*70 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 187,0 +) +*71 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 189,0 +) +*72 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 191,0 +) +*73 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 193,0 +) +*74 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriph" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriph" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerPeriph" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriph/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "beamerPeriph" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,25625,36000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "37000,25400,39500,26300" +st "clock" +blo "37000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,18500,15700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*77 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "37000,17400,39000,18300" +st "apbi" +blo "37000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,21000,11200" +st "apbi : IN apb_slv_in_type ;" +) +thePort (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "49001,17400,51001,18300" +st "outX" +ju 2 +blo "51001,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,18500,10300" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*79 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,27625,36000,28375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "37000,27400,40500,28300" +st "reset_n" +blo "37000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,18500,12100" +st "reset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*80 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,19625,52750,20375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "49001,19400,51001,20300" +st "outY" +ju 2 +blo "51001,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,18500,13000" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*81 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,19625,36000,20375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "37000,19400,39000,20300" +st "apbo" +blo "37000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,21500,13900" +st "apbo : OUT apb_slv_out_type ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 5 +suid 11,0 +) +) +) +*82 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46501,23400,51001,24300" +st "selSinCos" +ju 2 +blo "51001,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,18500,14800" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +) +*83 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,25625,52750,26375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "courier,9,0" +) +xt "47500,25400,51000,26300" +st "testOut" +ju 2 +blo "51000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,30500,16600" +st "testOut : OUT std_ulogic_vector (1 TO testOutBitNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 8 +suid 2014,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "32768,65280,32768" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,14000,52000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "36600,29800,43100,30700" +st "SystemOnChip" +blo "36600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "36600,30700,43100,31600" +st "beamerPeriph" +blo "36600,31400" +) +) +gi *84 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,33600,52000,39000" +st "Generic Declarations + +pindex natural 7 +paddr positive 16#003# +pmask positive 16#FFF# +testOutBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "pindex" +type "natural" +value "7" +) +(GiElement +name "paddr" +type "positive" +value "16#003#" +) +(GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +(GiElement +name "testOutBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*85 (Grouping +uid 16,0 +optionalChildren [ +*86 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*91 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *96 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*98 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY grlib; + USE grlib.amba.all;" +tm "PackageList" +) +] +) +windowSize "56,33,1329,883" +viewArea "-1047,-1047,75623,50454" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *99 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *100 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7600,6500,8500" +st "Declarations" +blo "0,8300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8500,3000,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16600,2500,17500" +st "User:" +blo "0,17300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7600,7500,8500" +st "Internal User:" +blo "0,8300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,2000,17500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7600,0,7600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 691,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/struct.bd new file mode 100644 index 0000000..ec74219 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/struct.bd @@ -0,0 +1,25722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I0" +duLibraryName "Curves" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 472,0 +) +(Instance +name "I10" +duLibraryName "Curves" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 513,0 +) +(Instance +name "I14" +duLibraryName "Curves" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 725,0 +) +(Instance +name "I1" +duLibraryName "Curves" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 742,0 +) +(Instance +name "I3" +duLibraryName "Curves" +duName "periphSpeedReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1816,0 +) +(Instance +name "I5" +duLibraryName "Curves" +duName "periphSizeReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1853,0 +) +(Instance +name "I6" +duLibraryName "Curves" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 1919,0 +) +(Instance +name "I18" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 3378,0 +) +(Instance +name "I15" +duLibraryName "Curves" +duName "periphSpeedController" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3623,0 +) +(Instance +name "I20" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 3681,0 +) +(Instance +name "I22" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 4245,0 +) +(Instance +name "I23" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 4300,0 +) +(Instance +name "I24" +duLibraryName "Curves" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 4923,0 +) +(Instance +name "I25" +duLibraryName "Curves" +duName "sinCosTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 5956,0 +) +(Instance +name "I26" +duLibraryName "sequential" +duName "registerULogicVector" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 7019,0 +) +(Instance +name "I27" +duLibraryName "sequential" +duName "registerUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 7075,0 +) +(Instance +name "I28" +duLibraryName "Curves" +duName "periphWritePulse" +elements [ +] +mwi 0 +uid 7695,0 +) +(Instance +name "I29" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 7770,0 +) +(Instance +name "I4" +duLibraryName "Curves" +duName "periphControlReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8139,0 +) +(Instance +name "I8" +duLibraryName "Curves" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 8192,0 +) +(Instance +name "I12" +duLibraryName "Curves" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 8237,0 +) +(Instance +name "I21" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8656,0 +) +(Instance +name "I16" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 8693,0 +) +(Instance +name "I11" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 9102,0 +) +(Instance +name "I7" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 9143,0 +) +(Instance +name "I13" +duLibraryName "Curves" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 9190,0 +) +(Instance +name "I9" +duLibraryName "Curves" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 9235,0 +) +(Instance +name "I30" +duLibraryName "Curves" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +mwi 0 +uid 10416,0 +) +(Instance +name "I32" +duLibraryName "Curves" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 10494,0 +) +(Instance +name "I2" +duLibraryName "Curves" +duName "periphAddressDecoder" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 10651,0 +) +(Instance +name "I19" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11389,0 +) +(Instance +name "I17" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11434,0 +) +(Instance +name "I31" +duLibraryName "Curves" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11479,0 +) +(Instance +name "I33" +duLibraryName "Curves" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11488,0 +) +(Instance +name "I34" +duLibraryName "Curves" +duName "dacInterface" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11858,0 +) +(Instance +name "I35" +duLibraryName "Curves" +duName "dacInterface" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11943,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +(EmbeddedInstance +name "eb7" +number "7" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@blanking\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@blanking\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@blanking" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphBlanking" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphBlanking" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:01:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerPeriphBlanking" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@blanking\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphBlanking\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:01:52" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,197000,274000,198000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,197500,257200,197500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,193000,278000,194000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,193500,274200,193500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,195000,274000,196000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,195500,257200,195500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,195000,257000,196000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,195500,253200,195500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,194000,294000,198000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,194200,288300,195400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "278000,193000,294000,194000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "278200,193500,278200,193500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,193000,274000,195000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "258350,193400,268650,194600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,196000,257000,197000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,196500,253200,196500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,197000,257000,198000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,197500,253200,197500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,196000,274000,197000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,196500,257200,196500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "253000,193000,294000,198000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 54,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 55,0 +sl 0 +ro 270 +xt "1000,31625,2500,32375" +) +(Line +uid 56,0 +sl 0 +ro 270 +xt "2500,32000,3000,32000" +pts [ +"2500,32000" +"3000,32000" +] +) +] +) +tg (WTG +uid 57,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 58,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-24700,31300,0,32700" +st "addr : (addressBitNb-1 DOWNTO 0)" +ju 2 +blo "0,32500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 65,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,3100,238600,4100" +st "addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*14 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 68,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 69,0 +sl 0 +ro 270 +xt "69000,23625,70500,24375" +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "70500,24000,71000,24000" +pts [ +"70500,24000" +"71000,24000" +] +) +] +) +tg (WTG +uid 71,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "64200,23300,68000,24700" +st "clock" +ju 2 +blo "68000,24500" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,4000,226700,5000" +st "clock : std_ulogic" +) +) +*16 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 82,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 83,0 +sl 0 +ro 270 +xt "17000,59625,18500,60375" +) +(Line +uid 84,0 +sl 0 +ro 270 +xt "18500,60000,19000,60000" +pts [ +"18500,60000" +"19000,60000" +] +) +] +) +tg (WTG +uid 85,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 86,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13900,59300,16000,60700" +st "cs" +ju 2 +blo "16000,60500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 93,0 +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,9400,226400,10400" +st "cs : std_ulogic" +) +) +*18 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "289500,153625,291000,154375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "289000,154000,289500,154000" +pts [ +"289000,154000" +"289500,154000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,153300,295700,154700" +st "outX" +blo "292000,154500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,2200,226800,3200" +st "outX : std_ulogic" +) +) +*20 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "289500,116625,291000,117375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "289000,117000,289500,117000" +pts [ +"289000,117000" +"289500,117000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,116300,295600,117700" +st "outY" +blo "292000,117500" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,5800,226800,6800" +st "outY : std_ulogic" +) +) +*22 (PortIoIn +uid 137,0 +shape (CompositeShape +uid 138,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 139,0 +sl 0 +ro 270 +xt "17000,95625,18500,96375" +) +(Line +uid 140,0 +sl 0 +ro 270 +xt "18500,96000,19000,96000" +pts [ +"18500,96000" +"19000,96000" +] +) +] +) +tg (WTG +uid 141,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13900,95300,16000,96700" +st "rd" +ju 2 +blo "16000,96500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 149,0 +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 6,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,7600,226400,8600" +st "rd : std_ulogic" +) +) +*24 (PortIoIn +uid 151,0 +shape (CompositeShape +uid 152,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 153,0 +sl 0 +ro 270 +xt "69000,25625,70500,26375" +) +(Line +uid 154,0 +sl 0 +ro 270 +xt "70500,26000,71000,26000" +pts [ +"70500,26000" +"71000,26000" +] +) +] +) +tg (WTG +uid 155,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 156,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "63900,25300,68000,26700" +st "reset" +ju 2 +blo "68000,26500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,4900,226700,5900" +st "reset : std_ulogic" +) +) +*26 (PortIoIn +uid 165,0 +shape (CompositeShape +uid 166,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 167,0 +sl 0 +ro 270 +xt "17000,57625,18500,58375" +) +(Line +uid 168,0 +sl 0 +ro 270 +xt "18500,58000,19000,58000" +pts [ +"18500,58000" +"19000,58000" +] +) +] +) +tg (WTG +uid 169,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 170,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "12700,57300,16000,58700" +st "wrH" +ju 2 +blo "16000,58500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 177,0 +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 178,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,8500,226900,9500" +st "wrH : std_ulogic" +) +) +*28 (PortIoIn +uid 179,0 +shape (CompositeShape +uid 180,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 181,0 +sl 0 +ro 270 +xt "17000,79625,18500,80375" +) +(Line +uid 182,0 +sl 0 +ro 270 +xt "18500,80000,19000,80000" +pts [ +"18500,80000" +"19000,80000" +] +) +] +) +tg (WTG +uid 183,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 184,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "12900,79300,16000,80700" +st "wrL" +ju 2 +blo "16000,80500" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 191,0 +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 9,0 +) +declText (MLText +uid 192,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,10300,226700,11300" +st "wrL : std_ulogic" +) +) +*30 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 52 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,56200,231600,57200" +st "SIGNAL newPolynom : std_ulogic" +) +) +*31 (SaComponent +uid 472,0 +optionalChildren [ +*32 (CptPort +uid 456,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 457,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,157625,265000,158375" +) +tg (CPTG +uid 458,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 459,0 +va (VaSet +) +xt "266000,157400,269400,158600" +st "clock" +blo "266000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*33 (CptPort +uid 460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 461,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,153625,265000,154375" +) +tg (CPTG +uid 462,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 463,0 +va (VaSet +) +xt "266000,153400,272200,154600" +st "parallelIn" +blo "266000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*34 (CptPort +uid 464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,153625,281750,154375" +) +tg (CPTG +uid 466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 467,0 +va (VaSet +) +xt "274601,153400,280001,154600" +st "serialOut" +ju 2 +blo "280001,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +) +) +) +*35 (CptPort +uid 468,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 469,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,159625,265000,160375" +) +tg (CPTG +uid 470,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 471,0 +va (VaSet +) +xt "266000,159400,269300,160600" +st "reset" +blo "266000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 473,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,150000,281000,162000" +) +oxt "114000,86000,130000,98000" +ttg (MlTextGroup +uid 474,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 475,0 +va (VaSet +) +xt "265600,161800,269900,163000" +st "Curves" +blo "265600,162800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 476,0 +va (VaSet +) +xt "265600,162800,268700,164000" +st "DAC" +blo "265600,163800" +tm "CptNameMgr" +) +*38 (Text +uid 477,0 +va (VaSet +) +xt "265600,163800,267500,165000" +st "I0" +blo "265600,164800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 478,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 479,0 +text (MLText +uid 480,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,165600,283100,166600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 513,0 +optionalChildren [ +*40 (CptPort +uid 522,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 523,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,153625,257750,154375" +) +tg (CPTG +uid 524,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 525,0 +va (VaSet +) +xt "248200,153400,256000,154600" +st "unsignedOut" +ju 2 +blo "256000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*41 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,153625,241000,154375" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 529,0 +va (VaSet +) +xt "242000,153400,247100,154600" +st "signedIn" +blo "242000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Rectangle +uid 514,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,150000,257000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 515,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 516,0 +va (VaSet +) +xt "241600,158800,245900,160000" +st "Curves" +blo "241600,159800" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 517,0 +va (VaSet +) +xt "241600,159800,251800,161000" +st "offsetToUnsigned" +blo "241600,160800" +tm "CptNameMgr" +) +*44 (Text +uid 518,0 +va (VaSet +) +xt "241600,160800,244200,162000" +st "I10" +blo "241600,161800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 519,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 520,0 +text (MLText +uid 521,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,161800,256300,162800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*45 (Net +uid 542,0 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 56 +suid 11,0 +) +declText (MLText +uid 543,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,59800,240700,60800" +st "SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*46 (Net +uid 544,0 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 79 +suid 12,0 +) +declText (MLText +uid 545,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,80500,241800,81500" +st "SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*47 (Net +uid 546,0 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 68 +suid 13,0 +) +declText (MLText +uid 547,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,70600,240800,71600" +st "SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*48 (Net +uid 548,0 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 57 +suid 14,0 +) +declText (MLText +uid 549,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,60700,240900,61700" +st "SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*49 (Net +uid 550,0 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 58 +suid 15,0 +) +declText (MLText +uid 551,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,61600,240900,62600" +st "SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*50 (Net +uid 552,0 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 59 +suid 16,0 +) +declText (MLText +uid 553,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,62500,240900,63500" +st "SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*51 (Net +uid 554,0 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 60 +suid 17,0 +) +declText (MLText +uid 555,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,63400,240900,64400" +st "SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*52 (Net +uid 556,0 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 21 +suid 18,0 +) +declText (MLText +uid 557,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,28300,239500,29300" +st "SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*53 (Net +uid 558,0 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 27 +suid 19,0 +) +declText (MLText +uid 559,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,33700,239500,34700" +st "SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*54 (Net +uid 560,0 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 29 +suid 20,0 +) +declText (MLText +uid 561,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,35500,239400,36500" +st "SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*55 (Net +uid 562,0 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 35 +suid 21,0 +) +declText (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,40900,239500,41900" +st "SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*56 (SaComponent +uid 725,0 +optionalChildren [ +*57 (CptPort +uid 734,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 735,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,116625,257750,117375" +) +tg (CPTG +uid 736,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 737,0 +va (VaSet +) +xt "248200,116400,256000,117600" +st "unsignedOut" +ju 2 +blo "256000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*58 (CptPort +uid 738,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,116625,241000,117375" +) +tg (CPTG +uid 740,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 741,0 +va (VaSet +) +xt "242000,116400,247100,117600" +st "signedIn" +blo "242000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Rectangle +uid 726,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,113000,257000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 727,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 728,0 +va (VaSet +) +xt "241600,121800,245900,123000" +st "Curves" +blo "241600,122800" +tm "BdLibraryNameMgr" +) +*60 (Text +uid 729,0 +va (VaSet +) +xt "241600,122800,251800,124000" +st "offsetToUnsigned" +blo "241600,123800" +tm "CptNameMgr" +) +*61 (Text +uid 730,0 +va (VaSet +) +xt "241600,123800,244200,125000" +st "I14" +blo "241600,124800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 731,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 732,0 +text (MLText +uid 733,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,124800,256300,125800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*62 (SaComponent +uid 742,0 +optionalChildren [ +*63 (CptPort +uid 751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,120625,265000,121375" +) +tg (CPTG +uid 753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 754,0 +va (VaSet +) +xt "266000,120400,269400,121600" +st "clock" +blo "266000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*64 (CptPort +uid 755,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 756,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,116625,265000,117375" +) +tg (CPTG +uid 757,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 758,0 +va (VaSet +) +xt "266000,116400,272200,117600" +st "parallelIn" +blo "266000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*65 (CptPort +uid 759,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 760,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,116625,281750,117375" +) +tg (CPTG +uid 761,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 762,0 +va (VaSet +) +xt "274601,116400,280001,117600" +st "serialOut" +ju 2 +blo "280001,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +) +) +) +*66 (CptPort +uid 763,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 764,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,122625,265000,123375" +) +tg (CPTG +uid 765,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 766,0 +va (VaSet +) +xt "266000,122400,269300,123600" +st "reset" +blo "266000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 743,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,113000,281000,125000" +) +oxt "114000,86000,130000,98000" +ttg (MlTextGroup +uid 744,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 745,0 +va (VaSet +) +xt "265600,124800,269900,126000" +st "Curves" +blo "265600,125800" +tm "BdLibraryNameMgr" +) +*68 (Text +uid 746,0 +va (VaSet +) +xt "265600,125800,268700,127000" +st "DAC" +blo "265600,126800" +tm "CptNameMgr" +) +*69 (Text +uid 747,0 +va (VaSet +) +xt "265600,126800,267500,128000" +st "I1" +blo "265600,127800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 748,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 749,0 +text (MLText +uid 750,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,128600,283100,129600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*70 (Net +uid 865,0 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 69 +suid 22,0 +) +declText (MLText +uid 866,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,71500,240800,72500" +st "SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*71 (Net +uid 867,0 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 62 +suid 23,0 +) +declText (MLText +uid 868,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,65200,240900,66200" +st "SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*72 (Net +uid 869,0 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 63 +suid 24,0 +) +declText (MLText +uid 870,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,66100,240900,67100" +st "SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*73 (Net +uid 871,0 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 64 +suid 25,0 +) +declText (MLText +uid 872,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,67000,240900,68000" +st "SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*74 (Net +uid 873,0 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 65 +suid 26,0 +) +declText (MLText +uid 874,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,67900,240900,68900" +st "SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*75 (Net +uid 875,0 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 22 +suid 27,0 +) +declText (MLText +uid 876,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,29200,239500,30200" +st "SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*76 (Net +uid 877,0 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 28 +suid 28,0 +) +declText (MLText +uid 878,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,34600,239500,35600" +st "SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*77 (Net +uid 879,0 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 30 +suid 29,0 +) +declText (MLText +uid 880,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,36400,239400,37400" +st "SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*78 (Net +uid 881,0 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 36 +suid 30,0 +) +declText (MLText +uid 882,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,41800,239500,42800" +st "SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*79 (Net +uid 883,0 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 61 +suid 31,0 +) +declText (MLText +uid 884,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,64300,240700,65300" +st "SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*80 (Net +uid 885,0 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 80 +suid 32,0 +) +declText (MLText +uid 886,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,81400,241800,82400" +st "SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*81 (Net +uid 990,0 +decl (Decl +n "selControl" +t "std_ulogic" +o 71 +suid 33,0 +) +declText (MLText +uid 991,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,73300,230400,74300" +st "SIGNAL selControl : std_ulogic" +) +) +*82 (Net +uid 1047,0 +decl (Decl +n "selSize" +t "std_ulogic" +o 72 +suid 34,0 +) +declText (MLText +uid 1048,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,74200,230000,75200" +st "SIGNAL selSize : std_ulogic" +) +) +*83 (Net +uid 1055,0 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 73 +suid 35,0 +) +declText (MLText +uid 1056,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,75100,230600,76100" +st "SIGNAL selSpeed : std_ulogic" +) +) +*84 (Net +uid 1063,0 +decl (Decl +n "selX" +t "std_ulogic" +o 74 +suid 36,0 +) +declText (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,76000,229800,77000" +st "SIGNAL selX : std_ulogic" +) +) +*85 (Net +uid 1071,0 +decl (Decl +n "selY" +t "std_ulogic" +o 75 +suid 37,0 +) +declText (MLText +uid 1072,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,76900,229800,77900" +st "SIGNAL selY : std_ulogic" +) +) +*86 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 55 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,58900,229800,59900" +st "SIGNAL run : std_ulogic" +) +) +*87 (Net +uid 1356,0 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 81 +suid 39,0 +) +declText (MLText +uid 1357,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,82300,231200,83300" +st "SIGNAL updatePattern : std_ulogic" +) +) +*88 (Net +uid 1470,0 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 53 +suid 40,0 +) +declText (MLText +uid 1471,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,57100,242000,58100" +st "SIGNAL patternSize : unsigned(dataBitNb/2-1 DOWNTO 0)" +) +) +*89 (PortIoIn +uid 1565,0 +shape (CompositeShape +uid 1566,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1567,0 +sl 0 +ro 270 +xt "17000,9625,18500,10375" +) +(Line +uid 1568,0 +sl 0 +ro 270 +xt "18500,10000,19000,10000" +pts [ +"18500,10000" +"19000,10000" +] +) +] +) +tg (WTG +uid 1569,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1570,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-7800,9300,16000,10700" +st "dataIn : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "16000,10500" +tm "WireNameMgr" +) +) +) +*90 (PortIoOut +uid 1577,0 +shape (CompositeShape +uid 1578,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1579,0 +sl 0 +ro 90 +xt "17000,3625,18500,4375" +) +(Line +uid 1580,0 +sl 0 +ro 90 +xt "18500,4000,19000,4000" +pts [ +"19000,4000" +"18500,4000" +] +) +] +) +tg (WTG +uid 1581,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1582,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-8800,3300,16000,4700" +st "dataOut : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "16000,4500" +tm "WireNameMgr" +) +) +) +*91 (Net +uid 1589,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 41,0 +) +declText (MLText +uid 1590,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,11200,240700,12200" +st "dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*92 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 82 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,83200,241600,84200" +st "SIGNAL updatePeriod : unsigned(dataBitNb-1 DOWNTO 0)" +) +) +*93 (SaComponent +uid 1816,0 +optionalChildren [ +*94 (CptPort +uid 1784,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1785,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,67625,91750,68375" +) +tg (CPTG +uid 1786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1787,0 +va (VaSet +) +xt "82000,67400,90000,68600" +st "updatePeriod" +ju 2 +blo "90000,68400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*95 (CptPort +uid 1788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1789,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,67625,75000,68375" +) +tg (CPTG +uid 1790,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1791,0 +va (VaSet +) +xt "76000,67400,80000,68600" +st "dataIn" +blo "76000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*96 (CptPort +uid 1792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1793,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,69625,75000,70375" +) +tg (CPTG +uid 1794,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1795,0 +va (VaSet +) +xt "76000,69400,80800,70600" +st "dataOut" +blo "76000,70400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*97 (CptPort +uid 1796,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1797,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,73625,75000,74375" +) +tg (CPTG +uid 1798,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1799,0 +va (VaSet +) +xt "76000,73400,84400,74600" +st "writeHighByte" +blo "76000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "writeHighByte" +t "std_ulogic" +o 4 +) +) +) +*98 (CptPort +uid 1800,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1801,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,77625,75000,78375" +) +tg (CPTG +uid 1802,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1803,0 +va (VaSet +) +xt "76000,77400,77900,78600" +st "en" +blo "76000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +*99 (CptPort +uid 1804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1805,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,81625,75000,82375" +) +tg (CPTG +uid 1806,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1807,0 +va (VaSet +) +xt "76000,81400,79400,82600" +st "clock" +blo "76000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +) +) +) +*100 (CptPort +uid 1808,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1809,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,83625,75000,84375" +) +tg (CPTG +uid 1810,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1811,0 +va (VaSet +) +xt "76000,83400,79300,84600" +st "reset" +blo "76000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +) +) +) +*101 (CptPort +uid 1812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1813,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,75625,75000,76375" +) +tg (CPTG +uid 1814,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1815,0 +va (VaSet +) +xt "76000,75400,84000,76600" +st "writeLowByte" +blo "76000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "writeLowByte" +t "std_ulogic" +o 8 +) +) +) +] +shape (Rectangle +uid 1817,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,64000,91000,86000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1818,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 1819,0 +va (VaSet +) +xt "75600,85800,79900,87000" +st "Curves" +blo "75600,86800" +tm "BdLibraryNameMgr" +) +*103 (Text +uid 1820,0 +va (VaSet +) +xt "75600,86800,85100,88000" +st "periphSpeedReg" +blo "75600,87800" +tm "CptNameMgr" +) +*104 (Text +uid 1821,0 +va (VaSet +) +xt "75600,87800,77500,89000" +st "I3" +blo "75600,88800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1822,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1823,0 +text (MLText +uid 1824,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,89600,91600,90600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*105 (SaComponent +uid 1853,0 +optionalChildren [ +*106 (CptPort +uid 1825,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1826,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,39625,91750,40375" +) +tg (CPTG +uid 1827,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1828,0 +va (VaSet +) +xt "82900,39400,90000,40600" +st "patternSize" +ju 2 +blo "90000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 1 +) +) +) +*107 (CptPort +uid 1829,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1830,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,39625,75000,40375" +) +tg (CPTG +uid 1831,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1832,0 +va (VaSet +) +xt "76000,39400,80000,40600" +st "dataIn" +blo "76000,40400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*108 (CptPort +uid 1833,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1834,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,41625,75000,42375" +) +tg (CPTG +uid 1835,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1836,0 +va (VaSet +) +xt "76000,41400,80800,42600" +st "dataOut" +blo "76000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*109 (CptPort +uid 1837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,45625,75000,46375" +) +tg (CPTG +uid 1839,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1840,0 +va (VaSet +) +xt "76000,45400,79100,46600" +st "write" +blo "76000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 4 +) +) +) +*110 (CptPort +uid 1841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,47625,75000,48375" +) +tg (CPTG +uid 1843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1844,0 +va (VaSet +) +xt "76000,47400,77900,48600" +st "en" +blo "76000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +*111 (CptPort +uid 1845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,51625,75000,52375" +) +tg (CPTG +uid 1847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1848,0 +va (VaSet +) +xt "76000,51400,79400,52600" +st "clock" +blo "76000,52400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +) +) +) +*112 (CptPort +uid 1849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,53625,75000,54375" +) +tg (CPTG +uid 1851,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1852,0 +va (VaSet +) +xt "76000,53400,79300,54600" +st "reset" +blo "76000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 1854,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,36000,91000,56000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1855,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 1856,0 +va (VaSet +) +xt "75600,55800,79900,57000" +st "Curves" +blo "75600,56800" +tm "BdLibraryNameMgr" +) +*114 (Text +uid 1857,0 +va (VaSet +) +xt "75600,56800,84100,58000" +st "periphSizeReg" +blo "75600,57800" +tm "CptNameMgr" +) +*115 (Text +uid 1858,0 +va (VaSet +) +xt "75600,57800,77500,59000" +st "I5" +blo "75600,58800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1859,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1860,0 +text (MLText +uid 1861,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,59600,91600,60600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*116 (SaComponent +uid 1919,0 +optionalChildren [ +*117 (CptPort +uid 1903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,175625,177750,176375" +) +tg (CPTG +uid 1905,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1906,0 +va (VaSet +) +xt "169400,175400,176000,176600" +st "triggerOut" +ju 2 +blo "176000,176400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +) +) +) +*118 (CptPort +uid 1907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,179625,161000,180375" +) +tg (CPTG +uid 1909,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1910,0 +va (VaSet +) +xt "162000,179400,165400,180600" +st "clock" +blo "162000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*119 (CptPort +uid 1911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,181625,161000,182375" +) +tg (CPTG +uid 1913,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1914,0 +va (VaSet +) +xt "162000,181400,165300,182600" +st "reset" +blo "162000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*120 (CptPort +uid 1915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1916,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,175625,161000,176375" +) +tg (CPTG +uid 1917,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1918,0 +va (VaSet +) +xt "162000,175400,163900,176600" +st "en" +blo "162000,176400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1920,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,172000,177000,184000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1921,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 1922,0 +va (VaSet +) +xt "161600,184800,165900,186000" +st "Curves" +blo "161600,185800" +tm "BdLibraryNameMgr" +) +*122 (Text +uid 1923,0 +va (VaSet +) +xt "161600,185800,172400,187000" +st "interpolatorTrigger" +blo "161600,186800" +tm "CptNameMgr" +) +*123 (Text +uid 1924,0 +va (VaSet +) +xt "161600,186800,163500,188000" +st "I6" +blo "161600,187800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1925,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1926,0 +text (MLText +uid 1927,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,187600,182800,188600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*124 (Net +uid 1993,0 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 41 +suid 43,0 +) +declText (MLText +uid 1994,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,46300,231400,47300" +st "SIGNAL interpolationEnable : std_ulogic" +) +) +*125 (Net +uid 2776,0 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 24 +suid 44,0 +) +declText (MLText +uid 2777,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,31000,244900,32000" +st "SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*126 (Net +uid 2850,0 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 31 +suid 45,0 +) +declText (MLText +uid 2851,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,37300,230200,38300" +st "SIGNAL cntIncrX : std_ulogic" +) +) +*127 (Net +uid 2852,0 +decl (Decl +n "memWrX" +t "std_ulogic" +o 46 +suid 46,0 +) +declText (MLText +uid 2853,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,50800,231300,51800" +st "SIGNAL memWrX : std_ulogic" +) +) +*128 (Net +uid 2854,0 +decl (Decl +n "memEnX" +t "std_ulogic" +o 43 +suid 47,0 +) +declText (MLText +uid 2855,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,48100,231200,49100" +st "SIGNAL memEnX : std_ulogic" +) +) +*129 (HdlText +uid 3135,0 +optionalChildren [ +*130 (EmbeddedText +uid 3140,0 +commentText (CommentText +uid 3141,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,152000,146000,156000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3143,0 +va (VaSet +) +xt "132200,152200,144900,155800" +st " +samplesX <= cosine when selSinCos = '1' + else signed(memX); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3136,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,150000,147000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +uid 3138,0 +va (VaSet +) +xt "131400,158000,134000,159200" +st "eb2" +blo "131400,159000" +tm "HdlTextNameMgr" +) +*132 (Text +uid 3139,0 +va (VaSet +) +xt "131400,159000,132800,160200" +st "2" +blo "131400,160000" +tm "HdlTextNumberMgr" +) +] +) +) +*133 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 49 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,53500,244700,54500" +st "SIGNAL memX : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*134 (SaComponent +uid 3378,0 +optionalChildren [ +*135 (CptPort +uid 3354,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,159625,75000,160375" +) +tg (CPTG +uid 3356,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3357,0 +va (VaSet +) +xt "76000,159400,77900,160600" +st "en" +blo "76000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*136 (CptPort +uid 3358,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3359,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,163625,75000,164375" +) +tg (CPTG +uid 3360,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3361,0 +va (VaSet +) +xt "76000,163400,79400,164600" +st "clock" +blo "76000,164400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*137 (CptPort +uid 3362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,165625,75000,166375" +) +tg (CPTG +uid 3364,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3365,0 +va (VaSet +) +xt "76000,165400,79300,166600" +st "reset" +blo "76000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*138 (CptPort +uid 3366,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3367,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,157625,75000,158375" +) +tg (CPTG +uid 3368,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3369,0 +va (VaSet +) +xt "76000,157400,82500,158600" +st "updateMem" +blo "76000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*139 (CptPort +uid 3370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3371,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,155625,91750,156375" +) +tg (CPTG +uid 3372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3373,0 +va (VaSet +) +xt "87100,155400,90000,156600" +st "addr" +ju 2 +blo "90000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*140 (CptPort +uid 3374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,155625,75000,156375" +) +tg (CPTG +uid 3376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3377,0 +va (VaSet +) +xt "76000,155400,83100,156600" +st "patternSize" +blo "76000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 3379,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,152000,91000,168000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3380,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +uid 3381,0 +va (VaSet +) +xt "75600,167800,79900,169000" +st "Curves" +blo "75600,168800" +tm "BdLibraryNameMgr" +) +*142 (Text +uid 3382,0 +va (VaSet +) +xt "75600,168800,91000,170000" +st "blockRAMAddressCounter" +blo "75600,169800" +tm "CptNameMgr" +) +*143 (Text +uid 3383,0 +va (VaSet +) +xt "75600,169800,78200,171000" +st "I18" +blo "75600,170800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3384,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3385,0 +text (MLText +uid 3386,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,171600,98800,173600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*144 (SaComponent +uid 3623,0 +optionalChildren [ +*145 (CptPort +uid 3603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,65625,123750,66375" +) +tg (CPTG +uid 3605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3606,0 +va (VaSet +) +xt "116100,65400,122000,66600" +st "enableOut" +ju 2 +blo "122000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +) +) +) +*146 (CptPort +uid 3607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,71625,107000,72375" +) +tg (CPTG +uid 3609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3610,0 +va (VaSet +) +xt "108000,71400,111400,72600" +st "clock" +blo "108000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*147 (CptPort +uid 3611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,73625,107000,74375" +) +tg (CPTG +uid 3613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3614,0 +va (VaSet +) +xt "108000,73400,111300,74600" +st "reset" +blo "108000,74400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*148 (CptPort +uid 3615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,67625,107000,68375" +) +tg (CPTG +uid 3617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3618,0 +va (VaSet +) +xt "108000,67400,116000,68600" +st "updatePeriod" +blo "108000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*149 (CptPort +uid 3619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,65625,107000,66375" +) +tg (CPTG +uid 3621,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3622,0 +va (VaSet +) +xt "108000,65400,113100,66600" +st "enableIn" +blo "108000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 3624,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,62000,123000,76000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3625,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +uid 3626,0 +va (VaSet +) +xt "107600,75800,111900,77000" +st "Curves" +blo "107600,76800" +tm "BdLibraryNameMgr" +) +*151 (Text +uid 3627,0 +va (VaSet +) +xt "107600,76800,120900,78000" +st "periphSpeedController" +blo "107600,77800" +tm "CptNameMgr" +) +*152 (Text +uid 3628,0 +va (VaSet +) +xt "107600,77800,110200,79000" +st "I15" +blo "107600,78800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3629,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3630,0 +text (MLText +uid 3631,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,79600,123600,80600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*153 (SaComponent +uid 3681,0 +optionalChildren [ +*154 (CptPort +uid 3690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,109625,75000,110375" +) +tg (CPTG +uid 3692,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3693,0 +va (VaSet +) +xt "76000,109400,77900,110600" +st "en" +blo "76000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*155 (CptPort +uid 3694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,113625,75000,114375" +) +tg (CPTG +uid 3696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3697,0 +va (VaSet +) +xt "76000,113400,79400,114600" +st "clock" +blo "76000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*156 (CptPort +uid 3698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,115625,75000,116375" +) +tg (CPTG +uid 3700,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3701,0 +va (VaSet +) +xt "76000,115400,79300,116600" +st "reset" +blo "76000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*157 (CptPort +uid 3702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,107625,75000,108375" +) +tg (CPTG +uid 3704,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3705,0 +va (VaSet +) +xt "76000,107400,82500,108600" +st "updateMem" +blo "76000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*158 (CptPort +uid 3706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3707,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,105625,91750,106375" +) +tg (CPTG +uid 3708,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3709,0 +va (VaSet +) +xt "87100,105400,90000,106600" +st "addr" +ju 2 +blo "90000,106400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*159 (CptPort +uid 3710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,105625,75000,106375" +) +tg (CPTG +uid 3712,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3713,0 +va (VaSet +) +xt "76000,105400,83100,106600" +st "patternSize" +blo "76000,106400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 3682,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,102000,91000,118000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3683,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*160 (Text +uid 3684,0 +va (VaSet +) +xt "75600,117800,79900,119000" +st "Curves" +blo "75600,118800" +tm "BdLibraryNameMgr" +) +*161 (Text +uid 3685,0 +va (VaSet +) +xt "75600,118800,91000,120000" +st "blockRAMAddressCounter" +blo "75600,119800" +tm "CptNameMgr" +) +*162 (Text +uid 3686,0 +va (VaSet +) +xt "75600,119800,78200,121000" +st "I20" +blo "75600,120800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3687,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3688,0 +text (MLText +uid 3689,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,121600,98800,123600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*163 (Net +uid 3827,0 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 32 +suid 49,0 +) +declText (MLText +uid 3828,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,38200,230200,39200" +st "SIGNAL cntIncrY : std_ulogic" +) +) +*164 (Net +uid 3890,0 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 25 +suid 50,0 +) +declText (MLText +uid 3891,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,31900,244900,32900" +st "SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*165 (Net +uid 3892,0 +decl (Decl +n "memWrY" +t "std_ulogic" +o 47 +suid 51,0 +) +declText (MLText +uid 3893,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,51700,231300,52700" +st "SIGNAL memWrY : std_ulogic" +) +) +*166 (Net +uid 3894,0 +decl (Decl +n "memEnY" +t "std_ulogic" +o 44 +suid 52,0 +) +declText (MLText +uid 3895,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,49000,231200,50000" +st "SIGNAL memEnY : std_ulogic" +) +) +*167 (HdlText +uid 3896,0 +optionalChildren [ +*168 (EmbeddedText +uid 3901,0 +commentText (CommentText +uid 3902,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3903,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,115000,146000,119000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3904,0 +va (VaSet +) +xt "132200,115200,144900,118800" +st " +samplesY <= sine when selSinCos = '1' + else signed(memY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3897,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,113000,147000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3898,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 3899,0 +va (VaSet +) +xt "131400,121000,134000,122200" +st "eb1" +blo "131400,122000" +tm "HdlTextNameMgr" +) +*170 (Text +uid 3900,0 +va (VaSet +) +xt "131400,122000,132800,123200" +st "1" +blo "131400,123000" +tm "HdlTextNumberMgr" +) +] +) +) +*171 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 50 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,54400,244700,55400" +st "SIGNAL memY : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*172 (PortIoOut +uid 4041,0 +shape (CompositeShape +uid 4042,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4043,0 +sl 0 +ro 270 +xt "289500,5625,291000,6375" +) +(Line +uid 4044,0 +sl 0 +ro 270 +xt "289000,6000,289500,6000" +pts [ +"289000,6000" +"289500,6000" +] +) +] +) +tg (WTG +uid 4045,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4046,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,5300,305600,6700" +st "testOut : (1 TO 16)" +blo "292000,6500" +tm "WireNameMgr" +) +) +) +*173 (Net +uid 4053,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 54,0 +) +declText (MLText +uid 4054,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,12100,234500,13100" +st "testOut : std_ulogic_vector(1 TO 16)" +) +) +*174 (HdlText +uid 4055,0 +optionalChildren [ +*175 (EmbeddedText +uid 4060,0 +commentText (CommentText +uid 4061,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4062,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "266000,5000,280000,27000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4063,0 +va (VaSet +) +xt "266200,5200,278400,26800" +st " +testout(1) <= run; +testout(2) <= updatePattern; +testout(3) <= interpolationEnable; +testout(4) <= newPolynom; +testout(5) <= selSinCos; +testout(6) <= cs; +testout(7) <= rd; +testout(8) <= wrH; +testout(9) <= wrL; +testout(10) <= addrReg(1); +testout(11) <= dataInReg(0); +--testout(10) <= selControl; +--testout(11) <= selSize; +testout(12) <= selSpeed; +testout(13) <= selX; +testout(14) <= selY; +testout(15) <= cntIncrX; +testout(16) <= cntIncrY; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 22000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4056,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "265000,4000,281000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4057,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*176 (Text +uid 4058,0 +va (VaSet +) +xt "265400,28000,268000,29200" +st "eb3" +blo "265400,29000" +tm "HdlTextNameMgr" +) +*177 (Text +uid 4059,0 +va (VaSet +) +xt "265400,29000,266800,30200" +st "3" +blo "265400,30000" +tm "HdlTextNumberMgr" +) +] +) +) +*178 (SaComponent +uid 4245,0 +optionalChildren [ +*179 (CptPort +uid 4225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,57625,43750,58375" +) +tg (CPTG +uid 4227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4228,0 +va (VaSet +) +xt "35400,57400,42000,58600" +st "writePulse" +ju 2 +blo "42000,58400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*180 (CptPort +uid 4229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,57625,27000,58375" +) +tg (CPTG +uid 4231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4232,0 +va (VaSet +) +xt "28000,57400,31100,58600" +st "write" +blo "28000,58400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*181 (CptPort +uid 4233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,59625,27000,60375" +) +tg (CPTG +uid 4235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4236,0 +va (VaSet +) +xt "28000,59400,34700,60600" +st "chipSelect" +blo "28000,60400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*182 (CptPort +uid 4237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4238,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,63625,27000,64375" +) +tg (CPTG +uid 4239,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4240,0 +va (VaSet +) +xt "28000,63400,31400,64600" +st "clock" +blo "28000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*183 (CptPort +uid 4241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,65625,27000,66375" +) +tg (CPTG +uid 4243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4244,0 +va (VaSet +) +xt "28000,65400,31300,66600" +st "reset" +blo "28000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4246,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,54000,43000,68000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4247,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*184 (Text +uid 4248,0 +va (VaSet +) +xt "27600,67800,31900,69000" +st "Curves" +blo "27600,68800" +tm "BdLibraryNameMgr" +) +*185 (Text +uid 4249,0 +va (VaSet +) +xt "27600,68800,37500,70000" +st "periphWritePulse" +blo "27600,69800" +tm "CptNameMgr" +) +*186 (Text +uid 4250,0 +va (VaSet +) +xt "27600,69800,30200,71000" +st "I22" +blo "27600,70800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4251,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4252,0 +text (MLText +uid 4253,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,45000,-7000,45000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*187 (Net +uid 4290,0 +decl (Decl +n "wrHPulse" +t "std_ulogic" +o 85 +suid 55,0 +) +declText (MLText +uid 4291,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,85900,230700,86900" +st "SIGNAL wrHPulse : std_ulogic" +) +) +*188 (SaComponent +uid 4300,0 +optionalChildren [ +*189 (CptPort +uid 4309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4310,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,79625,43750,80375" +) +tg (CPTG +uid 4311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4312,0 +va (VaSet +) +xt "35400,79400,42000,80600" +st "writePulse" +ju 2 +blo "42000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*190 (CptPort +uid 4313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4314,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,79625,27000,80375" +) +tg (CPTG +uid 4315,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4316,0 +va (VaSet +) +xt "28000,79400,31100,80600" +st "write" +blo "28000,80400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*191 (CptPort +uid 4317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,81625,27000,82375" +) +tg (CPTG +uid 4319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4320,0 +va (VaSet +) +xt "28000,81400,34700,82600" +st "chipSelect" +blo "28000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*192 (CptPort +uid 4321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,85625,27000,86375" +) +tg (CPTG +uid 4323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4324,0 +va (VaSet +) +xt "28000,85400,31400,86600" +st "clock" +blo "28000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*193 (CptPort +uid 4325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,87625,27000,88375" +) +tg (CPTG +uid 4327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4328,0 +va (VaSet +) +xt "28000,87400,31300,88600" +st "reset" +blo "28000,88400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4301,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,76000,43000,90000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4302,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*194 (Text +uid 4303,0 +va (VaSet +) +xt "27600,89800,31900,91000" +st "Curves" +blo "27600,90800" +tm "BdLibraryNameMgr" +) +*195 (Text +uid 4304,0 +va (VaSet +) +xt "27600,90800,37500,92000" +st "periphWritePulse" +blo "27600,91800" +tm "CptNameMgr" +) +*196 (Text +uid 4305,0 +va (VaSet +) +xt "27600,91800,30200,93000" +st "I23" +blo "27600,92800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4306,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4307,0 +text (MLText +uid 4308,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,67000,-7000,67000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*197 (Net +uid 4347,0 +decl (Decl +n "wrLPulse" +t "std_ulogic" +o 86 +suid 56,0 +) +declText (MLText +uid 4348,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,86800,230500,87800" +st "SIGNAL wrLPulse : std_ulogic" +) +) +*198 (HdlText +uid 4719,0 +optionalChildren [ +*199 (EmbeddedText +uid 4724,0 +commentText (CommentText +uid 4725,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4726,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "150000,75000,164000,77000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4727,0 +va (VaSet +) +xt "150200,75200,164000,76400" +st " +step <= to_unsigned(1, step'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4720,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "149000,74000,165000,78000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*200 (Text +uid 4722,0 +va (VaSet +) +xt "149400,78000,152000,79200" +st "eb4" +blo "149400,79000" +tm "HdlTextNameMgr" +) +*201 (Text +uid 4723,0 +va (VaSet +) +xt "149400,79000,150800,80200" +st "4" +blo "149400,80000" +tm "HdlTextNumberMgr" +) +] +) +) +*202 (Net +uid 4800,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 54 +suid 57,0 +) +declText (MLText +uid 4801,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,58000,241400,59000" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*203 (Net +uid 4802,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 78 +suid 58,0 +) +declText (MLText +uid 4803,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,79600,241000,80600" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*204 (Net +uid 4858,0 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 77 +suid 59,0 +) +declText (MLText +uid 4859,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,78700,239800,79700" +st "SIGNAL sine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*205 (SaComponent +uid 4923,0 +optionalChildren [ +*206 (CptPort +uid 4903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,89625,161000,90375" +) +tg (CPTG +uid 4905,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4906,0 +va (VaSet +) +xt "162000,89400,165400,90600" +st "clock" +blo "162000,90400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*207 (CptPort +uid 4907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,83625,177750,84375" +) +tg (CPTG +uid 4909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4910,0 +va (VaSet +) +xt "170800,83400,176000,84600" +st "sawtooth" +ju 2 +blo "176000,84400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*208 (CptPort +uid 4911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,91625,161000,92375" +) +tg (CPTG +uid 4913,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4914,0 +va (VaSet +) +xt "162000,91400,165300,92600" +st "reset" +blo "162000,92400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*209 (CptPort +uid 4915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4916,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,83625,161000,84375" +) +tg (CPTG +uid 4917,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4918,0 +va (VaSet +) +xt "162000,83400,164900,84600" +st "step" +blo "162000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*210 (CptPort +uid 4919,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4920,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,85625,161000,86375" +) +tg (CPTG +uid 4921,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4922,0 +va (VaSet +) +xt "162000,85400,163900,86600" +st "en" +blo "162000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 4924,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,80000,177000,94000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4925,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*211 (Text +uid 4926,0 +va (VaSet +) +xt "161600,93800,165900,95000" +st "Curves" +blo "161600,94800" +tm "BdLibraryNameMgr" +) +*212 (Text +uid 4927,0 +va (VaSet +) +xt "161600,94800,169500,96000" +st "sawtoothGen" +blo "161600,95800" +tm "CptNameMgr" +) +*213 (Text +uid 4928,0 +va (VaSet +) +xt "161600,95800,164200,97000" +st "I24" +blo "161600,96800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4929,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4930,0 +text (MLText +uid 4931,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,96600,176400,97600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*214 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 270 +xt "151000,69625,152500,70375" +) +(Line +uid 5083,0 +sl 0 +ro 270 +xt "152500,70000,153000,70000" +pts [ +"152500,70000" +"153000,70000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143100,69300,150000,70700" +st "selSinCos" +ju 2 +blo "150000,70500" +tm "WireNameMgr" +) +) +) +*215 (HdlText +uid 5244,0 +optionalChildren [ +*216 (EmbeddedText +uid 5249,0 +commentText (CommentText +uid 5250,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 5251,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "162000,65000,176000,71000" +) +oxt "0,0,18000,5000" +text (MLText +uid 5252,0 +va (VaSet +) +xt "162200,65200,176100,71200" +st " +interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; +--interpolateLinear <= '1' when selSinCos = '1' +-- else interpolateLin; +interpolateLinear <= interpolateLin; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 5245,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "161000,64000,177000,72000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5246,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*217 (Text +uid 5247,0 +va (VaSet +) +xt "161400,72000,164000,73200" +st "eb5" +blo "161400,73000" +tm "HdlTextNameMgr" +) +*218 (Text +uid 5248,0 +va (VaSet +) +xt "161400,73000,162800,74200" +st "5" +blo "161400,74000" +tm "HdlTextNumberMgr" +) +] +) +) +*219 (Net +uid 5261,0 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 40 +suid 60,0 +) +declText (MLText +uid 5262,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,45400,230900,46400" +st "SIGNAL interpolationEn : std_ulogic" +) +) +*220 (Net +uid 5936,0 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 61,0 +) +declText (MLText +uid 5937,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,40000,240100,41000" +st "SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*221 (SaComponent +uid 5956,0 +optionalChildren [ +*222 (CptPort +uid 5944,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5945,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,83625,201750,84375" +) +tg (CPTG +uid 5946,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5947,0 +va (VaSet +) +xt "197200,83400,200000,84600" +st "sine" +ju 2 +blo "200000,84400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*223 (CptPort +uid 5948,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5949,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,83625,185000,84375" +) +tg (CPTG +uid 5950,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5951,0 +va (VaSet +) +xt "186000,83400,189700,84600" +st "phase" +blo "186000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*224 (CptPort +uid 5952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5953,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,85625,201750,86375" +) +tg (CPTG +uid 5954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5955,0 +va (VaSet +) +xt "196000,85400,200000,86600" +st "cosine" +ju 2 +blo "200000,86400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +) +) +) +] +shape (Rectangle +uid 5957,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,80000,201000,90000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5958,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*225 (Text +uid 5959,0 +va (VaSet +) +xt "185600,89800,189900,91000" +st "Curves" +blo "185600,90800" +tm "BdLibraryNameMgr" +) +*226 (Text +uid 5960,0 +va (VaSet +) +xt "185600,90800,193300,92000" +st "sinCosTable" +blo "185600,91800" +tm "CptNameMgr" +) +*227 (Text +uid 5961,0 +va (VaSet +) +xt "185600,91800,188200,93000" +st "I25" +blo "185600,92800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5962,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5963,0 +text (MLText +uid 5964,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,93000,208700,96000" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*228 (HdlText +uid 6125,0 +optionalChildren [ +*229 (EmbeddedText +uid 6130,0 +commentText (CommentText +uid 6131,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "28000,151000,42000,165000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6133,0 +va (VaSet +) +xt "28200,151200,42200,164400" +st " +writeX: process(selX, memX) +begin + if selX = '1' then + dataOut <= std_logic_vector(memX); + else + dataOut <= (others => 'Z'); + end if; +end process writeX; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 6126,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,150000,43000,166000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6127,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*230 (Text +uid 6128,0 +va (VaSet +) +xt "27400,166000,30000,167200" +st "eb6" +blo "27400,167000" +tm "HdlTextNameMgr" +) +*231 (Text +uid 6129,0 +va (VaSet +) +xt "27400,167000,28800,168200" +st "6" +blo "27400,168000" +tm "HdlTextNumberMgr" +) +] +) +) +*232 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,13000,227300,14000" +st "selSinCos : std_ulogic" +) +) +*233 (HdlText +uid 6825,0 +optionalChildren [ +*234 (EmbeddedText +uid 6830,0 +commentText (CommentText +uid 6831,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6832,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "28000,171000,42000,185000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6833,0 +va (VaSet +) +xt "28200,171200,42200,184400" +st " +writeCounters: process(addr, addrX, addrY) +begin + if addr = 16#84# then + dataOut <= std_logic_vector(addrX & addrY); + else + dataOut <= (others => 'Z'); + end if; +end process writeCounters; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 6826,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "27000,170000,43000,186000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6827,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +uid 6828,0 +va (VaSet +) +xt "27400,186000,30000,187200" +st "eb7" +blo "27400,187000" +tm "HdlTextNameMgr" +) +*236 (Text +uid 6829,0 +va (VaSet +) +xt "27400,187000,28800,188200" +st "7" +blo "27400,188000" +tm "HdlTextNumberMgr" +) +] +) +) +*237 (SaComponent +uid 7019,0 +optionalChildren [ +*238 (CptPort +uid 6994,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6995,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,15625,27000,16375" +) +tg (CPTG +uid 6996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6997,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,15300,31800,16700" +st "clock" +blo "28000,16500" +) +s (Text +uid 6998,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,16700,28000,16700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*239 (CptPort +uid 6999,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7000,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,9625,27000,10375" +) +tg (CPTG +uid 7001,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7002,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,9300,33000,10700" +st "dataIn" +blo "28000,10500" +) +s (Text +uid 7003,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,10700,28000,10700" +blo "-37800,34100" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +) +) +) +*240 (CptPort +uid 7004,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7005,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,9625,43750,10375" +) +tg (CPTG +uid 7006,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7007,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,9300,42000,10700" +st "dataOut" +ju 2 +blo "42000,10500" +) +s (Text +uid 7008,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "42000,10700,42000,10700" +ju 2 +blo "-23600,-18900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*241 (CptPort +uid 7009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,13625,27000,14375" +) +tg (CPTG +uid 7011,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7012,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,13300,33100,14700" +st "enable" +blo "28000,14500" +) +s (Text +uid 7013,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,14700,28000,14700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*242 (CptPort +uid 7014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7015,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,17625,27000,18375" +) +tg (CPTG +uid 7016,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7017,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,17300,32100,18700" +st "reset" +blo "28000,18500" +) +s (Text +uid 7018,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28000,18700,28000,18700" +blo "9000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7020,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27000,6000,43000,20000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7021,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*243 (Text +uid 7022,0 +va (VaSet +) +xt "26910,20700,33510,21900" +st "sequential" +blo "26910,21700" +tm "BdLibraryNameMgr" +) +*244 (Text +uid 7023,0 +va (VaSet +) +xt "26910,21700,39810,22900" +st "registerULogicVector" +blo "26910,22700" +tm "CptNameMgr" +) +*245 (Text +uid 7024,0 +va (VaSet +) +xt "26910,22700,29510,23900" +st "I26" +blo "26910,23700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7025,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7026,0 +text (MLText +uid 7027,0 +va (VaSet +) +xt "27000,23600,50300,26000" +st "delay = 1 ns ( time ) +registerNbBits = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "dataBitNb" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*246 (Net +uid 7053,0 +decl (Decl +n "dataInReg" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 37 +suid 63,0 +) +declText (MLText +uid 7054,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,42700,244800,43700" +st "SIGNAL dataInReg : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*247 (Net +uid 7073,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 64,0 +) +declText (MLText +uid 7074,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,6700,240900,7700" +st "dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*248 (SaComponent +uid 7075,0 +optionalChildren [ +*249 (CptPort +uid 7084,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7085,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,37625,7000,38375" +) +tg (CPTG +uid 7086,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7087,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,37300,11800,38700" +st "clock" +blo "8000,38500" +) +s (Text +uid 7088,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,38700,8000,38700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*250 (CptPort +uid 7089,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7090,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,31625,7000,32375" +) +tg (CPTG +uid 7091,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7092,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,31300,13000,32700" +st "dataIn" +blo "8000,32500" +) +s (Text +uid 7093,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,32700,8000,32700" +blo "-57800,56100" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +) +) +) +*251 (CptPort +uid 7094,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7095,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,31625,23750,32375" +) +tg (CPTG +uid 7096,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7097,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,31300,22000,32700" +st "dataOut" +ju 2 +blo "22000,32500" +) +s (Text +uid 7098,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "22000,32700,22000,32700" +ju 2 +blo "-43600,3100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*252 (CptPort +uid 7099,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,35625,7000,36375" +) +tg (CPTG +uid 7101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7102,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,35300,13100,36700" +st "enable" +blo "8000,36500" +) +s (Text +uid 7103,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,36700,8000,36700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +) +*253 (CptPort +uid 7104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6250,39625,7000,40375" +) +tg (CPTG +uid 7106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7107,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,39300,12100,40700" +st "reset" +blo "8000,40500" +) +s (Text +uid 7108,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8000,40700,8000,40700" +blo "-11000,56900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7076,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7000,28000,23000,42000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7077,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*254 (Text +uid 7078,0 +va (VaSet +) +xt "6910,42700,13510,43900" +st "sequential" +blo "6910,43700" +tm "BdLibraryNameMgr" +) +*255 (Text +uid 7079,0 +va (VaSet +) +xt "6910,43700,16910,44900" +st "registerUnsigned" +blo "6910,44700" +tm "CptNameMgr" +) +*256 (Text +uid 7080,0 +va (VaSet +) +xt "6910,44700,9510,45900" +st "I27" +blo "6910,45700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7081,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7082,0 +text (MLText +uid 7083,0 +va (VaSet +) +xt "7000,45600,32100,48000" +st "delay = 1 ns ( time ) +registerNbBits = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "registerNbBits" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*257 (Net +uid 7115,0 +decl (Decl +n "addrReg" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 23 +suid 65,0 +) +declText (MLText +uid 7116,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,30100,242500,31100" +st "SIGNAL addrReg : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*258 (HdlText +uid 7149,0 +optionalChildren [ +*259 (EmbeddedText +uid 7154,0 +commentText (CommentText +uid 7155,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 7156,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "12000,13000,18000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 7157,0 +va (VaSet +) +xt "12200,13200,17700,14400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 7150,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "11000,12000,19000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7151,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*260 (Text +uid 7152,0 +va (VaSet +) +xt "11400,16000,14000,17200" +st "eb8" +blo "11400,17000" +tm "HdlTextNameMgr" +) +*261 (Text +uid 7153,0 +va (VaSet +) +xt "11400,17000,12800,18200" +st "8" +blo "11400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*262 (Net +uid 7166,0 +decl (Decl +n "logic1" +t "std_ulogic" +o 42 +suid 66,0 +) +declText (MLText +uid 7167,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,47200,229900,48200" +st "SIGNAL logic1 : std_ulogic" +) +) +*263 (SaComponent +uid 7695,0 +optionalChildren [ +*264 (CptPort +uid 7704,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7705,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,107625,43750,108375" +) +tg (CPTG +uid 7706,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7707,0 +va (VaSet +) +xt "35400,107400,42000,108600" +st "writePulse" +ju 2 +blo "42000,108400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writePulse" +t "std_ulogic" +o 1 +) +) +) +*265 (CptPort +uid 7708,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7709,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,107625,27000,108375" +) +tg (CPTG +uid 7710,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7711,0 +va (VaSet +) +xt "28000,107400,31100,108600" +st "write" +blo "28000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 2 +) +) +) +*266 (CptPort +uid 7712,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7713,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,109625,27000,110375" +) +tg (CPTG +uid 7714,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7715,0 +va (VaSet +) +xt "28000,109400,34700,110600" +st "chipSelect" +blo "28000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "chipSelect" +t "std_ulogic" +o 3 +) +) +) +*267 (CptPort +uid 7716,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7717,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,113625,27000,114375" +) +tg (CPTG +uid 7718,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7719,0 +va (VaSet +) +xt "28000,113400,31400,114600" +st "clock" +blo "28000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*268 (CptPort +uid 7720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,115625,27000,116375" +) +tg (CPTG +uid 7722,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7723,0 +va (VaSet +) +xt "28000,115400,31300,116600" +st "reset" +blo "28000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +] +shape (Rectangle +uid 7696,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,104000,43000,118000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7697,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*269 (Text +uid 7698,0 +va (VaSet +) +xt "27600,117800,31900,119000" +st "Curves" +blo "27600,118800" +tm "BdLibraryNameMgr" +) +*270 (Text +uid 7699,0 +va (VaSet +) +xt "27600,118800,37500,120000" +st "periphWritePulse" +blo "27600,119800" +tm "CptNameMgr" +) +*271 (Text +uid 7700,0 +va (VaSet +) +xt "27600,119800,30200,121000" +st "I28" +blo "27600,120800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7701,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7702,0 +text (MLText +uid 7703,0 +va (VaSet +font "Verdana,8,0" +) +xt "-7000,95000,-7000,95000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*272 (Net +uid 7756,0 +decl (Decl +n "wr16Pulse" +t "std_ulogic" +o 84 +suid 67,0 +) +declText (MLText +uid 7757,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,85000,230800,86000" +st "SIGNAL wr16Pulse : std_ulogic" +) +) +*273 (SaComponent +uid 7770,0 +optionalChildren [ +*274 (CptPort +uid 7758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7759,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "10250,105625,11000,106375" +) +tg (CPTG +uid 7760,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7761,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11000,105400,22600,106800" +st "in1 : std_uLogic" +blo "11000,106600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*275 (CptPort +uid 7762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7763,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "10250,109625,11000,110375" +) +tg (CPTG +uid 7764,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7765,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "11000,109400,22600,110800" +st "in2 : std_uLogic" +blo "11000,110600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*276 (CptPort +uid 7766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7767,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "17950,107625,18700,108375" +) +tg (CPTG +uid 7768,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7769,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "5400,107350,18000,108750" +st "out1 : std_uLogic" +ju 2 +blo "18000,108550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 7771,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "11000,105000,18000,111000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 7772,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*277 (Text +uid 7773,0 +va (VaSet +isHidden 1 +) +xt "13600,105700,17100,106900" +st "gates" +blo "13600,106700" +tm "BdLibraryNameMgr" +) +*278 (Text +uid 7774,0 +va (VaSet +isHidden 1 +) +xt "13600,106700,16800,107900" +st "and2" +blo "13600,107700" +tm "CptNameMgr" +) +*279 (Text +uid 7775,0 +va (VaSet +) +xt "13600,106700,16200,107900" +st "I29" +blo "13600,107700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7776,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7777,0 +text (MLText +uid 7778,0 +va (VaSet +isHidden 1 +) +xt "11000,111400,24400,112600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*280 (Net +uid 7799,0 +decl (Decl +n "wr16" +t "std_ulogic" +o 83 +suid 68,0 +) +declText (MLText +uid 7800,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,84100,230200,85100" +st "SIGNAL wr16 : std_ulogic" +) +) +*281 (SaComponent +uid 8139,0 +optionalChildren [ +*282 (CptPort +uid 8103,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,11625,91750,12375" +) +tg (CPTG +uid 8105,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8106,0 +va (VaSet +) +xt "87700,11400,90000,12600" +st "run" +ju 2 +blo "90000,12400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +) +) +) +*283 (CptPort +uid 8107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,11625,75000,12375" +) +tg (CPTG +uid 8109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8110,0 +va (VaSet +) +xt "76000,11400,80000,12600" +st "dataIn" +blo "76000,12400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*284 (CptPort +uid 8111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,13625,91750,14375" +) +tg (CPTG +uid 8113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8114,0 +va (VaSet +) +xt "81500,13400,90000,14600" +st "updatePattern" +ju 2 +blo "90000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +) +) +) +*285 (CptPort +uid 8115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8116,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,13625,75000,14375" +) +tg (CPTG +uid 8117,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8118,0 +va (VaSet +) +xt "76000,13400,80800,14600" +st "dataOut" +blo "76000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*286 (CptPort +uid 8119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,17625,75000,18375" +) +tg (CPTG +uid 8121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8122,0 +va (VaSet +) +xt "76000,17400,79100,18600" +st "write" +blo "76000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +) +) +) +*287 (CptPort +uid 8123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,19625,75000,20375" +) +tg (CPTG +uid 8125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8126,0 +va (VaSet +) +xt "76000,19400,77900,20600" +st "en" +blo "76000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +) +) +) +*288 (CptPort +uid 8127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,23625,75000,24375" +) +tg (CPTG +uid 8129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8130,0 +va (VaSet +) +xt "76000,23400,79400,24600" +st "clock" +blo "76000,24400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +) +) +) +*289 (CptPort +uid 8131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,25625,75000,26375" +) +tg (CPTG +uid 8133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8134,0 +va (VaSet +) +xt "76000,25400,79300,26600" +st "reset" +blo "76000,26400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*290 (CptPort +uid 8135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,15625,91750,16375" +) +tg (CPTG +uid 8137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8138,0 +va (VaSet +) +xt "80100,15400,90000,16600" +st "interpolateLinear" +ju 2 +blo "90000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8140,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,8000,91000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8141,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +uid 8142,0 +va (VaSet +) +xt "75600,27800,79900,29000" +st "Curves" +blo "75600,28800" +tm "BdLibraryNameMgr" +) +*292 (Text +uid 8143,0 +va (VaSet +) +xt "75600,28800,85700,30000" +st "periphControlReg" +blo "75600,29800" +tm "CptNameMgr" +) +*293 (Text +uid 8144,0 +va (VaSet +) +xt "75600,29800,77500,31000" +st "I4" +blo "75600,30800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8145,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8146,0 +text (MLText +uid 8147,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,31600,91600,32600" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*294 (Net +uid 8148,0 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 39 +suid 69,0 +) +declText (MLText +uid 8149,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,44500,231000,45500" +st "SIGNAL interpolateLinear : std_ulogic" +) +) +*295 (SaComponent +uid 8192,0 +optionalChildren [ +*296 (CptPort +uid 8156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,153625,185000,154375" +) +tg (CPTG +uid 8158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8159,0 +va (VaSet +) +xt "186000,153400,191000,154600" +st "sample1" +blo "186000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*297 (CptPort +uid 8160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8161,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,155625,185000,156375" +) +tg (CPTG +uid 8162,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8163,0 +va (VaSet +) +xt "186000,155400,191000,156600" +st "sample2" +blo "186000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*298 (CptPort +uid 8164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,157625,185000,158375" +) +tg (CPTG +uid 8166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8167,0 +va (VaSet +) +xt "186000,157400,191000,158600" +st "sample3" +blo "186000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +) +*299 (CptPort +uid 8168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,159625,185000,160375" +) +tg (CPTG +uid 8170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8171,0 +va (VaSet +) +xt "186000,159400,191000,160600" +st "sample4" +blo "186000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*300 (CptPort +uid 8172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,153625,201750,154375" +) +tg (CPTG +uid 8174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8175,0 +va (VaSet +) +xt "198700,153400,200000,154600" +st "a" +ju 2 +blo "200000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*301 (CptPort +uid 8176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,155625,201750,156375" +) +tg (CPTG +uid 8178,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8179,0 +va (VaSet +) +xt "198700,155400,200000,156600" +st "b" +ju 2 +blo "200000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*302 (CptPort +uid 8180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,159625,201750,160375" +) +tg (CPTG +uid 8182,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8183,0 +va (VaSet +) +xt "198700,159400,200000,160600" +st "d" +ju 2 +blo "200000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*303 (CptPort +uid 8184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,157625,201750,158375" +) +tg (CPTG +uid 8186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8187,0 +va (VaSet +) +xt "198700,157400,200000,158600" +st "c" +ju 2 +blo "200000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*304 (CptPort +uid 8188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8189,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,161625,185000,162375" +) +tg (CPTG +uid 8190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8191,0 +va (VaSet +) +xt "186000,161400,195900,162600" +st "interpolateLinear" +blo "186000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,150000,201000,166000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*305 (Text +uid 8195,0 +va (VaSet +) +xt "185600,165800,189900,167000" +st "Curves" +blo "185600,166800" +tm "BdLibraryNameMgr" +) +*306 (Text +uid 8196,0 +va (VaSet +) +xt "185600,166800,199500,168000" +st "interpolatorCoefficients" +blo "185600,167800" +tm "CptNameMgr" +) +*307 (Text +uid 8197,0 +va (VaSet +) +xt "185600,167800,187500,169000" +st "I8" +blo "185600,168800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8199,0 +text (MLText +uid 8200,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,169800,202800,171800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*308 (SaComponent +uid 8237,0 +optionalChildren [ +*309 (CptPort +uid 8201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,116625,185000,117375" +) +tg (CPTG +uid 8203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8204,0 +va (VaSet +) +xt "186000,116400,191000,117600" +st "sample1" +blo "186000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*310 (CptPort +uid 8205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,118625,185000,119375" +) +tg (CPTG +uid 8207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8208,0 +va (VaSet +) +xt "186000,118400,191000,119600" +st "sample2" +blo "186000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*311 (CptPort +uid 8209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,120625,185000,121375" +) +tg (CPTG +uid 8211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8212,0 +va (VaSet +) +xt "186000,120400,191000,121600" +st "sample3" +blo "186000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +) +*312 (CptPort +uid 8213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,122625,185000,123375" +) +tg (CPTG +uid 8215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8216,0 +va (VaSet +) +xt "186000,122400,191000,123600" +st "sample4" +blo "186000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*313 (CptPort +uid 8217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,116625,201750,117375" +) +tg (CPTG +uid 8219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8220,0 +va (VaSet +) +xt "198700,116400,200000,117600" +st "a" +ju 2 +blo "200000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*314 (CptPort +uid 8221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8222,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,118625,201750,119375" +) +tg (CPTG +uid 8223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8224,0 +va (VaSet +) +xt "198700,118400,200000,119600" +st "b" +ju 2 +blo "200000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*315 (CptPort +uid 8225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,122625,201750,123375" +) +tg (CPTG +uid 8227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8228,0 +va (VaSet +) +xt "198700,122400,200000,123600" +st "d" +ju 2 +blo "200000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*316 (CptPort +uid 8229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,120625,201750,121375" +) +tg (CPTG +uid 8231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8232,0 +va (VaSet +) +xt "198700,120400,200000,121600" +st "c" +ju 2 +blo "200000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*317 (CptPort +uid 8233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,124625,185000,125375" +) +tg (CPTG +uid 8235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8236,0 +va (VaSet +) +xt "186000,124400,195900,125600" +st "interpolateLinear" +blo "186000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 8238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,113000,201000,129000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8239,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*318 (Text +uid 8240,0 +va (VaSet +) +xt "185600,128800,189900,130000" +st "Curves" +blo "185600,129800" +tm "BdLibraryNameMgr" +) +*319 (Text +uid 8241,0 +va (VaSet +) +xt "185600,129800,199500,131000" +st "interpolatorCoefficients" +blo "185600,130800" +tm "CptNameMgr" +) +*320 (Text +uid 8242,0 +va (VaSet +) +xt "185600,130800,188200,132000" +st "I12" +blo "185600,131800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8243,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8244,0 +text (MLText +uid 8245,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,132800,202800,134800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*321 (SaComponent +uid 8656,0 +optionalChildren [ +*322 (CptPort +uid 8628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8629,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,116625,107000,117375" +) +tg (CPTG +uid 8630,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8631,0 +va (VaSet +) +xt "108000,116400,112000,117600" +st "dataIn" +blo "108000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*323 (CptPort +uid 8632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,116625,123750,117375" +) +tg (CPTG +uid 8634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8635,0 +va (VaSet +) +xt "117200,116400,122000,117600" +st "dataOut" +ju 2 +blo "122000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*324 (CptPort +uid 8636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8637,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,124625,107000,125375" +) +tg (CPTG +uid 8638,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8639,0 +va (VaSet +) +xt "108000,124400,109900,125600" +st "en" +blo "108000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*325 (CptPort +uid 8640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,128625,107000,129375" +) +tg (CPTG +uid 8642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8643,0 +va (VaSet +) +xt "108000,128400,111400,129600" +st "clock" +blo "108000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*326 (CptPort +uid 8644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8645,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,130625,107000,131375" +) +tg (CPTG +uid 8646,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8647,0 +va (VaSet +) +xt "108000,130400,111300,131600" +st "reset" +blo "108000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*327 (CptPort +uid 8648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,122625,107000,123375" +) +tg (CPTG +uid 8650,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8651,0 +va (VaSet +) +xt "108000,122400,111100,123600" +st "write" +blo "108000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*328 (CptPort +uid 8652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8653,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,118625,107000,119375" +) +tg (CPTG +uid 8654,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8655,0 +va (VaSet +) +xt "108000,118400,110900,119600" +st "addr" +blo "108000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 8657,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,113000,123000,133000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8658,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*329 (Text +uid 8659,0 +va (VaSet +) +xt "107600,132800,111900,134000" +st "Curves" +blo "107600,133800" +tm "BdLibraryNameMgr" +) +*330 (Text +uid 8660,0 +va (VaSet +) +xt "107600,133800,113400,135000" +st "blockRAM" +blo "107600,134800" +tm "CptNameMgr" +) +*331 (Text +uid 8661,0 +va (VaSet +) +xt "107600,134800,110200,136000" +st "I21" +blo "107600,135800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8662,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8663,0 +text (MLText +uid 8664,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,136600,129600,138600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*332 (SaComponent +uid 8693,0 +optionalChildren [ +*333 (CptPort +uid 8665,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8666,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,153625,107000,154375" +) +tg (CPTG +uid 8667,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8668,0 +va (VaSet +) +xt "108000,153400,112000,154600" +st "dataIn" +blo "108000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*334 (CptPort +uid 8669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8670,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,153625,123750,154375" +) +tg (CPTG +uid 8671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8672,0 +va (VaSet +) +xt "117200,153400,122000,154600" +st "dataOut" +ju 2 +blo "122000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*335 (CptPort +uid 8673,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8674,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,161625,107000,162375" +) +tg (CPTG +uid 8675,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8676,0 +va (VaSet +) +xt "108000,161400,109900,162600" +st "en" +blo "108000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*336 (CptPort +uid 8677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8678,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,165625,107000,166375" +) +tg (CPTG +uid 8679,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8680,0 +va (VaSet +) +xt "108000,165400,111400,166600" +st "clock" +blo "108000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*337 (CptPort +uid 8681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8682,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,167625,107000,168375" +) +tg (CPTG +uid 8683,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8684,0 +va (VaSet +) +xt "108000,167400,111300,168600" +st "reset" +blo "108000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*338 (CptPort +uid 8685,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8686,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,159625,107000,160375" +) +tg (CPTG +uid 8687,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8688,0 +va (VaSet +) +xt "108000,159400,111100,160600" +st "write" +blo "108000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*339 (CptPort +uid 8689,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8690,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,155625,107000,156375" +) +tg (CPTG +uid 8691,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8692,0 +va (VaSet +) +xt "108000,155400,110900,156600" +st "addr" +blo "108000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 8694,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,150000,123000,170000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 8695,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*340 (Text +uid 8696,0 +va (VaSet +) +xt "107600,169800,111900,171000" +st "Curves" +blo "107600,170800" +tm "BdLibraryNameMgr" +) +*341 (Text +uid 8697,0 +va (VaSet +) +xt "107600,170800,113400,172000" +st "blockRAM" +blo "107600,171800" +tm "CptNameMgr" +) +*342 (Text +uid 8698,0 +va (VaSet +) +xt "107600,171800,110200,173000" +st "I16" +blo "107600,172800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8699,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8700,0 +text (MLText +uid 8701,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,173600,129600,175600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*343 (SaComponent +uid 9102,0 +optionalChildren [ +*344 (CptPort +uid 9070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9071,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,122625,161000,123375" +) +tg (CPTG +uid 9072,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9073,0 +va (VaSet +) +xt "162000,122400,165400,123600" +st "clock" +blo "162000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*345 (CptPort +uid 9074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,124625,161000,125375" +) +tg (CPTG +uid 9076,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9077,0 +va (VaSet +) +xt "162000,124400,165300,125600" +st "reset" +blo "162000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*346 (CptPort +uid 9078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,118625,161000,119375" +) +tg (CPTG +uid 9080,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9081,0 +va (VaSet +) +xt "162000,118400,169900,119600" +st "shiftSamples" +blo "162000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*347 (CptPort +uid 9082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,116625,161000,117375" +) +tg (CPTG +uid 9084,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9085,0 +va (VaSet +) +xt "162000,116400,167400,117600" +st "sampleIn" +blo "162000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*348 (CptPort +uid 9086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,116625,177750,117375" +) +tg (CPTG +uid 9088,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9089,0 +va (VaSet +) +xt "171000,116400,176000,117600" +st "sample1" +ju 2 +blo "176000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*349 (CptPort +uid 9090,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9091,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,118625,177750,119375" +) +tg (CPTG +uid 9092,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9093,0 +va (VaSet +) +xt "171000,118400,176000,119600" +st "sample2" +ju 2 +blo "176000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*350 (CptPort +uid 9094,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9095,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,120625,177750,121375" +) +tg (CPTG +uid 9096,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9097,0 +va (VaSet +) +xt "171000,120400,176000,121600" +st "sample3" +ju 2 +blo "176000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*351 (CptPort +uid 9098,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9099,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,122625,177750,123375" +) +tg (CPTG +uid 9100,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9101,0 +va (VaSet +) +xt "171000,122400,176000,123600" +st "sample4" +ju 2 +blo "176000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 9103,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,113000,177000,127000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9104,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*352 (Text +uid 9105,0 +va (VaSet +) +xt "161600,126800,165900,128000" +st "Curves" +blo "161600,127800" +tm "BdLibraryNameMgr" +) +*353 (Text +uid 9106,0 +va (VaSet +) +xt "161600,127800,176000,129000" +st "interpolatorShiftRegister" +blo "161600,128800" +tm "CptNameMgr" +) +*354 (Text +uid 9107,0 +va (VaSet +) +xt "161600,128800,164200,130000" +st "I11" +blo "161600,129800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9108,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9109,0 +text (MLText +uid 9110,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,130600,179100,131600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*355 (SaComponent +uid 9143,0 +optionalChildren [ +*356 (CptPort +uid 9111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,159625,161000,160375" +) +tg (CPTG +uid 9113,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9114,0 +va (VaSet +) +xt "162000,159400,165400,160600" +st "clock" +blo "162000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*357 (CptPort +uid 9115,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9116,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,161625,161000,162375" +) +tg (CPTG +uid 9117,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9118,0 +va (VaSet +) +xt "162000,161400,165300,162600" +st "reset" +blo "162000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*358 (CptPort +uid 9119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,155625,161000,156375" +) +tg (CPTG +uid 9121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9122,0 +va (VaSet +) +xt "162000,155400,169900,156600" +st "shiftSamples" +blo "162000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*359 (CptPort +uid 9123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,153625,161000,154375" +) +tg (CPTG +uid 9125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9126,0 +va (VaSet +) +xt "162000,153400,167400,154600" +st "sampleIn" +blo "162000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*360 (CptPort +uid 9127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,153625,177750,154375" +) +tg (CPTG +uid 9129,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9130,0 +va (VaSet +) +xt "171000,153400,176000,154600" +st "sample1" +ju 2 +blo "176000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*361 (CptPort +uid 9131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,155625,177750,156375" +) +tg (CPTG +uid 9133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9134,0 +va (VaSet +) +xt "171000,155400,176000,156600" +st "sample2" +ju 2 +blo "176000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*362 (CptPort +uid 9135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,157625,177750,158375" +) +tg (CPTG +uid 9137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9138,0 +va (VaSet +) +xt "171000,157400,176000,158600" +st "sample3" +ju 2 +blo "176000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*363 (CptPort +uid 9139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,159625,177750,160375" +) +tg (CPTG +uid 9141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9142,0 +va (VaSet +) +xt "171000,159400,176000,160600" +st "sample4" +ju 2 +blo "176000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 9144,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,150000,177000,164000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9145,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*364 (Text +uid 9146,0 +va (VaSet +) +xt "161600,163800,165900,165000" +st "Curves" +blo "161600,164800" +tm "BdLibraryNameMgr" +) +*365 (Text +uid 9147,0 +va (VaSet +) +xt "161600,164800,176000,166000" +st "interpolatorShiftRegister" +blo "161600,165800" +tm "CptNameMgr" +) +*366 (Text +uid 9148,0 +va (VaSet +) +xt "161600,165800,163500,167000" +st "I7" +blo "161600,166800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9149,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9150,0 +text (MLText +uid 9151,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,167600,179100,168600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*367 (SaComponent +uid 9190,0 +optionalChildren [ +*368 (CptPort +uid 9154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,130625,217000,131375" +) +tg (CPTG +uid 9156,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9157,0 +va (VaSet +) +xt "218000,130400,221400,131600" +st "clock" +blo "218000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*369 (CptPort +uid 9158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,132625,217000,133375" +) +tg (CPTG +uid 9160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9161,0 +va (VaSet +) +xt "218000,132400,221300,133600" +st "reset" +blo "218000,133400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*370 (CptPort +uid 9162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,124625,217000,125375" +) +tg (CPTG +uid 9164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9165,0 +va (VaSet +) +xt "218000,124400,227100,125600" +st "restartPolynom" +blo "218000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +) +) +) +*371 (CptPort +uid 9166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,122625,217000,123375" +) +tg (CPTG +uid 9168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9169,0 +va (VaSet +) +xt "218000,122400,219300,123600" +st "d" +blo "218000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*372 (CptPort +uid 9170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,116625,233750,117375" +) +tg (CPTG +uid 9172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9173,0 +va (VaSet +) +xt "225800,116400,232000,117600" +st "sampleOut" +ju 2 +blo "232000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*373 (CptPort +uid 9174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,120625,217000,121375" +) +tg (CPTG +uid 9176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9177,0 +va (VaSet +) +xt "218000,120400,219300,121600" +st "c" +blo "218000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*374 (CptPort +uid 9178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,118625,217000,119375" +) +tg (CPTG +uid 9180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9181,0 +va (VaSet +) +xt "218000,118400,219300,119600" +st "b" +blo "218000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*375 (CptPort +uid 9182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,116625,217000,117375" +) +tg (CPTG +uid 9184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9185,0 +va (VaSet +) +xt "218000,116400,219300,117600" +st "a" +blo "218000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*376 (CptPort +uid 9186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,128625,217000,129375" +) +tg (CPTG +uid 9188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9189,0 +va (VaSet +) +xt "218000,128400,219900,129600" +st "en" +blo "218000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 9191,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,113000,233000,136000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9192,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*377 (Text +uid 9193,0 +va (VaSet +) +xt "217600,135800,221900,137000" +st "Curves" +blo "217600,136800" +tm "BdLibraryNameMgr" +) +*378 (Text +uid 9194,0 +va (VaSet +) +xt "217600,136800,234600,138000" +st "interpolatorCalculatePolynom" +blo "217600,137800" +tm "CptNameMgr" +) +*379 (Text +uid 9195,0 +va (VaSet +) +xt "217600,137800,220200,139000" +st "I13" +blo "217600,138800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9196,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9197,0 +text (MLText +uid 9198,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,139000,241200,142000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*380 (SaComponent +uid 9235,0 +optionalChildren [ +*381 (CptPort +uid 9199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,167625,217000,168375" +) +tg (CPTG +uid 9201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9202,0 +va (VaSet +) +xt "218000,167400,221400,168600" +st "clock" +blo "218000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*382 (CptPort +uid 9203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9204,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,169625,217000,170375" +) +tg (CPTG +uid 9205,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9206,0 +va (VaSet +) +xt "218000,169400,221300,170600" +st "reset" +blo "218000,170400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*383 (CptPort +uid 9207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9208,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,161625,217000,162375" +) +tg (CPTG +uid 9209,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9210,0 +va (VaSet +) +xt "218000,161400,227100,162600" +st "restartPolynom" +blo "218000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +) +) +) +*384 (CptPort +uid 9211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9212,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,159625,217000,160375" +) +tg (CPTG +uid 9213,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9214,0 +va (VaSet +) +xt "218000,159400,219300,160600" +st "d" +blo "218000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*385 (CptPort +uid 9215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,153625,233750,154375" +) +tg (CPTG +uid 9217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9218,0 +va (VaSet +) +xt "225800,153400,232000,154600" +st "sampleOut" +ju 2 +blo "232000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*386 (CptPort +uid 9219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,157625,217000,158375" +) +tg (CPTG +uid 9221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9222,0 +va (VaSet +) +xt "218000,157400,219300,158600" +st "c" +blo "218000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*387 (CptPort +uid 9223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,155625,217000,156375" +) +tg (CPTG +uid 9225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9226,0 +va (VaSet +) +xt "218000,155400,219300,156600" +st "b" +blo "218000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*388 (CptPort +uid 9227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,153625,217000,154375" +) +tg (CPTG +uid 9229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9230,0 +va (VaSet +) +xt "218000,153400,219300,154600" +st "a" +blo "218000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*389 (CptPort +uid 9231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,165625,217000,166375" +) +tg (CPTG +uid 9233,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9234,0 +va (VaSet +) +xt "218000,165400,219900,166600" +st "en" +blo "218000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 9236,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,150000,233000,173000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 9237,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*390 (Text +uid 9238,0 +va (VaSet +) +xt "217600,172800,221900,174000" +st "Curves" +blo "217600,173800" +tm "BdLibraryNameMgr" +) +*391 (Text +uid 9239,0 +va (VaSet +) +xt "217600,173800,234600,175000" +st "interpolatorCalculatePolynom" +blo "217600,174800" +tm "CptNameMgr" +) +*392 (Text +uid 9240,0 +va (VaSet +) +xt "217600,174800,219500,176000" +st "I9" +blo "217600,175800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9241,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9242,0 +text (MLText +uid 9243,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,176000,241200,179000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*393 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 38 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,43600,230600,44600" +st "SIGNAL interpolateLin : std_ulogic" +) +) +*394 (SaComponent +uid 10416,0 +optionalChildren [ +*395 (CptPort +uid 10425,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10426,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,209625,75000,210375" +) +tg (CPTG +uid 10427,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10428,0 +va (VaSet +) +xt "76000,209400,77900,210600" +st "en" +blo "76000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +) +) +) +*396 (CptPort +uid 10429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10430,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,213625,75000,214375" +) +tg (CPTG +uid 10431,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10432,0 +va (VaSet +) +xt "76000,213400,79400,214600" +st "clock" +blo "76000,214400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*397 (CptPort +uid 10433,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10434,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,215625,75000,216375" +) +tg (CPTG +uid 10435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10436,0 +va (VaSet +) +xt "76000,215400,79300,216600" +st "reset" +blo "76000,216400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*398 (CptPort +uid 10437,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10438,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,207625,75000,208375" +) +tg (CPTG +uid 10439,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10440,0 +va (VaSet +) +xt "76000,207400,82500,208600" +st "updateMem" +blo "76000,208400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +) +) +) +*399 (CptPort +uid 10441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10442,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,205625,91750,206375" +) +tg (CPTG +uid 10443,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10444,0 +va (VaSet +) +xt "87100,205400,90000,206600" +st "addr" +ju 2 +blo "90000,206400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*400 (CptPort +uid 10445,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10446,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,205625,75000,206375" +) +tg (CPTG +uid 10447,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10448,0 +va (VaSet +) +xt "76000,205400,83100,206600" +st "patternSize" +blo "76000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 10417,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,202000,91000,218000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10418,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*401 (Text +uid 10419,0 +va (VaSet +) +xt "75600,217800,79900,219000" +st "Curves" +blo "75600,218800" +tm "BdLibraryNameMgr" +) +*402 (Text +uid 10420,0 +va (VaSet +) +xt "75600,218800,91000,220000" +st "blockRAMAddressCounter" +blo "75600,219800" +tm "CptNameMgr" +) +*403 (Text +uid 10421,0 +va (VaSet +) +xt "75600,219800,78200,221000" +st "I30" +blo "75600,220800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10422,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10423,0 +text (MLText +uid 10424,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,221600,98800,223600" +st "addressBitNb = patternAddressBitNb ( positive ) +patternSizeBitNb = dataBitNb/2 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "dataBitNb/2" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*404 (SaComponent +uid 10494,0 +optionalChildren [ +*405 (CptPort +uid 10503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,203625,107000,204375" +) +tg (CPTG +uid 10505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10506,0 +va (VaSet +) +xt "108000,203400,112000,204600" +st "dataIn" +blo "108000,204400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*406 (CptPort +uid 10507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,203625,123750,204375" +) +tg (CPTG +uid 10509,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10510,0 +va (VaSet +) +xt "117200,203400,122000,204600" +st "dataOut" +ju 2 +blo "122000,204400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*407 (CptPort +uid 10511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,211625,107000,212375" +) +tg (CPTG +uid 10513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10514,0 +va (VaSet +) +xt "108000,211400,109900,212600" +st "en" +blo "108000,212400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*408 (CptPort +uid 10515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,215625,107000,216375" +) +tg (CPTG +uid 10517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10518,0 +va (VaSet +) +xt "108000,215400,111400,216600" +st "clock" +blo "108000,216400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +) +*409 (CptPort +uid 10519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,217625,107000,218375" +) +tg (CPTG +uid 10521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10522,0 +va (VaSet +) +xt "108000,217400,111300,218600" +st "reset" +blo "108000,218400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +) +*410 (CptPort +uid 10523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,209625,107000,210375" +) +tg (CPTG +uid 10525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10526,0 +va (VaSet +) +xt "108000,209400,111100,210600" +st "write" +blo "108000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +) +) +) +*411 (CptPort +uid 10527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,205625,107000,206375" +) +tg (CPTG +uid 10529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10530,0 +va (VaSet +) +xt "108000,205400,110900,206600" +st "addr" +blo "108000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +) +) +) +] +shape (Rectangle +uid 10495,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,200000,123000,220000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10496,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*412 (Text +uid 10497,0 +va (VaSet +) +xt "107600,219800,111900,221000" +st "Curves" +blo "107600,220800" +tm "BdLibraryNameMgr" +) +*413 (Text +uid 10498,0 +va (VaSet +) +xt "107600,220800,113400,222000" +st "blockRAM" +blo "107600,221800" +tm "CptNameMgr" +) +*414 (Text +uid 10499,0 +va (VaSet +) +xt "107600,221800,110200,223000" +st "I32" +blo "107600,222800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10500,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10501,0 +text (MLText +uid 10502,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,223600,129600,225600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*415 (Net +uid 10607,0 +decl (Decl +n "cntIncrZ" +t "std_ulogic" +o 33 +suid 71,0 +) +declText (MLText +uid 10608,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,39100,230200,40100" +st "SIGNAL cntIncrZ : std_ulogic" +) +) +*416 (Net +uid 10609,0 +decl (Decl +n "addrZ" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 26 +suid 72,0 +) +declText (MLText +uid 10610,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,32800,244900,33800" +st "SIGNAL addrZ : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*417 (Net +uid 10611,0 +decl (Decl +n "memZ" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 51 +suid 73,0 +) +declText (MLText +uid 10612,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,55300,244700,56300" +st "SIGNAL memZ : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*418 (Net +uid 10613,0 +decl (Decl +n "memWrZ" +t "std_ulogic" +o 48 +suid 74,0 +) +declText (MLText +uid 10614,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,52600,231300,53600" +st "SIGNAL memWrZ : std_ulogic" +) +) +*419 (Net +uid 10615,0 +decl (Decl +n "memEnZ" +t "std_ulogic" +o 45 +suid 75,0 +) +declText (MLText +uid 10616,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,49900,231200,50900" +st "SIGNAL memEnZ : std_ulogic" +) +) +*420 (SaComponent +uid 10651,0 +optionalChildren [ +*421 (CptPort +uid 10623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,31625,43750,32375" +) +tg (CPTG +uid 10625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10626,0 +va (VaSet +) +xt "35400,31400,42000,32600" +st "selControl" +ju 2 +blo "42000,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +) +) +) +*422 (CptPort +uid 10627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,31625,27000,32375" +) +tg (CPTG +uid 10629,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 10630,0 +va (VaSet +) +xt "28000,31400,30900,32600" +st "addr" +blo "28000,32400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*423 (CptPort +uid 10631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,33625,43750,34375" +) +tg (CPTG +uid 10633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10634,0 +va (VaSet +) +xt "37700,33400,42000,34600" +st "selSize" +ju 2 +blo "42000,34400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSize" +t "std_ulogic" +o 3 +) +) +) +*424 (CptPort +uid 10635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,35625,43750,36375" +) +tg (CPTG +uid 10637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10638,0 +va (VaSet +) +xt "36700,35400,42000,36600" +st "selSpeed" +ju 2 +blo "42000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 4 +) +) +) +*425 (CptPort +uid 10639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,37625,43750,38375" +) +tg (CPTG +uid 10641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10642,0 +va (VaSet +) +xt "39100,37400,42000,38600" +st "selX" +ju 2 +blo "42000,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 5 +) +) +) +*426 (CptPort +uid 10643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,39625,43750,40375" +) +tg (CPTG +uid 10645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10646,0 +va (VaSet +) +xt "39100,39400,42000,40600" +st "selY" +ju 2 +blo "42000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 6 +) +) +) +*427 (CptPort +uid 10647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 10648,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,41625,43750,42375" +) +tg (CPTG +uid 10649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 10650,0 +va (VaSet +) +xt "39100,41400,42000,42600" +st "selZ" +ju 2 +blo "42000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,28000,43000,46000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10653,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*428 (Text +uid 10654,0 +va (VaSet +) +xt "27600,45800,31900,47000" +st "Curves" +blo "27600,46800" +tm "BdLibraryNameMgr" +) +*429 (Text +uid 10655,0 +va (VaSet +) +xt "27600,46800,41200,48000" +st "periphAddressDecoder" +blo "27600,47800" +tm "CptNameMgr" +) +*430 (Text +uid 10656,0 +va (VaSet +) +xt "27600,47800,29500,49000" +st "I2" +blo "27600,48800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 10657,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 10658,0 +text (MLText +uid 10659,0 +va (VaSet +font "Verdana,8,0" +) +xt "27000,49600,46700,50600" +st "addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*431 (Net +uid 10660,0 +decl (Decl +n "selZ" +t "std_ulogic" +o 76 +suid 76,0 +) +declText (MLText +uid 10661,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,77800,229800,78800" +st "SIGNAL selZ : std_ulogic" +) +) +*432 (PortIoOut +uid 10878,0 +shape (CompositeShape +uid 10879,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10880,0 +sl 0 +ro 270 +xt "209500,205625,211000,206375" +) +(Line +uid 10881,0 +sl 0 +ro 270 +xt "209000,206000,209500,206000" +pts [ +"209000,206000" +"209500,206000" +] +) +] +) +tg (WTG +uid 10882,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10883,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "212000,205300,215700,206700" +st "outZ" +blo "212000,206500" +tm "WireNameMgr" +) +) +) +*433 (Net +uid 10890,0 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 77,0 +) +declText (MLText +uid 10891,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,13900,226800,14900" +st "outZ : std_ulogic" +) +) +*434 (HdlText +uid 10892,0 +optionalChildren [ +*435 (EmbeddedText +uid 10897,0 +commentText (CommentText +uid 10898,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 10899,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "186000,204000,200300,208000" +) +oxt "0,0,18000,5000" +text (MLText +uid 10900,0 +va (VaSet +) +xt "186200,204200,198500,207800" +st " +outZ <= '0' when ( (to_01(unsigned(sampleZ1)) = 0) or (to_01(unsigned(sampleZ2)) = 0) ) else '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14300 +) +) +) +] +shape (Rectangle +uid 10893,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "185000,202000,201000,210000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 10894,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*436 (Text +uid 10895,0 +va (VaSet +) +xt "185400,210000,188000,211200" +st "eb9" +blo "185400,211000" +tm "HdlTextNameMgr" +) +*437 (Text +uid 10896,0 +va (VaSet +) +xt "185400,211000,186800,212200" +st "9" +blo "185400,212000" +tm "HdlTextNumberMgr" +) +] +) +) +*438 (SaComponent +uid 11389,0 +optionalChildren [ +*439 (CptPort +uid 11353,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11354,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,133625,91750,134375" +) +tg (CPTG +uid 11355,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11356,0 +va (VaSet +) +xt "85600,133400,90000,134600" +st "memWr" +ju 2 +blo "90000,134400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*440 (CptPort +uid 11357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,129625,75000,130375" +) +tg (CPTG +uid 11359,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11360,0 +va (VaSet +) +xt "76000,129400,78200,130600" +st "sel" +blo "76000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*441 (CptPort +uid 11361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11362,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,135625,91750,136375" +) +tg (CPTG +uid 11363,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11364,0 +va (VaSet +) +xt "85600,135400,90000,136600" +st "memEn" +ju 2 +blo "90000,136400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*442 (CptPort +uid 11365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11366,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,133625,75000,134375" +) +tg (CPTG +uid 11367,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11368,0 +va (VaSet +) +xt "76000,133400,80100,134600" +st "update" +blo "76000,134400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*443 (CptPort +uid 11369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,131625,75000,132375" +) +tg (CPTG +uid 11371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11372,0 +va (VaSet +) +xt "76000,131400,77800,132600" +st "wr" +blo "76000,132400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*444 (CptPort +uid 11373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,129625,91750,130375" +) +tg (CPTG +uid 11375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11376,0 +va (VaSet +) +xt "85600,129400,90000,130600" +st "cntIncr" +ju 2 +blo "90000,130400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*445 (CptPort +uid 11377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,135625,75000,136375" +) +tg (CPTG +uid 11379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11380,0 +va (VaSet +) +xt "76000,135400,82300,136600" +st "newSample" +blo "76000,136400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*446 (CptPort +uid 11381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11382,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,139625,75000,140375" +) +tg (CPTG +uid 11383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11384,0 +va (VaSet +) +xt "76000,139400,79400,140600" +st "clock" +blo "76000,140400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*447 (CptPort +uid 11385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,141625,75000,142375" +) +tg (CPTG +uid 11387,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11388,0 +va (VaSet +) +xt "76000,141400,79300,142600" +st "reset" +blo "76000,142400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11390,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,126000,91000,144000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11391,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*448 (Text +uid 11392,0 +va (VaSet +) +xt "75600,143800,79900,145000" +st "Curves" +blo "75600,144800" +tm "BdLibraryNameMgr" +) +*449 (Text +uid 11393,0 +va (VaSet +) +xt "75600,144800,85800,146000" +st "blockRAMControl" +blo "75600,145800" +tm "CptNameMgr" +) +*450 (Text +uid 11394,0 +va (VaSet +) +xt "75600,145800,78200,147000" +st "I19" +blo "75600,146800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11395,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11396,0 +text (MLText +uid 11397,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,118000,43000,118000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*451 (SaComponent +uid 11434,0 +optionalChildren [ +*452 (CptPort +uid 11398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11399,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,183625,91750,184375" +) +tg (CPTG +uid 11400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11401,0 +va (VaSet +) +xt "85600,183400,90000,184600" +st "memWr" +ju 2 +blo "90000,184400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*453 (CptPort +uid 11402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11403,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,179625,75000,180375" +) +tg (CPTG +uid 11404,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11405,0 +va (VaSet +) +xt "76000,179400,78200,180600" +st "sel" +blo "76000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*454 (CptPort +uid 11406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11407,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,185625,91750,186375" +) +tg (CPTG +uid 11408,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11409,0 +va (VaSet +) +xt "85600,185400,90000,186600" +st "memEn" +ju 2 +blo "90000,186400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*455 (CptPort +uid 11410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,183625,75000,184375" +) +tg (CPTG +uid 11412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11413,0 +va (VaSet +) +xt "76000,183400,80100,184600" +st "update" +blo "76000,184400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*456 (CptPort +uid 11414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,181625,75000,182375" +) +tg (CPTG +uid 11416,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11417,0 +va (VaSet +) +xt "76000,181400,77800,182600" +st "wr" +blo "76000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*457 (CptPort +uid 11418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11419,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,179625,91750,180375" +) +tg (CPTG +uid 11420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11421,0 +va (VaSet +) +xt "85600,179400,90000,180600" +st "cntIncr" +ju 2 +blo "90000,180400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*458 (CptPort +uid 11422,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11423,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,185625,75000,186375" +) +tg (CPTG +uid 11424,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11425,0 +va (VaSet +) +xt "76000,185400,82300,186600" +st "newSample" +blo "76000,186400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*459 (CptPort +uid 11426,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11427,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,189625,75000,190375" +) +tg (CPTG +uid 11428,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11429,0 +va (VaSet +) +xt "76000,189400,79400,190600" +st "clock" +blo "76000,190400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*460 (CptPort +uid 11430,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11431,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,191625,75000,192375" +) +tg (CPTG +uid 11432,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11433,0 +va (VaSet +) +xt "76000,191400,79300,192600" +st "reset" +blo "76000,192400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11435,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,176000,91000,194000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11436,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*461 (Text +uid 11437,0 +va (VaSet +) +xt "75600,193800,79900,195000" +st "Curves" +blo "75600,194800" +tm "BdLibraryNameMgr" +) +*462 (Text +uid 11438,0 +va (VaSet +) +xt "75600,194800,85800,196000" +st "blockRAMControl" +blo "75600,195800" +tm "CptNameMgr" +) +*463 (Text +uid 11439,0 +va (VaSet +) +xt "75600,195800,78200,197000" +st "I17" +blo "75600,196800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11440,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11441,0 +text (MLText +uid 11442,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,168000,43000,168000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*464 (SaComponent +uid 11479,0 +optionalChildren [ +*465 (CptPort +uid 11443,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11444,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,233625,91750,234375" +) +tg (CPTG +uid 11445,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11446,0 +va (VaSet +) +xt "85600,233400,90000,234600" +st "memWr" +ju 2 +blo "90000,234400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*466 (CptPort +uid 11447,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11448,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,229625,75000,230375" +) +tg (CPTG +uid 11449,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11450,0 +va (VaSet +) +xt "76000,229400,78200,230600" +st "sel" +blo "76000,230400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*467 (CptPort +uid 11451,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11452,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,235625,91750,236375" +) +tg (CPTG +uid 11453,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11454,0 +va (VaSet +) +xt "85600,235400,90000,236600" +st "memEn" +ju 2 +blo "90000,236400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*468 (CptPort +uid 11455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11456,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,233625,75000,234375" +) +tg (CPTG +uid 11457,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11458,0 +va (VaSet +) +xt "76000,233400,80100,234600" +st "update" +blo "76000,234400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*469 (CptPort +uid 11459,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11460,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,231625,75000,232375" +) +tg (CPTG +uid 11461,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11462,0 +va (VaSet +) +xt "76000,231400,77800,232600" +st "wr" +blo "76000,232400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*470 (CptPort +uid 11463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,229625,91750,230375" +) +tg (CPTG +uid 11465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11466,0 +va (VaSet +) +xt "85600,229400,90000,230600" +st "cntIncr" +ju 2 +blo "90000,230400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*471 (CptPort +uid 11467,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11468,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,235625,75000,236375" +) +tg (CPTG +uid 11469,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11470,0 +va (VaSet +) +xt "76000,235400,82300,236600" +st "newSample" +blo "76000,236400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*472 (CptPort +uid 11471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,239625,75000,240375" +) +tg (CPTG +uid 11473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11474,0 +va (VaSet +) +xt "76000,239400,79400,240600" +st "clock" +blo "76000,240400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*473 (CptPort +uid 11475,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11476,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,241625,75000,242375" +) +tg (CPTG +uid 11477,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11478,0 +va (VaSet +) +xt "76000,241400,79300,242600" +st "reset" +blo "76000,242400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11480,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,226000,91000,244000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11481,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*474 (Text +uid 11482,0 +va (VaSet +) +xt "75600,243800,79900,245000" +st "Curves" +blo "75600,244800" +tm "BdLibraryNameMgr" +) +*475 (Text +uid 11483,0 +va (VaSet +) +xt "75600,244800,85800,246000" +st "blockRAMControl" +blo "75600,245800" +tm "CptNameMgr" +) +*476 (Text +uid 11484,0 +va (VaSet +) +xt "75600,245800,78200,247000" +st "I31" +blo "75600,246800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11485,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11486,0 +text (MLText +uid 11487,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "43000,218000,43000,218000" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*477 (SaComponent +uid 11488,0 +optionalChildren [ +*478 (CptPort +uid 11497,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11498,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,209625,161000,210375" +) +tg (CPTG +uid 11499,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11500,0 +va (VaSet +) +xt "162000,209400,165400,210600" +st "clock" +blo "162000,210400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*479 (CptPort +uid 11501,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11502,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,211625,161000,212375" +) +tg (CPTG +uid 11503,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11504,0 +va (VaSet +) +xt "162000,211400,165300,212600" +st "reset" +blo "162000,212400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*480 (CptPort +uid 11505,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11506,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,205625,161000,206375" +) +tg (CPTG +uid 11507,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11508,0 +va (VaSet +) +xt "162000,205400,169900,206600" +st "shiftSamples" +blo "162000,206400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*481 (CptPort +uid 11509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11510,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,203625,161000,204375" +) +tg (CPTG +uid 11511,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11512,0 +va (VaSet +) +xt "162000,203400,167400,204600" +st "sampleIn" +blo "162000,204400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*482 (CptPort +uid 11513,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11514,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,203625,177750,204375" +) +tg (CPTG +uid 11515,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11516,0 +va (VaSet +) +xt "171000,203400,176000,204600" +st "sample1" +ju 2 +blo "176000,204400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*483 (CptPort +uid 11517,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11518,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,205625,177750,206375" +) +tg (CPTG +uid 11519,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11520,0 +va (VaSet +) +xt "171000,205400,176000,206600" +st "sample2" +ju 2 +blo "176000,206400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*484 (CptPort +uid 11521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11522,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,207625,177750,208375" +) +tg (CPTG +uid 11523,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11524,0 +va (VaSet +) +xt "171000,207400,176000,208600" +st "sample3" +ju 2 +blo "176000,208400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*485 (CptPort +uid 11525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11526,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,209625,177750,210375" +) +tg (CPTG +uid 11527,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11528,0 +va (VaSet +) +xt "171000,209400,176000,210600" +st "sample4" +ju 2 +blo "176000,210400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 11489,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,200000,177000,214000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11490,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*486 (Text +uid 11491,0 +va (VaSet +) +xt "161600,213800,165900,215000" +st "Curves" +blo "161600,214800" +tm "BdLibraryNameMgr" +) +*487 (Text +uid 11492,0 +va (VaSet +) +xt "161600,214800,176000,216000" +st "interpolatorShiftRegister" +blo "161600,215800" +tm "CptNameMgr" +) +*488 (Text +uid 11493,0 +va (VaSet +) +xt "161600,215800,164200,217000" +st "I33" +blo "161600,216800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11494,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11495,0 +text (MLText +uid 11496,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,217600,179100,218600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*489 (Net +uid 11567,0 +decl (Decl +n "sampleZ1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 66 +suid 78,0 +) +declText (MLText +uid 11568,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,68800,240900,69800" +st "SIGNAL sampleZ1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*490 (Net +uid 11569,0 +decl (Decl +n "sampleZ2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 67 +suid 79,0 +) +declText (MLText +uid 11570,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,69700,240900,70700" +st "SIGNAL sampleZ2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*491 (HdlText +uid 11571,0 +optionalChildren [ +*492 (EmbeddedText +uid 11576,0 +commentText (CommentText +uid 11577,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11578,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,202000,146300,206000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11579,0 +va (VaSet +) +xt "132200,202200,146500,205800" +st " +samplesZ <= (others => '1') when selSinCos = '1' + else signed(memZ); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14300 +) +) +) +] +shape (Rectangle +uid 11572,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,200000,147000,208000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11573,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*493 (Text +uid 11574,0 +va (VaSet +) +xt "131400,208000,134700,209200" +st "eb10" +blo "131400,209000" +tm "HdlTextNameMgr" +) +*494 (Text +uid 11575,0 +va (VaSet +) +xt "131400,209000,133500,210200" +st "10" +blo "131400,210000" +tm "HdlTextNumberMgr" +) +] +) +) +*495 (Net +uid 11588,0 +decl (Decl +n "samplesZ" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 70 +suid 80,0 +) +declText (MLText +uid 11589,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,72400,240800,73400" +st "SIGNAL samplesZ : signed(signalBitNb-1 DOWNTO 0)" +) +) +*496 (SaComponent +uid 11858,0 +optionalChildren [ +*497 (CptPort +uid 11830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,84625,281750,85375" +) +tg (CPTG +uid 11832,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11833,0 +va (VaSet +) +xt "277200,84400,280000,85600" +st "CLK" +ju 2 +blo "280000,85400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*498 (CptPort +uid 11834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11835,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,86625,265000,87375" +) +tg (CPTG +uid 11836,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11837,0 +va (VaSet +) +xt "266000,86400,269400,87600" +st "clock" +blo "266000,87400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*499 (CptPort +uid 11838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,80625,281750,81375" +) +tg (CPTG +uid 11840,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11841,0 +va (VaSet +) +xt "276600,80400,280000,81600" +st "CS_n" +ju 2 +blo "280000,81400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*500 (CptPort +uid 11842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,82625,265000,83375" +) +tg (CPTG +uid 11844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11845,0 +va (VaSet +) +xt "266000,82400,270500,83600" +st "enConv" +blo "266000,83400" +) +) +thePort (LogicalPort +decl (Decl +n "enConv" +t "std_uLogic" +o 37 +) +) +) +*501 (CptPort +uid 11846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11847,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,88625,265000,89375" +) +tg (CPTG +uid 11848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11849,0 +va (VaSet +) +xt "266000,88400,269300,89600" +st "reset" +blo "266000,89400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*502 (CptPort +uid 11850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,80625,265000,81375" +) +tg (CPTG +uid 11852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11853,0 +va (VaSet +) +xt "266000,80400,270000,81600" +st "dataIn" +blo "266000,81400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 56 +) +) +) +*503 (CptPort +uid 11854,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11855,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,82625,281750,83375" +) +tg (CPTG +uid 11856,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11857,0 +va (VaSet +) +xt "277300,82400,280000,83600" +st "SDI" +ju 2 +blo "280000,83400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +] +shape (Rectangle +uid 11859,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,77000,281000,91000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11860,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*504 (Text +uid 11861,0 +va (VaSet +) +xt "265150,90800,269450,92000" +st "Curves" +blo "265150,91800" +tm "BdLibraryNameMgr" +) +*505 (Text +uid 11862,0 +va (VaSet +) +xt "265150,91800,272950,93000" +st "dacInterface" +blo "265150,92800" +tm "CptNameMgr" +) +*506 (Text +uid 11863,0 +va (VaSet +) +xt "265150,92800,267750,94000" +st "I34" +blo "265150,93800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11864,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11865,0 +text (MLText +uid 11866,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,94600,280300,95600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*507 (SaComponent +uid 11943,0 +optionalChildren [ +*508 (CptPort +uid 11952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11953,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,62625,281750,63375" +) +tg (CPTG +uid 11954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11955,0 +va (VaSet +) +xt "277200,62400,280000,63600" +st "CLK" +ju 2 +blo "280000,63400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*509 (CptPort +uid 11956,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11957,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,64625,265000,65375" +) +tg (CPTG +uid 11958,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11959,0 +va (VaSet +) +xt "266000,64400,269400,65600" +st "clock" +blo "266000,65400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*510 (CptPort +uid 11960,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11961,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,58625,281750,59375" +) +tg (CPTG +uid 11962,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11963,0 +va (VaSet +) +xt "276600,58400,280000,59600" +st "CS_n" +ju 2 +blo "280000,59400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*511 (CptPort +uid 11964,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11965,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,60625,265000,61375" +) +tg (CPTG +uid 11966,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11967,0 +va (VaSet +) +xt "266000,60400,270500,61600" +st "enConv" +blo "266000,61400" +) +) +thePort (LogicalPort +decl (Decl +n "enConv" +t "std_uLogic" +o 37 +) +) +) +*512 (CptPort +uid 11968,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11969,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,66625,265000,67375" +) +tg (CPTG +uid 11970,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11971,0 +va (VaSet +) +xt "266000,66400,269300,67600" +st "reset" +blo "266000,67400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*513 (CptPort +uid 11972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11973,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,58625,265000,59375" +) +tg (CPTG +uid 11974,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11975,0 +va (VaSet +) +xt "266000,58400,270000,59600" +st "dataIn" +blo "266000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 56 +) +) +) +*514 (CptPort +uid 11976,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11977,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,60625,281750,61375" +) +tg (CPTG +uid 11978,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11979,0 +va (VaSet +) +xt "277300,60400,280000,61600" +st "SDI" +ju 2 +blo "280000,61400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +] +shape (Rectangle +uid 11944,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,55000,281000,69000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11945,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*515 (Text +uid 11946,0 +va (VaSet +) +xt "265150,68800,269450,70000" +st "Curves" +blo "265150,69800" +tm "BdLibraryNameMgr" +) +*516 (Text +uid 11947,0 +va (VaSet +) +xt "265150,69800,272950,71000" +st "dacInterface" +blo "265150,70800" +tm "CptNameMgr" +) +*517 (Text +uid 11948,0 +va (VaSet +) +xt "265150,70800,267750,72000" +st "I35" +blo "265150,71800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11949,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11950,0 +text (MLText +uid 11951,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,72600,280300,73600" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*518 (PortIoOut +uid 12050,0 +shape (CompositeShape +uid 12051,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12052,0 +sl 0 +ro 270 +xt "289500,84625,291000,85375" +) +(Line +uid 12053,0 +sl 0 +ro 270 +xt "289000,85000,289500,85000" +pts [ +"289000,85000" +"289500,85000" +] +) +] +) +tg (WTG +uid 12054,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12055,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,84300,296800,85700" +st "CLK_X" +blo "292000,85500" +tm "WireNameMgr" +) +) +) +*519 (Net +uid 12062,0 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 81,0 +) +declText (MLText +uid 12063,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,16600,227300,17600" +st "CLK_X : std_ulogic" +) +) +*520 (PortIoOut +uid 12064,0 +shape (CompositeShape +uid 12065,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12066,0 +sl 0 +ro 270 +xt "289500,62625,291000,63375" +) +(Line +uid 12067,0 +sl 0 +ro 270 +xt "289000,63000,289500,63000" +pts [ +"289000,63000" +"289500,63000" +] +) +] +) +tg (WTG +uid 12068,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12069,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,62300,296700,63700" +st "CLK_Y" +blo "292000,63500" +tm "WireNameMgr" +) +) +) +*521 (Net +uid 12076,0 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 82,0 +) +declText (MLText +uid 12077,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,17500,227300,18500" +st "CLK_Y : std_ulogic" +) +) +*522 (PortIoOut +uid 12078,0 +shape (CompositeShape +uid 12079,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12080,0 +sl 0 +ro 270 +xt "289500,80625,291000,81375" +) +(Line +uid 12081,0 +sl 0 +ro 270 +xt "289000,81000,289500,81000" +pts [ +"289000,81000" +"289500,81000" +] +) +] +) +tg (WTG +uid 12082,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12083,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,80300,297700,81700" +st "CS_X_n" +blo "292000,81500" +tm "WireNameMgr" +) +) +) +*523 (Net +uid 12090,0 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 83,0 +) +declText (MLText +uid 12091,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,14800,227500,15800" +st "CS_X_n : std_ulogic" +) +) +*524 (PortIoOut +uid 12092,0 +shape (CompositeShape +uid 12093,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12094,0 +sl 0 +ro 270 +xt "289500,58625,291000,59375" +) +(Line +uid 12095,0 +sl 0 +ro 270 +xt "289000,59000,289500,59000" +pts [ +"289000,59000" +"289500,59000" +] +) +] +) +tg (WTG +uid 12096,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12097,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,58300,297600,59700" +st "CS_Y_n" +blo "292000,59500" +tm "WireNameMgr" +) +) +) +*525 (Net +uid 12104,0 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 84,0 +) +declText (MLText +uid 12105,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,18400,227500,19400" +st "CS_Y_n : std_ulogic" +) +) +*526 (PortIoOut +uid 12106,0 +shape (CompositeShape +uid 12107,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12108,0 +sl 0 +ro 270 +xt "289500,82625,291000,83375" +) +(Line +uid 12109,0 +sl 0 +ro 270 +xt "289000,83000,289500,83000" +pts [ +"289000,83000" +"289500,83000" +] +) +] +) +tg (WTG +uid 12110,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12111,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,82300,296600,83700" +st "SDI_X" +blo "292000,83500" +tm "WireNameMgr" +) +) +) +*527 (Net +uid 12118,0 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 85,0 +) +declText (MLText +uid 12119,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,15700,227100,16700" +st "SDI_X : std_ulogic" +) +) +*528 (PortIoOut +uid 12120,0 +shape (CompositeShape +uid 12121,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12122,0 +sl 0 +ro 270 +xt "289500,60625,291000,61375" +) +(Line +uid 12123,0 +sl 0 +ro 270 +xt "289000,61000,289500,61000" +pts [ +"289000,61000" +"289500,61000" +] +) +] +) +tg (WTG +uid 12124,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12125,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,60300,296500,61700" +st "SDI_Y" +blo "292000,61500" +tm "WireNameMgr" +) +) +) +*529 (Net +uid 12132,0 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 86,0 +) +declText (MLText +uid 12133,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,19300,227100,20300" +st "SDI_Y : std_ulogic" +) +) +*530 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,32000,6250,32000" +pts [ +"3000,32000" +"6250,32000" +] +) +start &12 +end &250 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,30600,6700,32000" +st "addr" +blo "3000,31800" +tm "WireNameMgr" +) +) +on &13 +) +*531 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "71000,24000,74250,24000" +pts [ +"71000,24000" +"74250,24000" +] +) +start &14 +end &288 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,22600,74800,24000" +st "clock" +blo "71000,23800" +tm "WireNameMgr" +) +) +on &15 +) +*532 (Wire +uid 87,0 +shape (OrthoPolyLine +uid 88,0 +va (VaSet +vasetType 3 +) +xt "19000,60000,26250,60000" +pts [ +"19000,60000" +"26250,60000" +] +) +start &16 +end &181 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 91,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 92,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,58600,21100,60000" +st "cs" +blo "19000,59800" +tm "WireNameMgr" +) +) +on &17 +) +*533 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "281750,154000,289000,154000" +pts [ +"281750,154000" +"289000,154000" +] +) +start &34 +end &18 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,152600,289700,154000" +st "outX" +blo "286000,153800" +tm "WireNameMgr" +) +) +on &19 +) +*534 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "281750,117000,289000,117000" +pts [ +"281750,117000" +"289000,117000" +] +) +start &65 +end &20 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,115600,289600,117000" +st "outY" +blo "286000,116800" +tm "WireNameMgr" +) +) +on &21 +) +*535 (Wire +uid 143,0 +shape (OrthoPolyLine +uid 144,0 +va (VaSet +vasetType 3 +) +xt "19000,96000,27000,96000" +pts [ +"19000,96000" +"27000,96000" +] +) +start &22 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,94600,21100,96000" +st "rd" +blo "19000,95800" +tm "WireNameMgr" +) +) +on &23 +) +*536 (Wire +uid 157,0 +shape (OrthoPolyLine +uid 158,0 +va (VaSet +vasetType 3 +) +xt "71000,26000,74250,26000" +pts [ +"71000,26000" +"74250,26000" +] +) +start &24 +end &289 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,24600,75100,26000" +st "reset" +blo "71000,25800" +tm "WireNameMgr" +) +) +on &25 +) +*537 (Wire +uid 171,0 +shape (OrthoPolyLine +uid 172,0 +va (VaSet +vasetType 3 +) +xt "19000,58000,26250,58000" +pts [ +"19000,58000" +"26250,58000" +] +) +start &26 +end &180 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,56600,22300,58000" +st "wrH" +blo "19000,57800" +tm "WireNameMgr" +) +) +on &27 +) +*538 (Wire +uid 185,0 +shape (OrthoPolyLine +uid 186,0 +va (VaSet +vasetType 3 +) +xt "19000,80000,26250,80000" +pts [ +"19000,80000" +"26250,80000" +] +) +start &28 +end &190 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 189,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 190,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,78600,22100,80000" +st "wrL" +blo "19000,79800" +tm "WireNameMgr" +) +) +on &29 +) +*539 (Wire +uid 354,0 +optionalChildren [ +*540 (BdJunction +uid 9152,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9153,0 +va (VaSet +vasetType 1 +) +xt "152600,155600,153400,156400" +radius 400 +) +) +*541 (BdJunction +uid 11549,0 +ps "OnConnectorStrategy" +shape (Circle +uid 11550,0 +va (VaSet +vasetType 1 +) +xt "152600,168600,153400,169400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 355,0 +va (VaSet +vasetType 3 +) +xt "153000,156000,181000,176000" +pts [ +"181000,176000" +"181000,169000" +"153000,169000" +"153000,156000" +"160250,156000" +] +) +start *542 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "180600,175600,181400,176400" +radius 400 +) +) +end &358 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,154600,161600,156000" +st "newPolynom" +blo "152000,155800" +tm "WireNameMgr" +) +) +on &30 +) +*543 (Wire +uid 360,0 +optionalChildren [ +&542 +*544 (BdJunction +uid 9244,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9245,0 +va (VaSet +vasetType 1 +) +xt "204600,161600,205400,162400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 361,0 +va (VaSet +vasetType 3 +) +xt "177750,162000,216250,176000" +pts [ +"177750,176000" +"205000,176000" +"205000,162000" +"216250,162000" +] +) +start &117 +end &383 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,12,0" +) +xt "201000,174600,210600,176000" +st "newPolynom" +blo "201000,175800" +tm "WireNameMgr" +) +) +on &30 +) +*545 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "157000,182000,160250,182000" +pts [ +"157000,182000" +"160250,182000" +] +) +end &119 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,180600,160100,182000" +st "reset" +blo "156000,181800" +tm "WireNameMgr" +) +) +on &25 +) +*546 (Wire +uid 370,0 +shape (OrthoPolyLine +uid 371,0 +va (VaSet +vasetType 3 +) +xt "157000,180000,160250,180000" +pts [ +"157000,180000" +"160250,180000" +] +) +end &118 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 375,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,178600,159800,180000" +st "clock" +blo "156000,179800" +tm "WireNameMgr" +) +) +on &15 +) +*547 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "157000,162000,160250,162000" +pts [ +"157000,162000" +"160250,162000" +] +) +end &357 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,160600,160100,162000" +st "reset" +blo "156000,161800" +tm "WireNameMgr" +) +) +on &25 +) +*548 (Wire +uid 382,0 +shape (OrthoPolyLine +uid 383,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,154000,160250,154000" +pts [ +"147000,154000" +"160250,154000" +] +) +start &129 +end &359 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 384,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 385,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,152600,155800,154000" +st "samplesX" +blo "149000,153800" +tm "WireNameMgr" +) +) +on &47 +) +*549 (Wire +uid 386,0 +shape (OrthoPolyLine +uid 387,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,156000,184250,156000" +pts [ +"177750,156000" +"184250,156000" +] +) +start &361 +end &297 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,154600,184900,156000" +st "sampleX2" +blo "178000,155800" +tm "WireNameMgr" +) +) +on &49 +) +*550 (Wire +uid 390,0 +shape (OrthoPolyLine +uid 391,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,154000,184250,154000" +pts [ +"177750,154000" +"184250,154000" +] +) +start &360 +end &296 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,152600,184900,154000" +st "sampleX1" +blo "178000,153800" +tm "WireNameMgr" +) +) +on &48 +) +*551 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "157000,160000,160250,160000" +pts [ +"157000,160000" +"160250,160000" +] +) +end &356 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,158600,159800,160000" +st "clock" +blo "156000,159800" +tm "WireNameMgr" +) +) +on &15 +) +*552 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,154000,216250,154000" +pts [ +"201750,154000" +"216250,154000" +] +) +start &300 +end &388 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,152600,206150,154000" +st "aX" +blo "203750,153800" +tm "WireNameMgr" +) +) +on &52 +) +*553 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,160000,184250,160000" +pts [ +"177750,160000" +"184250,160000" +] +) +start &363 +end &299 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 407,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,158600,184900,160000" +st "sampleX4" +blo "178000,159800" +tm "WireNameMgr" +) +) +on &51 +) +*554 (Wire +uid 408,0 +shape (OrthoPolyLine +uid 409,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,158000,184250,158000" +pts [ +"177750,158000" +"184250,158000" +] +) +start &362 +end &298 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 410,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 411,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,156600,184900,158000" +st "sampleX3" +blo "178000,157800" +tm "WireNameMgr" +) +) +on &50 +) +*555 (Wire +uid 412,0 +shape (OrthoPolyLine +uid 413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,160000,216250,160000" +pts [ +"201750,160000" +"216250,160000" +] +) +start &302 +end &384 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 414,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 415,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,158600,206150,160000" +st "dX" +blo "203750,159800" +tm "WireNameMgr" +) +) +on &55 +) +*556 (Wire +uid 416,0 +shape (OrthoPolyLine +uid 417,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,158000,216250,158000" +pts [ +"201750,158000" +"216250,158000" +] +) +start &303 +end &386 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,156600,205950,158000" +st "cX" +blo "203750,157800" +tm "WireNameMgr" +) +) +on &54 +) +*557 (Wire +uid 420,0 +shape (OrthoPolyLine +uid 421,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,156000,216250,156000" +pts [ +"201750,156000" +"216250,156000" +] +) +start &301 +end &387 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 422,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 423,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,154600,206150,156000" +st "bX" +blo "203750,155800" +tm "WireNameMgr" +) +) +on &53 +) +*558 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +) +xt "213000,170000,216250,170000" +pts [ +"213000,170000" +"216250,170000" +] +) +end &382 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,168600,216100,170000" +st "reset" +blo "212000,169800" +tm "WireNameMgr" +) +) +on &25 +) +*559 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "213000,168000,216250,168000" +pts [ +"213000,168000" +"216250,168000" +] +) +end &381 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,166600,215800,168000" +st "clock" +blo "212000,167800" +tm "WireNameMgr" +) +) +on &15 +) +*560 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +) +xt "261000,160000,264250,160000" +pts [ +"261000,160000" +"264250,160000" +] +) +end &35 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 504,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,158600,264100,160000" +st "reset" +blo "260000,159800" +tm "WireNameMgr" +) +) +on &25 +) +*561 (Wire +uid 505,0 +shape (OrthoPolyLine +uid 506,0 +va (VaSet +vasetType 3 +) +xt "261000,158000,264250,158000" +pts [ +"261000,158000" +"264250,158000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,156600,263800,158000" +st "clock" +blo "260000,157800" +tm "WireNameMgr" +) +) +on &15 +) +*562 (Wire +uid 532,0 +shape (OrthoPolyLine +uid 533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,154000,240250,154000" +pts [ +"233750,154000" +"240250,154000" +] +) +start &385 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 534,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 535,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,152600,240100,154000" +st "sampleX" +blo "234000,153800" +tm "WireNameMgr" +) +) +on &45 +) +*563 (Wire +uid 538,0 +shape (OrthoPolyLine +uid 539,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,154000,264250,154000" +pts [ +"257750,154000" +"264250,154000" +] +) +start &40 +end &33 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,152600,265400,154000" +st "unsignedX" +blo "258000,153800" +tm "WireNameMgr" +) +) +on &46 +) +*564 (Wire +uid 767,0 +shape (OrthoPolyLine +uid 768,0 +va (VaSet +vasetType 3 +) +xt "205000,125000,216250,162000" +pts [ +"205000,162000" +"205000,125000" +"216250,125000" +] +) +start &544 +end &370 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,123600,214600,125000" +st "newPolynom" +blo "205000,124800" +tm "WireNameMgr" +) +) +on &30 +) +*565 (Wire +uid 775,0 +shape (OrthoPolyLine +uid 776,0 +va (VaSet +vasetType 3 +) +xt "153000,119000,160250,156000" +pts [ +"153000,156000" +"153000,119000" +"160250,119000" +] +) +start &540 +end &346 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 777,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,117600,161600,119000" +st "newPolynom" +blo "152000,118800" +tm "WireNameMgr" +) +) +on &30 +) +*566 (Wire +uid 779,0 +shape (OrthoPolyLine +uid 780,0 +va (VaSet +vasetType 3 +) +xt "157000,125000,160250,125000" +pts [ +"157000,125000" +"160250,125000" +] +) +end &345 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,123600,160100,125000" +st "reset" +blo "156000,124800" +tm "WireNameMgr" +) +) +on &25 +) +*567 (Wire +uid 785,0 +shape (OrthoPolyLine +uid 786,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,117000,184250,117000" +pts [ +"177750,117000" +"184250,117000" +] +) +start &348 +end &309 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 787,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 788,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,115600,184800,117000" +st "sampleY1" +blo "178000,116800" +tm "WireNameMgr" +) +) +on &71 +) +*568 (Wire +uid 789,0 +shape (OrthoPolyLine +uid 790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,119000,184250,119000" +pts [ +"177750,119000" +"184250,119000" +] +) +start &349 +end &310 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 791,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 792,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,117600,184800,119000" +st "sampleY2" +blo "178000,118800" +tm "WireNameMgr" +) +) +on &72 +) +*569 (Wire +uid 793,0 +shape (OrthoPolyLine +uid 794,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,117000,160250,117000" +pts [ +"147000,117000" +"160250,117000" +] +) +start &167 +end &347 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 797,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 798,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,115600,154700,117000" +st "samplesY" +blo "148000,116800" +tm "WireNameMgr" +) +) +on &70 +) +*570 (Wire +uid 799,0 +shape (OrthoPolyLine +uid 800,0 +va (VaSet +vasetType 3 +) +xt "157000,123000,160250,123000" +pts [ +"157000,123000" +"160250,123000" +] +) +end &344 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 803,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 804,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,121600,159800,123000" +st "clock" +blo "156000,122800" +tm "WireNameMgr" +) +) +on &15 +) +*571 (Wire +uid 805,0 +shape (OrthoPolyLine +uid 806,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,123000,216250,123000" +pts [ +"201750,123000" +"216250,123000" +] +) +start &315 +end &371 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 808,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,121600,206050,123000" +st "dY" +blo "203750,122800" +tm "WireNameMgr" +) +) +on &78 +) +*572 (Wire +uid 809,0 +shape (OrthoPolyLine +uid 810,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,121000,184250,121000" +pts [ +"177750,121000" +"184250,121000" +] +) +start &350 +end &311 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 811,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 812,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,119600,184800,121000" +st "sampleY3" +blo "178000,120800" +tm "WireNameMgr" +) +) +on &73 +) +*573 (Wire +uid 813,0 +shape (OrthoPolyLine +uid 814,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,123000,184250,123000" +pts [ +"177750,123000" +"184250,123000" +] +) +start &351 +end &312 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 816,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,121600,184800,123000" +st "sampleY4" +blo "178000,122800" +tm "WireNameMgr" +) +) +on &74 +) +*574 (Wire +uid 817,0 +shape (OrthoPolyLine +uid 818,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,117000,216250,117000" +pts [ +"201750,117000" +"216250,117000" +] +) +start &313 +end &375 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 820,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,115600,206050,117000" +st "aY" +blo "203750,116800" +tm "WireNameMgr" +) +) +on &75 +) +*575 (Wire +uid 821,0 +shape (OrthoPolyLine +uid 822,0 +va (VaSet +vasetType 3 +) +xt "213000,133000,216250,133000" +pts [ +"213000,133000" +"216250,133000" +] +) +end &369 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 826,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,131600,216100,133000" +st "reset" +blo "212000,132800" +tm "WireNameMgr" +) +) +on &25 +) +*576 (Wire +uid 827,0 +shape (OrthoPolyLine +uid 828,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,119000,216250,119000" +pts [ +"201750,119000" +"216250,119000" +] +) +start &314 +end &374 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 830,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,117600,206050,119000" +st "bY" +blo "203750,118800" +tm "WireNameMgr" +) +) +on &76 +) +*577 (Wire +uid 831,0 +shape (OrthoPolyLine +uid 832,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,121000,216250,121000" +pts [ +"201750,121000" +"216250,121000" +] +) +start &316 +end &373 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 834,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,119600,205850,121000" +st "cY" +blo "203750,120800" +tm "WireNameMgr" +) +) +on &77 +) +*578 (Wire +uid 835,0 +shape (OrthoPolyLine +uid 836,0 +va (VaSet +vasetType 3 +) +xt "261000,123000,264250,123000" +pts [ +"261000,123000" +"264250,123000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 840,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,121600,264100,123000" +st "reset" +blo "260000,122800" +tm "WireNameMgr" +) +) +on &25 +) +*579 (Wire +uid 841,0 +shape (OrthoPolyLine +uid 842,0 +va (VaSet +vasetType 3 +) +xt "213000,131000,216250,131000" +pts [ +"213000,131000" +"216250,131000" +] +) +end &368 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 845,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 846,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,129600,215800,131000" +st "clock" +blo "212000,130800" +tm "WireNameMgr" +) +) +on &15 +) +*580 (Wire +uid 847,0 +shape (OrthoPolyLine +uid 848,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,117000,240250,117000" +pts [ +"233750,117000" +"240250,117000" +] +) +start &372 +end &58 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 849,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 850,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,115600,240000,117000" +st "sampleY" +blo "234000,116800" +tm "WireNameMgr" +) +) +on &79 +) +*581 (Wire +uid 851,0 +shape (OrthoPolyLine +uid 852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,117000,264250,117000" +pts [ +"257750,117000" +"264250,117000" +] +) +start &57 +end &64 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 853,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 854,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,115600,265300,117000" +st "unsignedY" +blo "258000,116800" +tm "WireNameMgr" +) +) +on &80 +) +*582 (Wire +uid 855,0 +shape (OrthoPolyLine +uid 856,0 +va (VaSet +vasetType 3 +) +xt "261000,121000,264250,121000" +pts [ +"261000,121000" +"264250,121000" +] +) +end &63 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 859,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 860,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,119600,263800,121000" +st "clock" +blo "260000,120800" +tm "WireNameMgr" +) +) +on &15 +) +*583 (Wire +uid 1049,0 +shape (OrthoPolyLine +uid 1050,0 +va (VaSet +vasetType 3 +) +xt "43750,34000,74250,48000" +pts [ +"43750,34000" +"57000,34000" +"57000,48000" +"74250,48000" +] +) +start &423 +end &110 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1053,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1054,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,46600,72200,48000" +st "selSize" +blo "67000,47800" +tm "WireNameMgr" +) +) +on &82 +) +*584 (Wire +uid 1057,0 +shape (OrthoPolyLine +uid 1058,0 +va (VaSet +vasetType 3 +) +xt "43750,36000,74250,78000" +pts [ +"43750,36000" +"55000,36000" +"55000,78000" +"74250,78000" +] +) +start &424 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1062,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,76600,73600,78000" +st "selSpeed" +blo "67000,77800" +tm "WireNameMgr" +) +) +on &83 +) +*585 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "43750,38000,74250,180000" +pts [ +"43750,38000" +"53000,38000" +"53000,180000" +"74250,180000" +] +) +start &425 +end &453 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,178600,70400,180000" +st "selX" +blo "67000,179800" +tm "WireNameMgr" +) +) +on &84 +) +*586 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "43750,40000,74250,130000" +pts [ +"43750,40000" +"51000,40000" +"51000,130000" +"74250,130000" +] +) +start &426 +end &440 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1078,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,128600,70300,130000" +st "selY" +blo "67000,129800" +tm "WireNameMgr" +) +) +on &85 +) +*587 (Wire +uid 1332,0 +shape (OrthoPolyLine +uid 1333,0 +va (VaSet +vasetType 3 +) +xt "43750,20000,74250,32000" +pts [ +"43750,32000" +"57000,32000" +"57000,20000" +"74250,20000" +] +) +start &421 +end &287 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1339,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,18600,75000,20000" +st "selControl" +blo "67000,19800" +tm "WireNameMgr" +) +) +on &81 +) +*588 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +va (VaSet +vasetType 3 +) +xt "67000,18000,74250,18000" +pts [ +"67000,18000" +"74250,18000" +] +) +end &286 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1347,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,16600,73500,18000" +st "wrLPulse" +blo "67000,17800" +tm "WireNameMgr" +) +) +on &197 +) +*589 (Wire +uid 1350,0 +shape (OrthoPolyLine +uid 1351,0 +va (VaSet +vasetType 3 +) +xt "91750,12000,106250,66000" +pts [ +"91750,12000" +"103000,12000" +"103000,66000" +"106250,66000" +] +) +start &282 +end &149 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1355,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,10600,96650,12000" +st "run" +blo "93750,11800" +tm "WireNameMgr" +) +) +on &86 +) +*590 (Wire +uid 1358,0 +shape (OrthoPolyLine +uid 1359,0 +va (VaSet +vasetType 3 +) +xt "91750,14000,99000,14000" +pts [ +"91750,14000" +"99000,14000" +] +) +start &284 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1363,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,12600,104550,14000" +st "updatePattern" +blo "93750,13800" +tm "WireNameMgr" +) +) +on &87 +) +*591 (Wire +uid 1472,0 +shape (OrthoPolyLine +uid 1473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,40000,99000,40000" +pts [ +"91750,40000" +"99000,40000" +] +) +start &106 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1477,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,38600,102650,40000" +st "patternSize" +blo "93750,39800" +tm "WireNameMgr" +) +) +on &88 +) +*592 (Wire +uid 1494,0 +shape (OrthoPolyLine +uid 1495,0 +va (VaSet +vasetType 3 +) +xt "71000,52000,74250,52000" +pts [ +"71000,52000" +"74250,52000" +] +) +end &111 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1500,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1501,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,50600,74800,52000" +st "clock" +blo "71000,51800" +tm "WireNameMgr" +) +) +on &15 +) +*593 (Wire +uid 1502,0 +shape (OrthoPolyLine +uid 1503,0 +va (VaSet +vasetType 3 +) +xt "71000,54000,74250,54000" +pts [ +"71000,54000" +"74250,54000" +] +) +end &112 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1508,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1509,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,52600,75100,54000" +st "reset" +blo "71000,53800" +tm "WireNameMgr" +) +) +on &25 +) +*594 (Wire +uid 1510,0 +shape (OrthoPolyLine +uid 1511,0 +va (VaSet +vasetType 3 +) +xt "67000,46000,74250,46000" +pts [ +"67000,46000" +"74250,46000" +] +) +end &109 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1516,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1517,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,44600,73700,46000" +st "wrHPulse" +blo "67000,45800" +tm "WireNameMgr" +) +) +on &187 +) +*595 (Wire +uid 1526,0 +optionalChildren [ +*596 (BdJunction +uid 1538,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1539,0 +va (VaSet +vasetType 1 +) +xt "58600,11600,59400,12400" +radius 400 +) +) +*597 (BdJunction +uid 1544,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1545,0 +va (VaSet +vasetType 1 +) +xt "58600,39600,59400,40400" +radius 400 +) +) +*598 (BdJunction +uid 1736,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1737,0 +va (VaSet +vasetType 1 +) +xt "58600,67600,59400,68400" +radius 400 +) +) +*599 (BdJunction +uid 2476,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2477,0 +va (VaSet +vasetType 1 +) +xt "58600,149600,59400,150400" +radius 400 +) +) +*600 (BdJunction +uid 3825,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3826,0 +va (VaSet +vasetType 1 +) +xt "58600,99600,59400,100400" +radius 400 +) +) +*601 (BdJunction +uid 10621,0 +ps "OnConnectorStrategy" +shape (Circle +uid 10622,0 +va (VaSet +vasetType 1 +) +xt "58600,199600,59400,200400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1527,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43750,10000,59000,204000" +pts [ +"59000,204000" +"59000,10000" +"43750,10000" +] +) +end &240 +es 0 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1532,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1533,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,8600,51400,10000" +st "dataInReg" +blo "44000,9800" +tm "WireNameMgr" +) +) +on &246 +) +*602 (Wire +uid 1534,0 +shape (OrthoPolyLine +uid 1535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,12000,74250,12000" +pts [ +"59000,12000" +"74250,12000" +] +) +start &596 +end &283 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1537,0 +va (VaSet +font "Verdana,12,0" +) +xt "68000,10600,75400,12000" +st "dataInReg" +blo "68000,11800" +tm "WireNameMgr" +) +) +on &246 +) +*603 (Wire +uid 1540,0 +shape (OrthoPolyLine +uid 1541,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,40000,74250,40000" +pts [ +"59000,40000" +"74250,40000" +] +) +start &597 +end &107 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1542,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1543,0 +va (VaSet +font "Verdana,12,0" +) +xt "70250,38600,77650,40000" +st "dataInReg" +blo "70250,39800" +tm "WireNameMgr" +) +) +on &246 +) +*604 (Wire +uid 1583,0 +optionalChildren [ +*605 (BdJunction +uid 2856,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2857,0 +va (VaSet +vasetType 1 +) +xt "62600,69600,63400,70400" +radius 400 +) +) +*606 (BdJunction +uid 2858,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2859,0 +va (VaSet +vasetType 1 +) +xt "62600,41600,63400,42400" +radius 400 +) +) +*607 (BdJunction +uid 2864,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2865,0 +va (VaSet +vasetType 1 +) +xt "62600,13600,63400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1584,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,4000,63000,74000" +pts [ +"63000,74000" +"63000,4000" +"19000,4000" +] +) +end &90 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,1600,24000,3000" +st "dataOut" +blo "18000,2800" +tm "WireNameMgr" +) +) +on &91 +) +*608 (Wire +uid 1653,0 +shape (OrthoPolyLine +uid 1654,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,42000,74250,42000" +pts [ +"74250,42000" +"63000,42000" +] +) +start &108 +end &606 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1655,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1656,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,40600,74250,42000" +st "dataOut" +blo "68250,41800" +tm "WireNameMgr" +) +) +on &91 +) +*609 (Wire +uid 1732,0 +shape (OrthoPolyLine +uid 1733,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,68000,74250,68000" +pts [ +"59000,68000" +"74250,68000" +] +) +start &598 +end &95 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "69250,66600,76650,68000" +st "dataInReg" +blo "69250,67800" +tm "WireNameMgr" +) +) +on &246 +) +*610 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,70000,74250,70000" +pts [ +"63000,70000" +"74250,70000" +] +) +start &605 +end &96 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1741,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,68600,74250,70000" +st "dataOut" +blo "68250,69800" +tm "WireNameMgr" +) +) +on &91 +) +*611 (Wire +uid 1744,0 +shape (OrthoPolyLine +uid 1745,0 +va (VaSet +vasetType 3 +) +xt "71000,84000,74250,84000" +pts [ +"71000,84000" +"74250,84000" +] +) +end &100 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,82600,75100,84000" +st "reset" +blo "71000,83800" +tm "WireNameMgr" +) +) +on &25 +) +*612 (Wire +uid 1752,0 +shape (OrthoPolyLine +uid 1753,0 +va (VaSet +vasetType 3 +) +xt "71000,82000,74250,82000" +pts [ +"71000,82000" +"74250,82000" +] +) +end &99 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,80600,74800,82000" +st "clock" +blo "71000,81800" +tm "WireNameMgr" +) +) +on &15 +) +*613 (Wire +uid 1760,0 +shape (OrthoPolyLine +uid 1761,0 +va (VaSet +vasetType 3 +) +xt "67000,74000,74250,74000" +pts [ +"67000,74000" +"74250,74000" +] +) +end &97 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1766,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1767,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,72600,73700,74000" +st "wrHPulse" +blo "67000,73800" +tm "WireNameMgr" +) +) +on &187 +) +*614 (Wire +uid 1768,0 +shape (OrthoPolyLine +uid 1769,0 +va (VaSet +vasetType 3 +) +xt "67000,76000,74250,76000" +pts [ +"67000,76000" +"74250,76000" +] +) +end &101 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1775,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,74600,73500,76000" +st "wrLPulse" +blo "67000,75800" +tm "WireNameMgr" +) +) +on &197 +) +*615 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,68000,106250,68000" +pts [ +"91750,68000" +"106250,68000" +] +) +start &94 +end &148 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "Verdana,12,0" +) +xt "93000,66600,103100,68000" +st "updatePeriod" +blo "93000,67800" +tm "WireNameMgr" +) +) +on &92 +) +*616 (Wire +uid 1969,0 +shape (OrthoPolyLine +uid 1970,0 +va (VaSet +vasetType 3 +) +xt "103000,74000,106250,74000" +pts [ +"103000,74000" +"106250,74000" +] +) +end &147 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1975,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1976,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,72600,107100,74000" +st "reset" +blo "103000,73800" +tm "WireNameMgr" +) +) +on &25 +) +*617 (Wire +uid 1977,0 +shape (OrthoPolyLine +uid 1978,0 +va (VaSet +vasetType 3 +) +xt "103000,72000,106250,72000" +pts [ +"103000,72000" +"106250,72000" +] +) +end &146 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1983,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1984,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,70600,106800,72000" +st "clock" +blo "103000,71800" +tm "WireNameMgr" +) +) +on &15 +) +*618 (Wire +uid 1987,0 +shape (OrthoPolyLine +uid 1988,0 +va (VaSet +vasetType 3 +) +xt "123750,66000,131000,66000" +pts [ +"123750,66000" +"131000,66000" +] +) +start &145 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1991,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1992,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,64600,136950,66000" +st "interpolationEn" +blo "125750,65800" +tm "WireNameMgr" +) +) +on &219 +) +*619 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "155000,176000,160250,176000" +pts [ +"155000,176000" +"160250,176000" +] +) +end &120 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2001,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2002,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,174600,163900,176000" +st "interpolationEnable" +blo "150000,175800" +tm "WireNameMgr" +) +) +on &124 +) +*620 (Wire +uid 2472,0 +shape (OrthoPolyLine +uid 2473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,150000,106250,154000" +pts [ +"106250,154000" +"99000,154000" +"99000,150000" +"59000,150000" +] +) +start &333 +end &599 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2475,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,152600,107400,154000" +st "dataInReg" +blo "100000,153800" +tm "WireNameMgr" +) +) +on &246 +) +*621 (Wire +uid 2478,0 +shape (OrthoPolyLine +uid 2479,0 +va (VaSet +vasetType 3 +) +xt "103000,166000,106250,166000" +pts [ +"103000,166000" +"106250,166000" +] +) +end &336 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2485,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,164600,106800,166000" +st "clock" +blo "103000,165800" +tm "WireNameMgr" +) +) +on &15 +) +*622 (Wire +uid 2486,0 +shape (OrthoPolyLine +uid 2487,0 +va (VaSet +vasetType 3 +) +xt "103000,168000,106250,168000" +pts [ +"103000,168000" +"106250,168000" +] +) +end &337 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2493,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,166600,107100,168000" +st "reset" +blo "103000,167800" +tm "WireNameMgr" +) +) +on &25 +) +*623 (Wire +uid 2638,0 +shape (OrthoPolyLine +uid 2639,0 +va (VaSet +vasetType 3 +) +xt "91750,160000,106250,184000" +pts [ +"91750,184000" +"99000,184000" +"99000,160000" +"106250,160000" +] +) +start &452 +end &338 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2640,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,158600,106400,160000" +st "memWrX" +blo "100000,159800" +tm "WireNameMgr" +) +) +on &127 +) +*624 (Wire +uid 2644,0 +shape (OrthoPolyLine +uid 2645,0 +va (VaSet +vasetType 3 +) +xt "91750,162000,106250,186000" +pts [ +"91750,186000" +"101000,186000" +"101000,162000" +"106250,162000" +] +) +start &454 +end &335 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2646,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2647,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,160600,106200,162000" +st "memEnX" +blo "100000,161800" +tm "WireNameMgr" +) +) +on &128 +) +*625 (Wire +uid 2648,0 +shape (OrthoPolyLine +uid 2649,0 +va (VaSet +vasetType 3 +) +xt "67000,184000,74250,184000" +pts [ +"67000,184000" +"74250,184000" +] +) +end &455 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2655,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,182600,76800,184000" +st "updatePattern" +blo "66000,183800" +tm "WireNameMgr" +) +) +on &87 +) +*626 (Wire +uid 2772,0 +shape (OrthoPolyLine +uid 2773,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,156000,106250,156000" +pts [ +"91750,156000" +"106250,156000" +] +) +start &139 +end &339 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2775,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,154600,106500,156000" +st "addrX" +blo "102000,155800" +tm "WireNameMgr" +) +) +on &125 +) +*627 (Wire +uid 2778,0 +shape (OrthoPolyLine +uid 2779,0 +va (VaSet +vasetType 3 +) +xt "71000,166000,74250,166000" +pts [ +"71000,166000" +"74250,166000" +] +) +end &137 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2785,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,164600,75100,166000" +st "reset" +blo "71000,165800" +tm "WireNameMgr" +) +) +on &25 +) +*628 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +) +xt "71000,164000,74250,164000" +pts [ +"71000,164000" +"74250,164000" +] +) +end &136 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2793,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,162600,74800,164000" +st "clock" +blo "71000,163800" +tm "WireNameMgr" +) +) +on &15 +) +*629 (Wire +uid 2844,0 +shape (OrthoPolyLine +uid 2845,0 +va (VaSet +vasetType 3 +) +xt "69000,160000,95000,180000" +pts [ +"91750,180000" +"95000,180000" +"95000,174000" +"69000,174000" +"69000,160000" +"74250,160000" +] +) +start &457 +end &135 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2846,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2847,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,158600,74900,160000" +st "cntIncrX" +blo "69000,159800" +tm "WireNameMgr" +) +) +on &126 +) +*630 (Wire +uid 2860,0 +shape (OrthoPolyLine +uid 2861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,14000,74250,14000" +pts [ +"63000,14000" +"74250,14000" +] +) +start &607 +end &285 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2862,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2863,0 +va (VaSet +font "Verdana,12,0" +) +xt "68250,12600,74250,14000" +st "dataOut" +blo "68250,13800" +tm "WireNameMgr" +) +) +on &91 +) +*631 (Wire +uid 2866,0 +shape (OrthoPolyLine +uid 2867,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,156000,74250,156000" +pts [ +"67000,156000" +"74250,156000" +] +) +end &140 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2873,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,154600,74900,156000" +st "patternSize" +blo "66000,155800" +tm "WireNameMgr" +) +) +on &88 +) +*632 (Wire +uid 2919,0 +shape (OrthoPolyLine +uid 2920,0 +va (VaSet +vasetType 3 +) +xt "67000,186000,74250,186000" +pts [ +"74250,186000" +"67000,186000" +] +) +start &458 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2926,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,184600,75600,186000" +st "newPolynom" +blo "66000,185800" +tm "WireNameMgr" +) +) +on &30 +) +*633 (Wire +uid 2996,0 +shape (OrthoPolyLine +uid 2997,0 +va (VaSet +vasetType 3 +) +xt "71000,192000,74250,192000" +pts [ +"71000,192000" +"74250,192000" +] +) +end &460 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3003,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,190600,75100,192000" +st "reset" +blo "71000,191800" +tm "WireNameMgr" +) +) +on &25 +) +*634 (Wire +uid 3004,0 +shape (OrthoPolyLine +uid 3005,0 +va (VaSet +vasetType 3 +) +xt "71000,190000,74250,190000" +pts [ +"71000,190000" +"74250,190000" +] +) +end &459 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3010,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3011,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,188600,74800,190000" +st "clock" +blo "71000,189800" +tm "WireNameMgr" +) +) +on &15 +) +*635 (Wire +uid 3094,0 +shape (OrthoPolyLine +uid 3095,0 +va (VaSet +vasetType 3 +) +xt "67000,158000,74250,158000" +pts [ +"67000,158000" +"74250,158000" +] +) +end &138 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3101,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,156600,76800,158000" +st "updatePattern" +blo "66000,157800" +tm "WireNameMgr" +) +) +on &87 +) +*636 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,154000,131000,154000" +pts [ +"123750,154000" +"131000,154000" +] +) +start &334 +end &129 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "125000,152600,129600,154000" +st "memX" +blo "125000,153800" +tm "WireNameMgr" +) +) +on &133 +) +*637 (Wire +uid 3432,0 +shape (OrthoPolyLine +uid 3433,0 +va (VaSet +vasetType 3 +) +xt "209000,166000,216250,166000" +pts [ +"209000,166000" +"216250,166000" +] +) +end &389 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3439,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,164600,217900,166000" +st "interpolationEnable" +blo "204000,165800" +tm "WireNameMgr" +) +) +on &124 +) +*638 (Wire +uid 3485,0 +shape (OrthoPolyLine +uid 3486,0 +va (VaSet +vasetType 3 +) +xt "209000,129000,216250,129000" +pts [ +"209000,129000" +"216250,129000" +] +) +end &376 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3492,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,127600,217900,129000" +st "interpolationEnable" +blo "204000,128800" +tm "WireNameMgr" +) +) +on &124 +) +*639 (Wire +uid 3751,0 +shape (OrthoPolyLine +uid 3752,0 +va (VaSet +vasetType 3 +) +xt "103000,131000,106250,131000" +pts [ +"103000,131000" +"106250,131000" +] +) +end &326 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3756,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,129600,107100,131000" +st "reset" +blo "103000,130800" +tm "WireNameMgr" +) +) +on &25 +) +*640 (Wire +uid 3757,0 +shape (OrthoPolyLine +uid 3758,0 +va (VaSet +vasetType 3 +) +xt "103000,129000,106250,129000" +pts [ +"103000,129000" +"106250,129000" +] +) +end &325 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3762,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,127600,106800,129000" +st "clock" +blo "103000,128800" +tm "WireNameMgr" +) +) +on &15 +) +*641 (Wire +uid 3763,0 +shape (OrthoPolyLine +uid 3764,0 +va (VaSet +vasetType 3 +) +xt "67000,134000,74250,134000" +pts [ +"67000,134000" +"74250,134000" +] +) +end &442 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3768,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,132600,76800,134000" +st "updatePattern" +blo "66000,133800" +tm "WireNameMgr" +) +) +on &87 +) +*642 (Wire +uid 3769,0 +shape (OrthoPolyLine +uid 3770,0 +va (VaSet +vasetType 3 +) +xt "91750,125000,106250,136000" +pts [ +"91750,136000" +"101000,136000" +"101000,125000" +"106250,125000" +] +) +start &441 +end &324 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3772,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,123600,106100,125000" +st "memEnY" +blo "100000,124800" +tm "WireNameMgr" +) +) +on &166 +) +*643 (Wire +uid 3773,0 +shape (OrthoPolyLine +uid 3774,0 +va (VaSet +vasetType 3 +) +xt "91750,123000,106250,134000" +pts [ +"91750,134000" +"99000,134000" +"99000,123000" +"106250,123000" +] +) +start &439 +end &327 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3776,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,121600,106300,123000" +st "memWrY" +blo "100000,122800" +tm "WireNameMgr" +) +) +on &165 +) +*644 (Wire +uid 3777,0 +shape (OrthoPolyLine +uid 3778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,106000,106250,119000" +pts [ +"91750,106000" +"99000,106000" +"99000,119000" +"106250,119000" +] +) +start &158 +end &328 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3779,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3780,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,117600,106400,119000" +st "addrY" +blo "102000,118800" +tm "WireNameMgr" +) +) +on &164 +) +*645 (Wire +uid 3793,0 +shape (OrthoPolyLine +uid 3794,0 +va (VaSet +vasetType 3 +) +xt "69000,110000,95000,130000" +pts [ +"91750,130000" +"95000,130000" +"95000,124000" +"69000,124000" +"69000,110000" +"74250,110000" +] +) +start &444 +end &154 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3796,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,108600,74800,110000" +st "cntIncrY" +blo "69000,109800" +tm "WireNameMgr" +) +) +on &163 +) +*646 (Wire +uid 3797,0 +shape (OrthoPolyLine +uid 3798,0 +va (VaSet +vasetType 3 +) +xt "67000,136000,74250,136000" +pts [ +"74250,136000" +"67000,136000" +] +) +start &445 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3801,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3802,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,134600,75600,136000" +st "newPolynom" +blo "66000,135800" +tm "WireNameMgr" +) +) +on &30 +) +*647 (Wire +uid 3803,0 +shape (OrthoPolyLine +uid 3804,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,106000,74250,106000" +pts [ +"67000,106000" +"74250,106000" +] +) +end &159 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3808,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,104600,74900,106000" +st "patternSize" +blo "66000,105800" +tm "WireNameMgr" +) +) +on &88 +) +*648 (Wire +uid 3809,0 +shape (OrthoPolyLine +uid 3810,0 +va (VaSet +vasetType 3 +) +xt "67000,108000,74250,108000" +pts [ +"67000,108000" +"74250,108000" +] +) +end &157 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3813,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3814,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,106600,76800,108000" +st "updatePattern" +blo "66000,107800" +tm "WireNameMgr" +) +) +on &87 +) +*649 (Wire +uid 3815,0 +shape (OrthoPolyLine +uid 3816,0 +va (VaSet +vasetType 3 +) +xt "71000,140000,74250,140000" +pts [ +"71000,140000" +"74250,140000" +] +) +end &446 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,138600,74800,140000" +st "clock" +blo "71000,139800" +tm "WireNameMgr" +) +) +on &15 +) +*650 (Wire +uid 3821,0 +shape (OrthoPolyLine +uid 3822,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,100000,106250,117000" +pts [ +"59000,100000" +"103000,100000" +"103000,117000" +"106250,117000" +] +) +start &600 +end &322 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3824,0 +va (VaSet +font "Verdana,12,0" +) +xt "101250,115600,108650,117000" +st "dataInReg" +blo "101250,116800" +tm "WireNameMgr" +) +) +on &246 +) +*651 (Wire +uid 3866,0 +shape (OrthoPolyLine +uid 3867,0 +va (VaSet +vasetType 3 +) +xt "71000,114000,74250,114000" +pts [ +"71000,114000" +"74250,114000" +] +) +end &155 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3873,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,112600,74800,114000" +st "clock" +blo "71000,113800" +tm "WireNameMgr" +) +) +on &15 +) +*652 (Wire +uid 3874,0 +shape (OrthoPolyLine +uid 3875,0 +va (VaSet +vasetType 3 +) +xt "71000,116000,74250,116000" +pts [ +"71000,116000" +"74250,116000" +] +) +end &156 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3880,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3881,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,114600,75100,116000" +st "reset" +blo "71000,115800" +tm "WireNameMgr" +) +) +on &25 +) +*653 (Wire +uid 3882,0 +shape (OrthoPolyLine +uid 3883,0 +va (VaSet +vasetType 3 +) +xt "71000,142000,74250,142000" +pts [ +"71000,142000" +"74250,142000" +] +) +end &447 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3889,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,140600,75100,142000" +st "reset" +blo "71000,141800" +tm "WireNameMgr" +) +) +on &25 +) +*654 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,117000,131000,117000" +pts [ +"123750,117000" +"131000,117000" +] +) +start &323 +end &167 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,115600,130250,117000" +st "memY" +blo "125750,116800" +tm "WireNameMgr" +) +) +on &171 +) +*655 (Wire +uid 4047,0 +shape (OrthoPolyLine +uid 4048,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "281000,6000,289000,6000" +pts [ +"281000,6000" +"289000,6000" +] +) +start &174 +end &172 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4051,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4052,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,4600,289600,6000" +st "testOut" +blo "284000,5800" +tm "WireNameMgr" +) +) +on &173 +) +*656 (Wire +uid 4274,0 +shape (OrthoPolyLine +uid 4275,0 +va (VaSet +vasetType 3 +) +xt "23000,66000,26250,66000" +pts [ +"23000,66000" +"26250,66000" +] +) +end &183 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4280,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4281,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,64600,27100,66000" +st "reset" +blo "23000,65800" +tm "WireNameMgr" +) +) +on &25 +) +*657 (Wire +uid 4282,0 +shape (OrthoPolyLine +uid 4283,0 +va (VaSet +vasetType 3 +) +xt "23000,64000,26250,64000" +pts [ +"23000,64000" +"26250,64000" +] +) +end &182 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4289,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,62600,26800,64000" +st "clock" +blo "23000,63800" +tm "WireNameMgr" +) +) +on &15 +) +*658 (Wire +uid 4292,0 +shape (OrthoPolyLine +uid 4293,0 +va (VaSet +vasetType 3 +) +xt "43750,58000,47000,58000" +pts [ +"43750,58000" +"47000,58000" +] +) +start &179 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4299,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,56600,50700,58000" +st "wrHPulse" +blo "44000,57800" +tm "WireNameMgr" +) +) +on &187 +) +*659 (Wire +uid 4329,0 +shape (OrthoPolyLine +uid 4330,0 +va (VaSet +vasetType 3 +) +xt "23000,86000,26250,86000" +pts [ +"23000,86000" +"26250,86000" +] +) +end &192 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4334,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,84600,26800,86000" +st "clock" +blo "23000,85800" +tm "WireNameMgr" +) +) +on &15 +) +*660 (Wire +uid 4335,0 +shape (OrthoPolyLine +uid 4336,0 +va (VaSet +vasetType 3 +) +xt "23000,88000,26250,88000" +pts [ +"23000,88000" +"26250,88000" +] +) +end &193 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4339,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4340,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,86600,27100,88000" +st "reset" +blo "23000,87800" +tm "WireNameMgr" +) +) +on &25 +) +*661 (Wire +uid 4341,0 +shape (OrthoPolyLine +uid 4342,0 +va (VaSet +vasetType 3 +) +xt "43750,80000,47000,80000" +pts [ +"43750,80000" +"47000,80000" +] +) +start &189 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4346,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,78600,50500,80000" +st "wrLPulse" +blo "44000,79800" +tm "WireNameMgr" +) +) +on &197 +) +*662 (Wire +uid 4349,0 +shape (OrthoPolyLine +uid 4350,0 +va (VaSet +vasetType 3 +) +xt "19000,82000,26250,82000" +pts [ +"19000,82000" +"26250,82000" +] +) +end &191 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4355,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4356,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,80600,21100,82000" +st "cs" +blo "19000,81800" +tm "WireNameMgr" +) +) +on &17 +) +*663 (Wire +uid 4770,0 +shape (OrthoPolyLine +uid 4771,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,84000,184250,84000" +pts [ +"177750,84000" +"184250,84000" +] +) +start &207 +end &223 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4775,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,82600,182700,84000" +st "phase" +blo "178000,83800" +tm "WireNameMgr" +) +) +on &202 +) +*664 (Wire +uid 4782,0 +shape (OrthoPolyLine +uid 4783,0 +va (VaSet +vasetType 3 +) +xt "157000,92000,160250,92000" +pts [ +"157000,92000" +"160250,92000" +] +) +end &208 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4787,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,90600,161100,92000" +st "reset" +blo "157000,91800" +tm "WireNameMgr" +) +) +on &25 +) +*665 (Wire +uid 4788,0 +shape (OrthoPolyLine +uid 4789,0 +va (VaSet +vasetType 3 +) +xt "157000,90000,160250,90000" +pts [ +"157000,90000" +"160250,90000" +] +) +end &206 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4793,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,88600,160800,90000" +st "clock" +blo "157000,89800" +tm "WireNameMgr" +) +) +on &15 +) +*666 (Wire +uid 4794,0 +shape (OrthoPolyLine +uid 4795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "157000,78000,160250,84000" +pts [ +"160250,84000" +"157000,84000" +"157000,78000" +] +) +start &209 +end &198 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4799,0 +va (VaSet +font "Verdana,12,0" +) +xt "157000,82600,160600,84000" +st "step" +blo "157000,83800" +tm "WireNameMgr" +) +) +on &203 +) +*667 (Wire +uid 4860,0 +shape (OrthoPolyLine +uid 4861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "129000,84000,209000,115000" +pts [ +"201750,84000" +"209000,84000" +"209000,98000" +"129000,98000" +"129000,115000" +"131000,115000" +] +) +start &222 +end &167 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4865,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,82600,207150,84000" +st "sine" +blo "203750,83800" +tm "WireNameMgr" +) +) +on &204 +) +*668 (Wire +uid 4866,0 +shape (OrthoPolyLine +uid 4867,0 +va (VaSet +vasetType 3 +) +xt "153000,86000,160250,86000" +pts [ +"153000,86000" +"160250,86000" +] +) +end &210 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4873,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,84600,162900,86000" +st "interpolationEnable" +blo "149000,85800" +tm "WireNameMgr" +) +) +on &124 +) +*669 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "153000,70000,161000,70000" +pts [ +"153000,70000" +"161000,70000" +] +) +start &214 +end &215 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "153000,68600,159900,70000" +st "selSinCos" +blo "153000,69800" +tm "WireNameMgr" +) +) +on &232 +) +*670 (Wire +uid 5253,0 +shape (OrthoPolyLine +uid 5254,0 +va (VaSet +vasetType 3 +) +xt "177000,66000,185000,66000" +pts [ +"177000,66000" +"185000,66000" +] +) +start &215 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5259,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5260,0 +va (VaSet +font "Verdana,12,0" +) +xt "179750,64600,193650,66000" +st "interpolationEnable" +blo "179750,65800" +tm "WireNameMgr" +) +) +on &124 +) +*671 (Wire +uid 5263,0 +shape (OrthoPolyLine +uid 5264,0 +va (VaSet +vasetType 3 +) +xt "152750,66000,161000,66000" +pts [ +"152750,66000" +"161000,66000" +] +) +end &215 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5270,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,64600,161200,66000" +st "interpolationEn" +blo "150000,65800" +tm "WireNameMgr" +) +) +on &219 +) +*672 (Wire +uid 5938,0 +shape (OrthoPolyLine +uid 5939,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "127000,86000,207000,152000" +pts [ +"201750,86000" +"207000,86000" +"207000,97000" +"127000,97000" +"127000,152000" +"131000,152000" +] +) +start &224 +end &129 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5942,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5943,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,84600,208550,86000" +st "cosine" +blo "203750,85800" +tm "WireNameMgr" +) +) +on &220 +) +*673 (Wire +uid 7055,0 +shape (OrthoPolyLine +uid 7056,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,10000,26250,10000" +pts [ +"26250,10000" +"19000,10000" +] +) +start &239 +end &89 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7062,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,8600,24000,10000" +st "dataIn" +blo "19000,9800" +tm "WireNameMgr" +) +) +on &247 +) +*674 (Wire +uid 7111,0 +shape (OrthoPolyLine +uid 7112,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23750,32000,26250,32000" +pts [ +"23750,32000" +"26250,32000" +] +) +start &251 +end &422 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7113,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7114,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,30600,29100,32000" +st "addrReg" +blo "23000,31800" +tm "WireNameMgr" +) +s (Text +uid 7337,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,32000,23000,32000" +blo "23000,32000" +tm "SignalTypeMgr" +) +) +on &257 +) +*675 (Wire +uid 7117,0 +shape (OrthoPolyLine +uid 7118,0 +va (VaSet +vasetType 3 +) +xt "23000,18000,26250,18000" +pts [ +"23000,18000" +"26250,18000" +] +) +end &242 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7123,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7124,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,16600,27100,18000" +st "reset" +blo "23000,17800" +tm "WireNameMgr" +) +) +on &25 +) +*676 (Wire +uid 7125,0 +shape (OrthoPolyLine +uid 7126,0 +va (VaSet +vasetType 3 +) +xt "23000,16000,26250,16000" +pts [ +"23000,16000" +"26250,16000" +] +) +end &238 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7132,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,14600,26800,16000" +st "clock" +blo "23000,15800" +tm "WireNameMgr" +) +) +on &15 +) +*677 (Wire +uid 7133,0 +shape (OrthoPolyLine +uid 7134,0 +va (VaSet +vasetType 3 +) +xt "3000,40000,6250,40000" +pts [ +"3000,40000" +"6250,40000" +] +) +end &253 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7139,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7140,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,38600,7100,40000" +st "reset" +blo "3000,39800" +tm "WireNameMgr" +) +) +on &25 +) +*678 (Wire +uid 7141,0 +shape (OrthoPolyLine +uid 7142,0 +va (VaSet +vasetType 3 +) +xt "3000,38000,6250,38000" +pts [ +"3000,38000" +"6250,38000" +] +) +end &249 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7148,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,36600,6800,38000" +st "clock" +blo "3000,37800" +tm "WireNameMgr" +) +) +on &15 +) +*679 (Wire +uid 7160,0 +shape (OrthoPolyLine +uid 7161,0 +va (VaSet +vasetType 3 +) +xt "19000,14000,26250,14000" +pts [ +"26250,14000" +"19000,14000" +] +) +start &241 +end &258 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7164,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7165,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,12600,27400,14000" +st "logic1" +blo "23000,13800" +tm "WireNameMgr" +) +s (Text +uid 7348,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,14000,23000,14000" +blo "23000,14000" +tm "SignalTypeMgr" +) +) +on &262 +) +*680 (Wire +uid 7168,0 +shape (OrthoPolyLine +uid 7169,0 +va (VaSet +vasetType 3 +) +xt "3000,36000,6250,36000" +pts [ +"6250,36000" +"3000,36000" +] +) +start &252 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7174,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7175,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,34600,7400,36000" +st "logic1" +blo "3000,35800" +tm "WireNameMgr" +) +s (Text +uid 7176,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,36000,3000,36000" +blo "3000,36000" +tm "SignalTypeMgr" +) +) +on &262 +) +*681 (Wire +uid 7724,0 +shape (OrthoPolyLine +uid 7725,0 +va (VaSet +vasetType 3 +) +xt "23000,116000,26250,116000" +pts [ +"23000,116000" +"26250,116000" +] +) +end &268 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7730,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7731,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,114600,27100,116000" +st "reset" +blo "23000,115800" +tm "WireNameMgr" +) +) +on &25 +) +*682 (Wire +uid 7732,0 +shape (OrthoPolyLine +uid 7733,0 +va (VaSet +vasetType 3 +) +xt "23000,114000,26250,114000" +pts [ +"23000,114000" +"26250,114000" +] +) +end &267 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7738,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7739,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,112600,26800,114000" +st "clock" +blo "23000,113800" +tm "WireNameMgr" +) +) +on &15 +) +*683 (Wire +uid 7740,0 +shape (OrthoPolyLine +uid 7741,0 +va (VaSet +vasetType 3 +) +xt "19000,110000,26250,110000" +pts [ +"19000,110000" +"26250,110000" +] +) +end &266 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7747,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,108600,21100,110000" +st "cs" +blo "19000,109800" +tm "WireNameMgr" +) +) +on &17 +) +*684 (Wire +uid 7748,0 +shape (OrthoPolyLine +uid 7749,0 +va (VaSet +vasetType 3 +) +xt "43750,108000,47000,108000" +pts [ +"43750,108000" +"47000,108000" +] +) +start &264 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7755,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,106600,51400,108000" +st "wr16Pulse" +blo "44000,107800" +tm "WireNameMgr" +) +) +on &272 +) +*685 (Wire +uid 7793,0 +shape (OrthoPolyLine +uid 7794,0 +va (VaSet +vasetType 3 +) +xt "17950,108000,26250,108000" +pts [ +"26250,108000" +"17950,108000" +] +) +start &265 +end &276 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7796,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,106600,24000,108000" +st "wr16" +blo "20000,107800" +tm "WireNameMgr" +) +) +on &280 +) +*686 (Wire +uid 7801,0 +shape (OrthoPolyLine +uid 7802,0 +va (VaSet +vasetType 3 +) +xt "7000,106000,11000,106000" +pts [ +"7000,106000" +"11000,106000" +] +) +end &274 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7808,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,104600,10300,106000" +st "wrH" +blo "7000,105800" +tm "WireNameMgr" +) +) +on &27 +) +*687 (Wire +uid 7809,0 +shape (OrthoPolyLine +uid 7810,0 +va (VaSet +vasetType 3 +) +xt "7000,110000,11000,110000" +pts [ +"7000,110000" +"11000,110000" +] +) +end &275 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7816,0 +va (VaSet +font "Verdana,12,0" +) +xt "7000,108600,10100,110000" +st "wrL" +blo "7000,109800" +tm "WireNameMgr" +) +) +on &29 +) +*688 (Wire +uid 7907,0 +shape (OrthoPolyLine +uid 7908,0 +va (VaSet +vasetType 3 +) +xt "67000,132000,74250,132000" +pts [ +"67000,132000" +"74250,132000" +] +) +end &443 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7913,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7914,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,130600,74400,132000" +st "wr16Pulse" +blo "67000,131800" +tm "WireNameMgr" +) +) +on &272 +) +*689 (Wire +uid 7915,0 +shape (OrthoPolyLine +uid 7916,0 +va (VaSet +vasetType 3 +) +xt "67000,182000,74250,182000" +pts [ +"67000,182000" +"74250,182000" +] +) +end &456 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7921,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7922,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,180600,74400,182000" +st "wr16Pulse" +blo "67000,181800" +tm "WireNameMgr" +) +) +on &272 +) +*690 (Wire +uid 8150,0 +shape (OrthoPolyLine +uid 8151,0 +va (VaSet +vasetType 3 +) +xt "91750,16000,99000,16000" +pts [ +"91750,16000" +"99000,16000" +] +) +start &290 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8154,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8155,0 +va (VaSet +font "Verdana,12,0" +) +xt "93750,14600,104050,16000" +st "interpolateLin" +blo "93750,15800" +tm "WireNameMgr" +) +) +on &393 +) +*691 (Wire +uid 8248,0 +optionalChildren [ +*692 (BdJunction +uid 8258,0 +ps "OnConnectorStrategy" +shape (Circle +uid 8259,0 +va (VaSet +vasetType 1 +) +xt "180600,124600,181400,125400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8249,0 +va (VaSet +vasetType 3 +) +xt "177000,69000,184250,162000" +pts [ +"184250,162000" +"181000,162000" +"181000,69000" +"177000,69000" +] +) +start &304 +end &215 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8253,0 +va (VaSet +font "Verdana,12,0" +) +xt "179000,67600,191400,69000" +st "interpolateLinear" +blo "179000,68800" +tm "WireNameMgr" +) +) +on &294 +) +*693 (Wire +uid 8254,0 +shape (OrthoPolyLine +uid 8255,0 +va (VaSet +vasetType 3 +) +xt "181000,125000,184250,125000" +pts [ +"184250,125000" +"181000,125000" +] +) +start &317 +end &692 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "171250,123600,183650,125000" +st "interpolateLinear" +blo "171250,124800" +tm "WireNameMgr" +) +) +on &294 +) +*694 (Wire +uid 9246,0 +shape (OrthoPolyLine +uid 9247,0 +va (VaSet +vasetType 3 +) +xt "139000,121000,139000,125000" +pts [ +"139000,125000" +"139000,121000" +] +) +end &167 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9253,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,123600,145900,125000" +st "selSinCos" +blo "139000,124800" +tm "WireNameMgr" +) +) +on &232 +) +*695 (Wire +uid 9254,0 +shape (OrthoPolyLine +uid 9255,0 +va (VaSet +vasetType 3 +) +xt "139000,158000,139000,162000" +pts [ +"139000,162000" +"139000,158000" +] +) +end &129 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9260,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9261,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,160600,145900,162000" +st "selSinCos" +blo "139000,161800" +tm "WireNameMgr" +) +) +on &232 +) +*696 (Wire +uid 10531,0 +shape (OrthoPolyLine +uid 10532,0 +va (VaSet +vasetType 3 +) +xt "91750,212000,106250,236000" +pts [ +"91750,236000" +"101000,236000" +"101000,212000" +"106250,212000" +] +) +start &467 +end &407 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10534,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,210600,106200,212000" +st "memEnZ" +blo "100000,211800" +tm "WireNameMgr" +) +) +on &419 +) +*697 (Wire +uid 10535,0 +shape (OrthoPolyLine +uid 10536,0 +va (VaSet +vasetType 3 +) +xt "91750,210000,106250,234000" +pts [ +"91750,234000" +"99000,234000" +"99000,210000" +"106250,210000" +] +) +start &465 +end &410 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10537,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10538,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,208600,106400,210000" +st "memWrZ" +blo "100000,209800" +tm "WireNameMgr" +) +) +on &418 +) +*698 (Wire +uid 10539,0 +shape (OrthoPolyLine +uid 10540,0 +va (VaSet +vasetType 3 +) +xt "71000,216000,74250,216000" +pts [ +"71000,216000" +"74250,216000" +] +) +end &397 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10543,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10544,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,214600,75100,216000" +st "reset" +blo "71000,215800" +tm "WireNameMgr" +) +) +on &25 +) +*699 (Wire +uid 10545,0 +shape (OrthoPolyLine +uid 10546,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,206000,106250,206000" +pts [ +"91750,206000" +"106250,206000" +] +) +start &399 +end &411 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10548,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,204600,106500,206000" +st "addrZ" +blo "102000,205800" +tm "WireNameMgr" +) +) +on &416 +) +*700 (Wire +uid 10549,0 +shape (OrthoPolyLine +uid 10550,0 +va (VaSet +vasetType 3 +) +xt "67000,234000,74250,234000" +pts [ +"67000,234000" +"74250,234000" +] +) +end &468 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10553,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10554,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,232600,76800,234000" +st "updatePattern" +blo "66000,233800" +tm "WireNameMgr" +) +) +on &87 +) +*701 (Wire +uid 10555,0 +shape (OrthoPolyLine +uid 10556,0 +va (VaSet +vasetType 3 +) +xt "69000,210000,95000,230000" +pts [ +"91750,230000" +"95000,230000" +"95000,224000" +"69000,224000" +"69000,210000" +"74250,210000" +] +) +start &470 +end &395 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10558,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,208600,74900,210000" +st "cntIncrZ" +blo "69000,209800" +tm "WireNameMgr" +) +) +on &415 +) +*702 (Wire +uid 10559,0 +shape (OrthoPolyLine +uid 10560,0 +va (VaSet +vasetType 3 +) +xt "71000,214000,74250,214000" +pts [ +"71000,214000" +"74250,214000" +] +) +end &396 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10563,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10564,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,212600,74800,214000" +st "clock" +blo "71000,213800" +tm "WireNameMgr" +) +) +on &15 +) +*703 (Wire +uid 10565,0 +shape (OrthoPolyLine +uid 10566,0 +va (VaSet +vasetType 3 +) +xt "67000,236000,74250,236000" +pts [ +"74250,236000" +"67000,236000" +] +) +start &471 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10570,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,234600,75600,236000" +st "newPolynom" +blo "66000,235800" +tm "WireNameMgr" +) +) +on &30 +) +*704 (Wire +uid 10571,0 +shape (OrthoPolyLine +uid 10572,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,206000,74250,206000" +pts [ +"67000,206000" +"74250,206000" +] +) +end &400 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10575,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10576,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,204600,74900,206000" +st "patternSize" +blo "66000,205800" +tm "WireNameMgr" +) +) +on &88 +) +*705 (Wire +uid 10577,0 +shape (OrthoPolyLine +uid 10578,0 +va (VaSet +vasetType 3 +) +xt "67000,208000,74250,208000" +pts [ +"67000,208000" +"74250,208000" +] +) +end &398 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10581,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10582,0 +va (VaSet +font "Verdana,12,0" +) +xt "66000,206600,76800,208000" +st "updatePattern" +blo "66000,207800" +tm "WireNameMgr" +) +) +on &87 +) +*706 (Wire +uid 10583,0 +shape (OrthoPolyLine +uid 10584,0 +va (VaSet +vasetType 3 +) +xt "71000,240000,74250,240000" +pts [ +"71000,240000" +"74250,240000" +] +) +end &472 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10588,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,238600,74800,240000" +st "clock" +blo "71000,239800" +tm "WireNameMgr" +) +) +on &15 +) +*707 (Wire +uid 10589,0 +shape (OrthoPolyLine +uid 10590,0 +va (VaSet +vasetType 3 +) +xt "71000,242000,74250,242000" +pts [ +"71000,242000" +"74250,242000" +] +) +end &473 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10594,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,240600,75100,242000" +st "reset" +blo "71000,241800" +tm "WireNameMgr" +) +) +on &25 +) +*708 (Wire +uid 10595,0 +shape (OrthoPolyLine +uid 10596,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,204000,131000,204000" +pts [ +"123750,204000" +"131000,204000" +] +) +start &406 +end &491 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10599,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10600,0 +va (VaSet +font "Verdana,12,0" +) +xt "127000,202600,131600,204000" +st "memZ" +blo "127000,203800" +tm "WireNameMgr" +) +) +on &417 +) +*709 (Wire +uid 10601,0 +shape (OrthoPolyLine +uid 10602,0 +va (VaSet +vasetType 3 +) +xt "67000,232000,74250,232000" +pts [ +"67000,232000" +"74250,232000" +] +) +end &469 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10605,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10606,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,230600,73500,232000" +st "wrLPulse" +blo "67000,231800" +tm "WireNameMgr" +) +) +on &197 +) +*710 (Wire +uid 10617,0 +shape (OrthoPolyLine +uid 10618,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "59000,200000,106250,204000" +pts [ +"59000,200000" +"99000,200000" +"99000,204000" +"106250,204000" +] +) +start &601 +end &405 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10620,0 +va (VaSet +font "Verdana,12,0" +) +xt "100000,202600,107400,204000" +st "dataInReg" +blo "100000,203800" +tm "WireNameMgr" +) +) +on &246 +) +*711 (Wire +uid 10662,0 +shape (OrthoPolyLine +uid 10663,0 +va (VaSet +vasetType 3 +) +xt "43750,42000,74250,230000" +pts [ +"43750,42000" +"49000,42000" +"49000,230000" +"74250,230000" +] +) +start &427 +end &466 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10664,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10665,0 +va (VaSet +font "Verdana,12,0" +) +xt "67000,228600,70400,230000" +st "selZ" +blo "67000,229800" +tm "WireNameMgr" +) +) +on &431 +) +*712 (Wire +uid 10884,0 +shape (OrthoPolyLine +uid 10885,0 +va (VaSet +vasetType 3 +) +xt "201000,206000,209000,206000" +pts [ +"201000,206000" +"209000,206000" +] +) +start &434 +end &432 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10889,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,204600,208700,206000" +st "outZ" +blo "205000,205800" +tm "WireNameMgr" +) +) +on &433 +) +*713 (Wire +uid 10901,0 +shape (OrthoPolyLine +uid 10902,0 +va (VaSet +vasetType 3 +) +xt "103000,218000,106250,218000" +pts [ +"103000,218000" +"106250,218000" +] +) +end &409 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10907,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10908,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,216600,107100,218000" +st "reset" +blo "103000,217800" +tm "WireNameMgr" +) +) +on &25 +) +*714 (Wire +uid 10909,0 +shape (OrthoPolyLine +uid 10910,0 +va (VaSet +vasetType 3 +) +xt "103000,216000,106250,216000" +pts [ +"103000,216000" +"106250,216000" +] +) +end &408 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10915,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10916,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,214600,106800,216000" +st "clock" +blo "103000,215800" +tm "WireNameMgr" +) +) +on &15 +) +*715 (Wire +uid 11529,0 +shape (OrthoPolyLine +uid 11530,0 +va (VaSet +vasetType 3 +) +xt "157000,210000,160250,210000" +pts [ +"157000,210000" +"160250,210000" +] +) +end &478 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11535,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11536,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,208600,159800,210000" +st "clock" +blo "156000,209800" +tm "WireNameMgr" +) +) +on &15 +) +*716 (Wire +uid 11537,0 +shape (OrthoPolyLine +uid 11538,0 +va (VaSet +vasetType 3 +) +xt "157000,212000,160250,212000" +pts [ +"157000,212000" +"160250,212000" +] +) +end &479 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11543,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11544,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,210600,160100,212000" +st "reset" +blo "156000,211800" +tm "WireNameMgr" +) +) +on &25 +) +*717 (Wire +uid 11545,0 +shape (OrthoPolyLine +uid 11546,0 +va (VaSet +vasetType 3 +) +xt "153000,169000,160250,206000" +pts [ +"153000,169000" +"153000,206000" +"160250,206000" +] +) +start &541 +end &480 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11547,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11548,0 +va (VaSet +font "Verdana,12,0" +) +xt "153000,204600,162600,206000" +st "newPolynom" +blo "153000,205800" +tm "WireNameMgr" +) +) +on &30 +) +*718 (Wire +uid 11553,0 +shape (OrthoPolyLine +uid 11554,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,204000,185000,204000" +pts [ +"177750,204000" +"185000,204000" +] +) +start &482 +end &434 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11558,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,202600,184900,204000" +st "sampleZ1" +blo "178000,203800" +tm "WireNameMgr" +) +) +on &489 +) +*719 (Wire +uid 11561,0 +shape (OrthoPolyLine +uid 11562,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,206000,185000,206000" +pts [ +"177750,206000" +"185000,206000" +] +) +start &483 +end &434 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11565,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11566,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,204600,184900,206000" +st "sampleZ2" +blo "178000,205800" +tm "WireNameMgr" +) +) +on &490 +) +*720 (Wire +uid 11582,0 +shape (OrthoPolyLine +uid 11583,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,204000,160250,204000" +pts [ +"160250,204000" +"147000,204000" +] +) +start &481 +end &491 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11586,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11587,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,202600,155800,204000" +st "samplesZ" +blo "149000,203800" +tm "WireNameMgr" +) +) +on &495 +) +*721 (Wire +uid 11590,0 +shape (OrthoPolyLine +uid 11591,0 +va (VaSet +vasetType 3 +) +xt "139000,208000,139000,212000" +pts [ +"139000,212000" +"139000,208000" +] +) +end &491 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11597,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,210600,145900,212000" +st "selSinCos" +blo "139000,211800" +tm "WireNameMgr" +) +) +on &232 +) +*722 (Wire +uid 11911,0 +shape (OrthoPolyLine +uid 11912,0 +va (VaSet +vasetType 3 +) +xt "261000,89000,264250,89000" +pts [ +"261000,89000" +"264250,89000" +] +) +end &501 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11918,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,87600,264100,89000" +st "reset" +blo "260000,88800" +tm "WireNameMgr" +) +) +on &25 +) +*723 (Wire +uid 11919,0 +shape (OrthoPolyLine +uid 11920,0 +va (VaSet +vasetType 3 +) +xt "261000,87000,264250,87000" +pts [ +"261000,87000" +"264250,87000" +] +) +end &498 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11926,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,85600,263800,87000" +st "clock" +blo "260000,86800" +tm "WireNameMgr" +) +) +on &15 +) +*724 (Wire +uid 11927,0 +shape (OrthoPolyLine +uid 11928,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257000,81000,264250,81000" +pts [ +"257000,81000" +"264250,81000" +] +) +end &502 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11934,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,79600,265400,81000" +st "unsignedX" +blo "258000,80800" +tm "WireNameMgr" +) +) +on &46 +) +*725 (Wire +uid 11935,0 +shape (OrthoPolyLine +uid 11936,0 +va (VaSet +vasetType 3 +) +xt "257000,83000,264250,83000" +pts [ +"257000,83000" +"264250,83000" +] +) +end &500 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11941,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11942,0 +va (VaSet +font "Verdana,12,0" +) +xt "252000,81600,265900,83000" +st "interpolationEnable" +blo "252000,82800" +tm "WireNameMgr" +) +) +on &124 +) +*726 (Wire +uid 11980,0 +shape (OrthoPolyLine +uid 11981,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257000,59000,264250,59000" +pts [ +"257000,59000" +"264250,59000" +] +) +end &513 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11984,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11985,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,57600,265300,59000" +st "unsignedY" +blo "258000,58800" +tm "WireNameMgr" +) +) +on &80 +) +*727 (Wire +uid 11986,0 +shape (OrthoPolyLine +uid 11987,0 +va (VaSet +vasetType 3 +) +xt "257000,61000,264250,61000" +pts [ +"257000,61000" +"264250,61000" +] +) +end &511 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11991,0 +va (VaSet +font "Verdana,12,0" +) +xt "252000,59600,265900,61000" +st "interpolationEnable" +blo "252000,60800" +tm "WireNameMgr" +) +) +on &124 +) +*728 (Wire +uid 11992,0 +shape (OrthoPolyLine +uid 11993,0 +va (VaSet +vasetType 3 +) +xt "261000,65000,264250,65000" +pts [ +"261000,65000" +"264250,65000" +] +) +end &509 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11998,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11999,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,63600,263800,65000" +st "clock" +blo "260000,64800" +tm "WireNameMgr" +) +) +on &15 +) +*729 (Wire +uid 12000,0 +shape (OrthoPolyLine +uid 12001,0 +va (VaSet +vasetType 3 +) +xt "261000,67000,264250,67000" +pts [ +"261000,67000" +"264250,67000" +] +) +end &512 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12006,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12007,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,65600,264100,67000" +st "reset" +blo "260000,66800" +tm "WireNameMgr" +) +) +on &25 +) +*730 (Wire +uid 12056,0 +shape (OrthoPolyLine +uid 12057,0 +va (VaSet +vasetType 3 +) +xt "281750,85000,289000,85000" +pts [ +"281750,85000" +"289000,85000" +] +) +start &497 +end &518 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12060,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12061,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,83600,289800,85000" +st "CLK_X" +blo "285000,84800" +tm "WireNameMgr" +) +) +on &519 +) +*731 (Wire +uid 12070,0 +shape (OrthoPolyLine +uid 12071,0 +va (VaSet +vasetType 3 +) +xt "281750,63000,289000,63000" +pts [ +"281750,63000" +"289000,63000" +] +) +start &508 +end &520 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12074,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12075,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,61600,289700,63000" +st "CLK_Y" +blo "285000,62800" +tm "WireNameMgr" +) +) +on &521 +) +*732 (Wire +uid 12084,0 +shape (OrthoPolyLine +uid 12085,0 +va (VaSet +vasetType 3 +) +xt "281750,81000,289000,81000" +pts [ +"281750,81000" +"289000,81000" +] +) +start &499 +end &522 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12088,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12089,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,79600,289700,81000" +st "CS_X_n" +blo "284000,80800" +tm "WireNameMgr" +) +) +on &523 +) +*733 (Wire +uid 12098,0 +shape (OrthoPolyLine +uid 12099,0 +va (VaSet +vasetType 3 +) +xt "281750,59000,289000,59000" +pts [ +"281750,59000" +"289000,59000" +] +) +start &510 +end &524 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12102,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12103,0 +va (VaSet +font "Verdana,12,0" +) +xt "284000,57600,289600,59000" +st "CS_Y_n" +blo "284000,58800" +tm "WireNameMgr" +) +) +on &525 +) +*734 (Wire +uid 12112,0 +shape (OrthoPolyLine +uid 12113,0 +va (VaSet +vasetType 3 +) +xt "281750,83000,289000,83000" +pts [ +"281750,83000" +"289000,83000" +] +) +start &503 +end &526 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12116,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12117,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,81600,289600,83000" +st "SDI_X" +blo "285000,82800" +tm "WireNameMgr" +) +) +on &527 +) +*735 (Wire +uid 12126,0 +shape (OrthoPolyLine +uid 12127,0 +va (VaSet +vasetType 3 +) +xt "281750,61000,289000,61000" +pts [ +"281750,61000" +"289000,61000" +] +) +start &514 +end &528 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12130,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12131,0 +va (VaSet +font "Verdana,12,0" +) +xt "285000,59600,289500,61000" +st "SDI_Y" +blo "285000,60800" +tm "WireNameMgr" +) +) +on &529 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *736 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*737 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*738 (MLText +uid 44,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*739 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*740 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*741 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*742 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*743 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*744 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*745 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4300,-4300,470144,253478" +cachedDiagramExtent "-24700,0,305600,246800" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 13697,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*746 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*747 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*748 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*749 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*750 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*751 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*752 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*753 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*754 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*755 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*756 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*757 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*758 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*759 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*760 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*761 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*762 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*763 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*764 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*765 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*766 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,400,219000,1400" +st "Declarations" +blo "212000,1200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,1300,215400,2300" +st "Ports:" +blo "212000,2100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,20200,216800,21200" +st "Pre User:" +blo "212000,21000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "214000,21100,248100,28100" +st "constant signalBitNb: positive := 16; +constant coeffBitNb : positive := signalBitNb+3; +constant sampleCountBitNb : positive := 8; +constant patternAddressBitNb : positive := 8; +-- sinewave generator +constant tableAddressBitNb : positive := 3; +constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "212000,27400,221000,28400" +st "Diagram Signals:" +blo "212000,28200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "212000,400,218000,1400" +st "Post User:" +blo "212000,1200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "212000,400,212000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 86,0 +usingSuid 1 +emptyRow *767 (LEmptyRow +) +uid 12307,0 +optionalChildren [ +*768 (RefLabelRowHdr +) +*769 (TitleRowHdr +) +*770 (FilterRowHdr +) +*771 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*772 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*773 (GroupColHdr +tm "GroupColHdrMgr" +) +*774 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*775 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*776 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*777 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*778 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*779 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*780 (LeafLogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +uid 12134,0 +) +*781 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 12136,0 +) +*782 (LeafLogPort +port (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 3,0 +) +) +uid 12138,0 +) +*783 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 12140,0 +) +*784 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 12142,0 +) +*785 (LeafLogPort +port (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 12144,0 +) +*786 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 12146,0 +) +*787 (LeafLogPort +port (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 12148,0 +) +*788 (LeafLogPort +port (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 9,0 +) +) +uid 12150,0 +) +*789 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 52 +suid 10,0 +) +) +uid 12152,0 +) +*790 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 56 +suid 11,0 +) +) +uid 12154,0 +) +*791 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 79 +suid 12,0 +) +) +uid 12156,0 +) +*792 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 68 +suid 13,0 +) +) +uid 12158,0 +) +*793 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 57 +suid 14,0 +) +) +uid 12160,0 +) +*794 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 58 +suid 15,0 +) +) +uid 12162,0 +) +*795 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 59 +suid 16,0 +) +) +uid 12164,0 +) +*796 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 60 +suid 17,0 +) +) +uid 12166,0 +) +*797 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 21 +suid 18,0 +) +) +uid 12168,0 +) +*798 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 27 +suid 19,0 +) +) +uid 12170,0 +) +*799 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 29 +suid 20,0 +) +) +uid 12172,0 +) +*800 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 35 +suid 21,0 +) +) +uid 12174,0 +) +*801 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 69 +suid 22,0 +) +) +uid 12176,0 +) +*802 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 62 +suid 23,0 +) +) +uid 12178,0 +) +*803 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 63 +suid 24,0 +) +) +uid 12180,0 +) +*804 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 64 +suid 25,0 +) +) +uid 12182,0 +) +*805 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 65 +suid 26,0 +) +) +uid 12184,0 +) +*806 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 22 +suid 27,0 +) +) +uid 12186,0 +) +*807 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 28 +suid 28,0 +) +) +uid 12188,0 +) +*808 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 30 +suid 29,0 +) +) +uid 12190,0 +) +*809 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 36 +suid 30,0 +) +) +uid 12192,0 +) +*810 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 61 +suid 31,0 +) +) +uid 12194,0 +) +*811 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 80 +suid 32,0 +) +) +uid 12196,0 +) +*812 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selControl" +t "std_ulogic" +o 71 +suid 33,0 +) +) +uid 12198,0 +) +*813 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSize" +t "std_ulogic" +o 72 +suid 34,0 +) +) +uid 12200,0 +) +*814 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 73 +suid 35,0 +) +) +uid 12202,0 +) +*815 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selX" +t "std_ulogic" +o 74 +suid 36,0 +) +) +uid 12204,0 +) +*816 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selY" +t "std_ulogic" +o 75 +suid 37,0 +) +) +uid 12206,0 +) +*817 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "run" +t "std_ulogic" +o 55 +suid 38,0 +) +) +uid 12208,0 +) +*818 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 81 +suid 39,0 +) +) +uid 12210,0 +) +*819 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 53 +suid 40,0 +) +) +uid 12212,0 +) +*820 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 41,0 +) +) +uid 12214,0 +) +*821 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 82 +suid 42,0 +) +) +uid 12216,0 +) +*822 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 41 +suid 43,0 +) +) +uid 12218,0 +) +*823 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 24 +suid 44,0 +) +) +uid 12220,0 +) +*824 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 31 +suid 45,0 +) +) +uid 12222,0 +) +*825 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrX" +t "std_ulogic" +o 46 +suid 46,0 +) +) +uid 12224,0 +) +*826 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnX" +t "std_ulogic" +o 43 +suid 47,0 +) +) +uid 12226,0 +) +*827 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 49 +suid 48,0 +) +) +uid 12228,0 +) +*828 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 32 +suid 49,0 +) +) +uid 12230,0 +) +*829 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 25 +suid 50,0 +) +) +uid 12232,0 +) +*830 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrY" +t "std_ulogic" +o 47 +suid 51,0 +) +) +uid 12234,0 +) +*831 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnY" +t "std_ulogic" +o 44 +suid 52,0 +) +) +uid 12236,0 +) +*832 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 50 +suid 53,0 +) +) +uid 12238,0 +) +*833 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 54,0 +) +) +uid 12240,0 +) +*834 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrHPulse" +t "std_ulogic" +o 85 +suid 55,0 +) +) +uid 12242,0 +) +*835 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrLPulse" +t "std_ulogic" +o 86 +suid 56,0 +) +) +uid 12244,0 +) +*836 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 54 +suid 57,0 +) +) +uid 12246,0 +) +*837 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 78 +suid 58,0 +) +) +uid 12248,0 +) +*838 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 77 +suid 59,0 +) +) +uid 12250,0 +) +*839 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 40 +suid 60,0 +) +) +uid 12252,0 +) +*840 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 61,0 +) +) +uid 12254,0 +) +*841 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 62,0 +) +) +uid 12256,0 +) +*842 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataInReg" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 37 +suid 63,0 +) +) +uid 12258,0 +) +*843 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 64,0 +) +) +uid 12260,0 +) +*844 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrReg" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 23 +suid 65,0 +) +) +uid 12262,0 +) +*845 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_ulogic" +o 42 +suid 66,0 +) +) +uid 12264,0 +) +*846 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wr16Pulse" +t "std_ulogic" +o 84 +suid 67,0 +) +) +uid 12266,0 +) +*847 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wr16" +t "std_ulogic" +o 83 +suid 68,0 +) +) +uid 12268,0 +) +*848 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 39 +suid 69,0 +) +) +uid 12270,0 +) +*849 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 38 +suid 70,0 +) +) +uid 12272,0 +) +*850 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrZ" +t "std_ulogic" +o 33 +suid 71,0 +) +) +uid 12274,0 +) +*851 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrZ" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 26 +suid 72,0 +) +) +uid 12276,0 +) +*852 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memZ" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 51 +suid 73,0 +) +) +uid 12278,0 +) +*853 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrZ" +t "std_ulogic" +o 48 +suid 74,0 +) +) +uid 12280,0 +) +*854 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnZ" +t "std_ulogic" +o 45 +suid 75,0 +) +) +uid 12282,0 +) +*855 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selZ" +t "std_ulogic" +o 76 +suid 76,0 +) +) +uid 12284,0 +) +*856 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 77,0 +) +) +uid 12286,0 +) +*857 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleZ1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 66 +suid 78,0 +) +) +uid 12288,0 +) +*858 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleZ2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 67 +suid 79,0 +) +) +uid 12290,0 +) +*859 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesZ" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 70 +suid 80,0 +) +) +uid 12292,0 +) +*860 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 81,0 +) +) +uid 12294,0 +) +*861 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 82,0 +) +) +uid 12296,0 +) +*862 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 83,0 +) +) +uid 12298,0 +) +*863 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 84,0 +) +) +uid 12300,0 +) +*864 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 85,0 +) +) +uid 12302,0 +) +*865 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 86,0 +) +) +uid 12304,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 12320,0 +optionalChildren [ +*866 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *867 (MRCItem +litem &767 +pos 86 +dimension 20 +) +uid 12322,0 +optionalChildren [ +*868 (MRCItem +litem &768 +pos 0 +dimension 20 +uid 12323,0 +) +*869 (MRCItem +litem &769 +pos 1 +dimension 23 +uid 12324,0 +) +*870 (MRCItem +litem &770 +pos 2 +hidden 1 +dimension 20 +uid 12325,0 +) +*871 (MRCItem +litem &780 +pos 0 +dimension 20 +uid 12135,0 +) +*872 (MRCItem +litem &781 +pos 1 +dimension 20 +uid 12137,0 +) +*873 (MRCItem +litem &782 +pos 2 +dimension 20 +uid 12139,0 +) +*874 (MRCItem +litem &783 +pos 3 +dimension 20 +uid 12141,0 +) +*875 (MRCItem +litem &784 +pos 4 +dimension 20 +uid 12143,0 +) +*876 (MRCItem +litem &785 +pos 5 +dimension 20 +uid 12145,0 +) +*877 (MRCItem +litem &786 +pos 6 +dimension 20 +uid 12147,0 +) +*878 (MRCItem +litem &787 +pos 7 +dimension 20 +uid 12149,0 +) +*879 (MRCItem +litem &788 +pos 8 +dimension 20 +uid 12151,0 +) +*880 (MRCItem +litem &789 +pos 20 +dimension 20 +uid 12153,0 +) +*881 (MRCItem +litem &790 +pos 21 +dimension 20 +uid 12155,0 +) +*882 (MRCItem +litem &791 +pos 22 +dimension 20 +uid 12157,0 +) +*883 (MRCItem +litem &792 +pos 23 +dimension 20 +uid 12159,0 +) +*884 (MRCItem +litem &793 +pos 24 +dimension 20 +uid 12161,0 +) +*885 (MRCItem +litem &794 +pos 25 +dimension 20 +uid 12163,0 +) +*886 (MRCItem +litem &795 +pos 26 +dimension 20 +uid 12165,0 +) +*887 (MRCItem +litem &796 +pos 27 +dimension 20 +uid 12167,0 +) +*888 (MRCItem +litem &797 +pos 28 +dimension 20 +uid 12169,0 +) +*889 (MRCItem +litem &798 +pos 29 +dimension 20 +uid 12171,0 +) +*890 (MRCItem +litem &799 +pos 30 +dimension 20 +uid 12173,0 +) +*891 (MRCItem +litem &800 +pos 31 +dimension 20 +uid 12175,0 +) +*892 (MRCItem +litem &801 +pos 32 +dimension 20 +uid 12177,0 +) +*893 (MRCItem +litem &802 +pos 33 +dimension 20 +uid 12179,0 +) +*894 (MRCItem +litem &803 +pos 34 +dimension 20 +uid 12181,0 +) +*895 (MRCItem +litem &804 +pos 35 +dimension 20 +uid 12183,0 +) +*896 (MRCItem +litem &805 +pos 36 +dimension 20 +uid 12185,0 +) +*897 (MRCItem +litem &806 +pos 37 +dimension 20 +uid 12187,0 +) +*898 (MRCItem +litem &807 +pos 38 +dimension 20 +uid 12189,0 +) +*899 (MRCItem +litem &808 +pos 39 +dimension 20 +uid 12191,0 +) +*900 (MRCItem +litem &809 +pos 40 +dimension 20 +uid 12193,0 +) +*901 (MRCItem +litem &810 +pos 41 +dimension 20 +uid 12195,0 +) +*902 (MRCItem +litem &811 +pos 42 +dimension 20 +uid 12197,0 +) +*903 (MRCItem +litem &812 +pos 43 +dimension 20 +uid 12199,0 +) +*904 (MRCItem +litem &813 +pos 44 +dimension 20 +uid 12201,0 +) +*905 (MRCItem +litem &814 +pos 45 +dimension 20 +uid 12203,0 +) +*906 (MRCItem +litem &815 +pos 46 +dimension 20 +uid 12205,0 +) +*907 (MRCItem +litem &816 +pos 47 +dimension 20 +uid 12207,0 +) +*908 (MRCItem +litem &817 +pos 48 +dimension 20 +uid 12209,0 +) +*909 (MRCItem +litem &818 +pos 49 +dimension 20 +uid 12211,0 +) +*910 (MRCItem +litem &819 +pos 50 +dimension 20 +uid 12213,0 +) +*911 (MRCItem +litem &820 +pos 9 +dimension 20 +uid 12215,0 +) +*912 (MRCItem +litem &821 +pos 51 +dimension 20 +uid 12217,0 +) +*913 (MRCItem +litem &822 +pos 52 +dimension 20 +uid 12219,0 +) +*914 (MRCItem +litem &823 +pos 53 +dimension 20 +uid 12221,0 +) +*915 (MRCItem +litem &824 +pos 54 +dimension 20 +uid 12223,0 +) +*916 (MRCItem +litem &825 +pos 55 +dimension 20 +uid 12225,0 +) +*917 (MRCItem +litem &826 +pos 56 +dimension 20 +uid 12227,0 +) +*918 (MRCItem +litem &827 +pos 57 +dimension 20 +uid 12229,0 +) +*919 (MRCItem +litem &828 +pos 58 +dimension 20 +uid 12231,0 +) +*920 (MRCItem +litem &829 +pos 59 +dimension 20 +uid 12233,0 +) +*921 (MRCItem +litem &830 +pos 60 +dimension 20 +uid 12235,0 +) +*922 (MRCItem +litem &831 +pos 61 +dimension 20 +uid 12237,0 +) +*923 (MRCItem +litem &832 +pos 62 +dimension 20 +uid 12239,0 +) +*924 (MRCItem +litem &833 +pos 10 +dimension 20 +uid 12241,0 +) +*925 (MRCItem +litem &834 +pos 63 +dimension 20 +uid 12243,0 +) +*926 (MRCItem +litem &835 +pos 64 +dimension 20 +uid 12245,0 +) +*927 (MRCItem +litem &836 +pos 65 +dimension 20 +uid 12247,0 +) +*928 (MRCItem +litem &837 +pos 66 +dimension 20 +uid 12249,0 +) +*929 (MRCItem +litem &838 +pos 67 +dimension 20 +uid 12251,0 +) +*930 (MRCItem +litem &839 +pos 68 +dimension 20 +uid 12253,0 +) +*931 (MRCItem +litem &840 +pos 69 +dimension 20 +uid 12255,0 +) +*932 (MRCItem +litem &841 +pos 11 +dimension 20 +uid 12257,0 +) +*933 (MRCItem +litem &842 +pos 70 +dimension 20 +uid 12259,0 +) +*934 (MRCItem +litem &843 +pos 12 +dimension 20 +uid 12261,0 +) +*935 (MRCItem +litem &844 +pos 71 +dimension 20 +uid 12263,0 +) +*936 (MRCItem +litem &845 +pos 72 +dimension 20 +uid 12265,0 +) +*937 (MRCItem +litem &846 +pos 73 +dimension 20 +uid 12267,0 +) +*938 (MRCItem +litem &847 +pos 74 +dimension 20 +uid 12269,0 +) +*939 (MRCItem +litem &848 +pos 75 +dimension 20 +uid 12271,0 +) +*940 (MRCItem +litem &849 +pos 76 +dimension 20 +uid 12273,0 +) +*941 (MRCItem +litem &850 +pos 77 +dimension 20 +uid 12275,0 +) +*942 (MRCItem +litem &851 +pos 78 +dimension 20 +uid 12277,0 +) +*943 (MRCItem +litem &852 +pos 79 +dimension 20 +uid 12279,0 +) +*944 (MRCItem +litem &853 +pos 80 +dimension 20 +uid 12281,0 +) +*945 (MRCItem +litem &854 +pos 81 +dimension 20 +uid 12283,0 +) +*946 (MRCItem +litem &855 +pos 82 +dimension 20 +uid 12285,0 +) +*947 (MRCItem +litem &856 +pos 13 +dimension 20 +uid 12287,0 +) +*948 (MRCItem +litem &857 +pos 83 +dimension 20 +uid 12289,0 +) +*949 (MRCItem +litem &858 +pos 84 +dimension 20 +uid 12291,0 +) +*950 (MRCItem +litem &859 +pos 85 +dimension 20 +uid 12293,0 +) +*951 (MRCItem +litem &860 +pos 14 +dimension 20 +uid 12295,0 +) +*952 (MRCItem +litem &861 +pos 15 +dimension 20 +uid 12297,0 +) +*953 (MRCItem +litem &862 +pos 16 +dimension 20 +uid 12299,0 +) +*954 (MRCItem +litem &863 +pos 17 +dimension 20 +uid 12301,0 +) +*955 (MRCItem +litem &864 +pos 18 +dimension 20 +uid 12303,0 +) +*956 (MRCItem +litem &865 +pos 19 +dimension 20 +uid 12305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 12326,0 +optionalChildren [ +*957 (MRCItem +litem &771 +pos 0 +dimension 20 +uid 12327,0 +) +*958 (MRCItem +litem &773 +pos 1 +dimension 50 +uid 12328,0 +) +*959 (MRCItem +litem &774 +pos 2 +dimension 100 +uid 12329,0 +) +*960 (MRCItem +litem &775 +pos 3 +dimension 50 +uid 12330,0 +) +*961 (MRCItem +litem &776 +pos 4 +dimension 100 +uid 12331,0 +) +*962 (MRCItem +litem &777 +pos 5 +dimension 100 +uid 12332,0 +) +*963 (MRCItem +litem &778 +pos 6 +dimension 50 +uid 12333,0 +) +*964 (MRCItem +litem &779 +pos 7 +dimension 80 +uid 12334,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 12321,0 +vaOverrides [ +] +) +] +) +uid 12306,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *965 (LEmptyRow +) +uid 12336,0 +optionalChildren [ +*966 (RefLabelRowHdr +) +*967 (TitleRowHdr +) +*968 (FilterRowHdr +) +*969 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*970 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*971 (GroupColHdr +tm "GroupColHdrMgr" +) +*972 (NameColHdr +tm "GenericNameColHdrMgr" +) +*973 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*974 (InitColHdr +tm "GenericValueColHdrMgr" +) +*975 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*976 (EolColHdr +tm "GenericEolColHdrMgr" +) +*977 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 12611,0 +) +*978 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 12613,0 +) +] +) +pdm (PhysicalDM +uid 12348,0 +optionalChildren [ +*979 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *980 (MRCItem +litem &965 +pos 2 +dimension 20 +) +uid 12350,0 +optionalChildren [ +*981 (MRCItem +litem &966 +pos 0 +dimension 20 +uid 12351,0 +) +*982 (MRCItem +litem &967 +pos 1 +dimension 23 +uid 12352,0 +) +*983 (MRCItem +litem &968 +pos 2 +hidden 1 +dimension 20 +uid 12353,0 +) +*984 (MRCItem +litem &977 +pos 0 +dimension 20 +uid 12610,0 +) +*985 (MRCItem +litem &978 +pos 1 +dimension 20 +uid 12612,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 12354,0 +optionalChildren [ +*986 (MRCItem +litem &969 +pos 0 +dimension 20 +uid 12355,0 +) +*987 (MRCItem +litem &971 +pos 1 +dimension 50 +uid 12356,0 +) +*988 (MRCItem +litem &972 +pos 2 +dimension 100 +uid 12357,0 +) +*989 (MRCItem +litem &973 +pos 3 +dimension 100 +uid 12358,0 +) +*990 (MRCItem +litem &974 +pos 4 +dimension 50 +uid 12359,0 +) +*991 (MRCItem +litem &975 +pos 5 +dimension 50 +uid 12360,0 +) +*992 (MRCItem +litem &976 +pos 6 +dimension 80 +uid 12361,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 12349,0 +vaOverrides [ +] +) +] +) +uid 12335,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/symbol.sb new file mode 100644 index 0000000..8e7f067 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@blanking/symbol.sb @@ -0,0 +1,2620 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2020,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 243,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 244,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 245,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 246,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 247,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 18,0 +) +) +uid 248,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 14,0 +) +) +uid 249,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 250,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 251,0 +) +*10 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 252,0 +) +*11 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 17,0 +) +) +uid 253,0 +) +*12 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 254,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 255,0 +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 256,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 257,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 12,0 +) +) +uid 258,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 259,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 16,0 +) +) +uid 260,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 20,0 +) +) +uid 261,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +uid 262,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 263,0 +) +*22 (RefLabelRowHdr +) +*23 (TitleRowHdr +) +*24 (FilterRowHdr +) +*25 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*26 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*27 (GroupColHdr +tm "GroupColHdrMgr" +) +*28 (NameColHdr +tm "NameColHdrMgr" +) +*29 (ModeColHdr +tm "ModeColHdrMgr" +) +*30 (TypeColHdr +tm "TypeColHdrMgr" +) +*31 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*32 (InitColHdr +tm "InitColHdrMgr" +) +*33 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 264,0 +optionalChildren [ +*34 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *35 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 167,0 +optionalChildren [ +*36 (MRCItem +litem &22 +pos 0 +dimension 20 +uid 170,0 +) +*37 (MRCItem +litem &23 +pos 1 +dimension 23 +uid 172,0 +) +*38 (MRCItem +litem &24 +pos 2 +hidden 1 +dimension 20 +uid 174,0 +) +*39 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 193,0 +) +*40 (MRCItem +litem &3 +pos 11 +dimension 20 +uid 194,0 +) +*41 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 195,0 +) +*42 (MRCItem +litem &5 +pos 8 +dimension 20 +uid 196,0 +) +*43 (MRCItem +litem &6 +pos 17 +dimension 20 +uid 197,0 +) +*44 (MRCItem +litem &7 +pos 13 +dimension 20 +uid 198,0 +) +*45 (MRCItem +litem &8 +pos 12 +dimension 20 +uid 199,0 +) +*46 (MRCItem +litem &9 +pos 1 +dimension 20 +uid 200,0 +) +*47 (MRCItem +litem &10 +pos 14 +dimension 20 +uid 201,0 +) +*48 (MRCItem +litem &11 +pos 18 +dimension 20 +uid 202,0 +) +*49 (MRCItem +litem &12 +pos 4 +dimension 20 +uid 203,0 +) +*50 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 204,0 +) +*51 (MRCItem +litem &14 +pos 3 +dimension 20 +uid 205,0 +) +*52 (MRCItem +litem &15 +pos 6 +dimension 20 +uid 206,0 +) +*53 (MRCItem +litem &16 +pos 10 +dimension 20 +uid 207,0 +) +*54 (MRCItem +litem &17 +pos 19 +dimension 20 +uid 208,0 +) +*55 (MRCItem +litem &18 +pos 16 +dimension 20 +uid 209,0 +) +*56 (MRCItem +litem &19 +pos 15 +dimension 20 +uid 210,0 +) +*57 (MRCItem +litem &20 +pos 9 +dimension 20 +uid 211,0 +) +*58 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 168,0 +optionalChildren [ +*59 (MRCItem +litem &25 +pos 0 +dimension 20 +uid 176,0 +) +*60 (MRCItem +litem &27 +pos 1 +dimension 50 +uid 180,0 +) +*61 (MRCItem +litem &28 +pos 2 +dimension 100 +uid 182,0 +) +*62 (MRCItem +litem &29 +pos 3 +dimension 50 +uid 184,0 +) +*63 (MRCItem +litem &30 +pos 4 +dimension 100 +uid 186,0 +) +*64 (MRCItem +litem &31 +pos 5 +dimension 100 +uid 188,0 +) +*65 (MRCItem +litem &32 +pos 6 +dimension 50 +uid 190,0 +) +*66 (MRCItem +litem &33 +pos 7 +dimension 80 +uid 192,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 166,0 +vaOverrides [ +] +) +] +) +uid 242,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *67 (LEmptyRow +) +uid 266,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "GenericNameColHdrMgr" +) +*75 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*76 (InitColHdr +tm "GenericValueColHdrMgr" +) +*77 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*78 (EolColHdr +tm "GenericEolColHdrMgr" +) +*79 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 238,0 +) +*80 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 239,0 +) +] +) +pdm (PhysicalDM +uid 267,0 +optionalChildren [ +*81 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *82 (MRCItem +litem &67 +pos 3 +dimension 20 +) +uid 214,0 +optionalChildren [ +*83 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 217,0 +) +*84 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 219,0 +) +*85 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 221,0 +) +*86 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 240,0 +) +*87 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 241,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 215,0 +optionalChildren [ +*88 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 223,0 +) +*89 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 227,0 +) +*90 (MRCItem +litem &74 +pos 2 +dimension 100 +uid 229,0 +) +*91 (MRCItem +litem &75 +pos 3 +dimension 100 +uid 231,0 +) +*92 (MRCItem +litem &76 +pos 4 +dimension 50 +uid 233,0 +) +*93 (MRCItem +litem &77 +pos 5 +dimension 50 +uid 235,0 +) +*94 (MRCItem +litem &78 +pos 6 +dimension 80 +uid 237,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 213,0 +vaOverrides [ +] +) +] +) +uid 265,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@blanking/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@blanking/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@blanking" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphBlanking" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphBlanking" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerPeriphBlanking" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@blanking/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphBlanking/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*95 (SymbolBody +uid 8,0 +optionalChildren [ +*96 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,31625,36000,32375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "37000,31400,39500,32300" +st "clock" +blo "37000,32100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,18500,10300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*97 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,5625,36000,6375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "37000,5400,39000,6300" +st "addr" +blo "37000,6100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8500,30000,9400" +st "addr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*98 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,5625,52750,6375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "49001,5400,51001,6300" +st "outX" +ju 2 +blo "51001,6100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7600,18500,8500" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*99 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,33625,36000,34375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "37000,33400,39500,34300" +st "reset" +blo "37000,34100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,18500,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*100 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,7625,52750,8375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "49001,7400,51001,8300" +st "outY" +ju 2 +blo "51001,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,18500,12100" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*101 (CptPort +uid 87,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,7625,36000,8375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,9,0" +) +xt "37000,7400,40000,8300" +st "dataIn" +blo "37000,8100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 91,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,33000,13000" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*102 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,13625,36000,14375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +font "courier,9,0" +) +xt "37000,13400,38000,14300" +st "rd" +blo "37000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 96,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,18500,13900" +st "rd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*103 (CptPort +uid 97,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 98,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,15625,36000,16375" +) +tg (CPTG +uid 99,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 100,0 +va (VaSet +font "courier,9,0" +) +xt "37000,15400,38500,16300" +st "wrH" +blo "37000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,18500,14800" +st "wrH : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*104 (CptPort +uid 102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,19625,36000,20375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 105,0 +va (VaSet +font "courier,9,0" +) +xt "37000,19400,38000,20300" +st "cs" +blo "37000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,18500,15700" +st "cs : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*105 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 110,0 +va (VaSet +font "courier,9,0" +) +xt "37000,17400,38500,18300" +st "wrL" +blo "37000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,18500,16600" +st "wrL : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +*106 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,9625,36000,10375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "37000,9400,40500,10300" +st "dataOut" +blo "37000,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,32500,17500" +st "dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +) +*107 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,31625,52750,32375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "47501,31400,51001,32300" +st "testOut" +ju 2 +blo "51001,32100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,26500,18400" +st "testOut : OUT std_ulogic_vector (1 TO 16) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +suid 12,0 +) +) +) +*108 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,29625,52750,30375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46501,29400,51001,30300" +st "selSinCos" +ju 2 +blo "51001,30100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,18500,19300" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 13,0 +) +) +) +*109 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,9625,52750,10375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,9,0" +) +xt "49001,9400,51001,10300" +st "outZ" +ju 2 +blo "51001,10100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19300,18500,20200" +st "outZ : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +suid 14,0 +) +) +) +*110 (CptPort +uid 136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,15625,52750,16375" +) +tg (CPTG +uid 138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 139,0 +va (VaSet +font "courier,9,0" +) +xt "48500,15400,51000,16300" +st "CLK_X" +ju 2 +blo "51000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 140,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22000,18500,22900" +st "CLK_X : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +suid 15,0 +) +) +) +*111 (CptPort +uid 141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,13625,52750,14375" +) +tg (CPTG +uid 143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 144,0 +va (VaSet +font "courier,9,0" +) +xt "48000,13400,51000,14300" +st "CS_X_n" +ju 2 +blo "51000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 145,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20200,18500,21100" +st "CS_X_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +suid 16,0 +) +) +) +*112 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +font "courier,9,0" +) +xt "48500,17400,51000,18300" +st "SDI_X" +ju 2 +blo "51000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 150,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21100,18500,22000" +st "SDI_X : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +suid 17,0 +) +) +) +*113 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,21625,52750,22375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,9,0" +) +xt "48000,21400,51000,22300" +st "CS_Y_n" +ju 2 +blo "51000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "courier,8,0" +) +xt "2000,23800,18500,24700" +st "CS_Y_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +suid 18,0 +) +) +) +*114 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,25625,52750,26375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +font "courier,9,0" +) +xt "48500,25400,51000,26300" +st "SDI_Y" +ju 2 +blo "51000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 160,0 +va (VaSet +font "courier,8,0" +) +xt "2000,24700,17500,25600" +st "SDI_Y : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +suid 19,0 +) +) +) +*115 (CptPort +uid 161,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 162,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 163,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 164,0 +va (VaSet +font "courier,9,0" +) +xt "48500,23400,51000,24300" +st "CLK_Y" +ju 2 +blo "51000,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 165,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22900,18500,23800" +st "CLK_Y : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,2000,52000,36000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "36600,35800,43100,36700" +st "SystemOnChip" +blo "36600,36500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "36600,36700,47100,37600" +st "beamerPeriphBlanking" +blo "36600,37400" +) +) +gi *116 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,39600,49500,43200" +st "Generic Declarations + +dataBitNb positive 16 +addressBitNb positive 24 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*117 (Grouping +uid 16,0 +optionalChildren [ +*118 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*119 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*120 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*121 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*122 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*123 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*124 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*125 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*126 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*127 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*130 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-940,75120,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *131 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *132 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6700,3000,7600" +st "Ports:" +blo "0,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,25600,2500,26500" +st "User:" +blo "0,26300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,26500,2000,26500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 290,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/struct.bd new file mode 100644 index 0000000..9b568e7 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/struct.bd @@ -0,0 +1,11240 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_phase" +duLibraryName "Beamer" +duName "sawtoothGen" +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +mwi 0 +uid 11853,0 +) +(Instance +name "I_sin" +duLibraryName "Beamer" +duName "sinCosTable" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +mwi 0 +uid 11874,0 +) +(Instance +name "I_sry" +duLibraryName "Beamer" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11915,0 +) +(Instance +name "I_srx" +duLibraryName "Beamer" +duName "interpolatorShiftRegister" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 11924,0 +) +(Instance +name "I_coeffy" +duLibraryName "Beamer" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 12001,0 +) +(Instance +name "I_coeffx" +duLibraryName "Beamer" +duName "interpolatorCoefficients" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +mwi 0 +uid 12010,0 +) +(Instance +name "I_trig" +duLibraryName "Beamer" +duName "interpolatorTrigger" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 12071,0 +) +(Instance +name "I_polyy" +duLibraryName "Beamer" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 12116,0 +) +(Instance +name "I_polyx" +duLibraryName "Beamer" +duName "interpolatorCalculatePolynom" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +mwi 0 +uid 12125,0 +) +(Instance +name "I_offsy" +duLibraryName "Beamer" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 12178,0 +) +(Instance +name "I_offsx" +duLibraryName "Beamer" +duName "offsetToUnsigned" +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 12187,0 +) +(Instance +name "I_dacy" +duLibraryName "Beamer" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 12220,0 +) +(Instance +name "I_dacx" +duLibraryName "Beamer" +duName "DAC" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +mwi 0 +uid 12229,0 +) +(Instance +name "I_speed" +duLibraryName "Beamer" +duName "periphSpeedController" +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +mwi 0 +uid 16811,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb4" +number "4" +) +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@operator\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@operator\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@operator" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphOperator" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphOperator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:02:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerPeriphOperator" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@operator\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphOperator\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:02:04" +) +(vvPair +variable "unit" +value "beamerPeriphOperator" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,197000,274000,198000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,197500,257200,197500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,193000,278000,194000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,193500,274200,193500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,195000,274000,196000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,195500,257200,195500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,195000,257000,196000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,195500,253200,195500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "274000,194000,294000,198000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "274200,194200,288300,195400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "278000,193000,294000,194000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "278200,193500,278200,193500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,193000,274000,195000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "258350,193400,268650,194600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,196000,257000,197000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,196500,253200,196500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "253000,197000,257000,198000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "253200,197500,253200,197500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "257000,196000,274000,197000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "257200,196500,257200,196500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "253000,193000,294000,198000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,159800,14700,160800" +st "clock : std_ulogic" +) +) +*13 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "289500,153625,291000,154375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "289000,154000,289500,154000" +pts [ +"289000,154000" +"289500,154000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,153300,295700,154700" +st "outX" +blo "292000,154500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,158200,14800,159200" +st "outX : std_ulogic" +) +) +*15 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "289500,116625,291000,117375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "289000,117000,289500,117000" +pts [ +"289000,117000" +"289500,117000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "292000,116300,295600,117700" +st "outY" +blo "292000,117500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,161400,14800,162400" +st "outY : std_ulogic" +) +) +*17 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,160600,14700,161600" +st "reset : std_ulogic" +) +) +*18 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,166200,16400,167200" +st "newPolynom : std_ulogic" +) +) +*19 (Net +uid 542,0 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 25 +suid 11,0 +) +declText (MLText +uid 543,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,184200,28700,185200" +st "SIGNAL sampleX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 544,0 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 39 +suid 12,0 +) +declText (MLText +uid 545,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,195400,29800,196400" +st "SIGNAL unsignedX : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 546,0 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 35 +suid 13,0 +) +declText (MLText +uid 547,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,192200,28800,193200" +st "SIGNAL samplesX : signed(signalBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 548,0 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 26 +suid 14,0 +) +declText (MLText +uid 549,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,185000,28900,186000" +st "SIGNAL sampleX1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 550,0 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 27 +suid 15,0 +) +declText (MLText +uid 551,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,185800,28900,186800" +st "SIGNAL sampleX2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 552,0 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 28 +suid 16,0 +) +declText (MLText +uid 553,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,186600,28900,187600" +st "SIGNAL sampleX3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 554,0 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 29 +suid 17,0 +) +declText (MLText +uid 555,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,187400,28900,188400" +st "SIGNAL sampleX4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 556,0 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 12 +suid 18,0 +) +declText (MLText +uid 557,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,173800,27500,174800" +st "SIGNAL aX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 558,0 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 14 +suid 19,0 +) +declText (MLText +uid 559,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,175400,27500,176400" +st "SIGNAL bX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 560,0 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 16 +suid 20,0 +) +declText (MLText +uid 561,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,177000,27400,178000" +st "SIGNAL cX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 562,0 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 19 +suid 21,0 +) +declText (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,179400,27500,180400" +st "SIGNAL dX : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*30 (Net +uid 865,0 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 36 +suid 22,0 +) +declText (MLText +uid 866,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,193000,28800,194000" +st "SIGNAL samplesY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*31 (Net +uid 867,0 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 31 +suid 23,0 +) +declText (MLText +uid 868,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,189000,28900,190000" +st "SIGNAL sampleY1 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*32 (Net +uid 869,0 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 32 +suid 24,0 +) +declText (MLText +uid 870,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,189800,28900,190800" +st "SIGNAL sampleY2 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 871,0 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 33 +suid 25,0 +) +declText (MLText +uid 872,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,190600,28900,191600" +st "SIGNAL sampleY3 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 873,0 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 26,0 +) +declText (MLText +uid 874,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,191400,28900,192400" +st "SIGNAL sampleY4 : signed(signalBitNb-1 DOWNTO 0)" +) +) +*35 (Net +uid 875,0 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +declText (MLText +uid 876,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,174600,27500,175600" +st "SIGNAL aY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*36 (Net +uid 877,0 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 15 +suid 28,0 +) +declText (MLText +uid 878,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,176200,27500,177200" +st "SIGNAL bY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*37 (Net +uid 879,0 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 17 +suid 29,0 +) +declText (MLText +uid 880,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,177800,27400,178800" +st "SIGNAL cY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*38 (Net +uid 881,0 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 20 +suid 30,0 +) +declText (MLText +uid 882,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,180200,27500,181200" +st "SIGNAL dY : signed(coeffBitNb-1 DOWNTO 0)" +) +) +*39 (Net +uid 883,0 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 31,0 +) +declText (MLText +uid 884,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,188200,28700,189200" +st "SIGNAL sampleY : signed(signalBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 885,0 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 40 +suid 32,0 +) +declText (MLText +uid 886,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,196200,29800,197200" +st "SIGNAL unsignedY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,159000,14600,160000" +st "run : std_ulogic" +) +) +*42 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,163800,29900,164800" +st "updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*43 (Net +uid 1993,0 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 23 +suid 43,0 +) +declText (MLText +uid 1994,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,182600,19400,183600" +st "SIGNAL interpolationEnable : std_ulogic" +) +) +*44 (HdlText +uid 3135,0 +optionalChildren [ +*45 (EmbeddedText +uid 3140,0 +commentText (CommentText +uid 3141,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,152000,146000,156000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3143,0 +va (VaSet +) +xt "132200,152200,144900,155800" +st " +samplesX <= cosine when selSinCos = '1' + else signed(memX); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3136,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,150000,147000,158000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 3138,0 +va (VaSet +) +xt "131400,158000,134000,159200" +st "eb2" +blo "131400,159000" +tm "HdlTextNameMgr" +) +*47 (Text +uid 3139,0 +va (VaSet +) +xt "131400,159000,132800,160200" +st "2" +blo "131400,160000" +tm "HdlTextNumberMgr" +) +] +) +) +*48 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,164600,30000,165600" +st "memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*49 (HdlText +uid 3896,0 +optionalChildren [ +*50 (EmbeddedText +uid 3901,0 +commentText (CommentText +uid 3902,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 3903,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,115000,146000,119000" +) +oxt "0,0,18000,5000" +text (MLText +uid 3904,0 +va (VaSet +) +xt "132200,115200,144900,118800" +st " +samplesY <= sine when selSinCos = '1' + else signed(memY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 3897,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "131000,113000,147000,121000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 3898,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 3899,0 +va (VaSet +) +xt "131400,121000,134000,122200" +st "eb1" +blo "131400,122000" +tm "HdlTextNameMgr" +) +*52 (Text +uid 3900,0 +va (VaSet +) +xt "131400,122000,132800,123200" +st "1" +blo "131400,123000" +tm "HdlTextNumberMgr" +) +] +) +) +*53 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,165400,30000,166400" +st "memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*54 (HdlText +uid 4719,0 +optionalChildren [ +*55 (EmbeddedText +uid 4724,0 +commentText (CommentText +uid 4725,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4726,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "64000,141000,78000,143000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4727,0 +va (VaSet +) +xt "64200,141200,78000,142400" +st " +step <= to_unsigned(1, step'length); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4720,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "63000,140000,79000,144000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 4722,0 +va (VaSet +) +xt "63400,144000,66000,145200" +st "eb4" +blo "63400,145000" +tm "HdlTextNameMgr" +) +*57 (Text +uid 4723,0 +va (VaSet +) +xt "63400,145000,64800,146200" +st "4" +blo "63400,146000" +tm "HdlTextNumberMgr" +) +] +) +) +*58 (Net +uid 4800,0 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 24 +suid 57,0 +) +declText (MLText +uid 4801,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,183400,29400,184400" +st "SIGNAL phase : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*59 (Net +uid 4802,0 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 38 +suid 58,0 +) +declText (MLText +uid 4803,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,194600,29000,195600" +st "SIGNAL step : unsigned(phaseBitNb-1 DOWNTO 0)" +) +) +*60 (Net +uid 4858,0 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 37 +suid 59,0 +) +declText (MLText +uid 4859,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,193800,27800,194800" +st "SIGNAL sine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*61 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 270 +xt "89000,108625,90500,109375" +) +(Line +uid 5083,0 +sl 0 +ro 270 +xt "90500,109000,91000,109000" +pts [ +"90500,109000" +"91000,109000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "81100,108300,88000,109700" +st "selSinCos" +ju 2 +blo "88000,109500" +tm "WireNameMgr" +) +) +) +*62 (HdlText +uid 5244,0 +optionalChildren [ +*63 (EmbeddedText +uid 5249,0 +commentText (CommentText +uid 5250,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 5251,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "99000,104000,115000,114000" +) +oxt "0,0,18000,5000" +text (MLText +uid 5252,0 +va (VaSet +) +xt "99200,104200,114600,113800" +st " +interpolationEnable <= '1' when selSinCos = '1' + else interpolationEn; +interpolateLinear <= '0' when selSinCos = '1' + else interpolateLin; +--interpolateLinear <= interpolateLin; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 5245,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "99000,103000,115000,115000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 5246,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 5247,0 +va (VaSet +) +xt "99400,115000,102000,116200" +st "eb5" +blo "99400,116000" +tm "HdlTextNameMgr" +) +*65 (Text +uid 5248,0 +va (VaSet +) +xt "99400,116000,100800,117200" +st "5" +blo "99400,117000" +tm "HdlTextNumberMgr" +) +] +) +) +*66 (Net +uid 5261,0 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 22 +suid 60,0 +) +declText (MLText +uid 5262,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,181800,18900,182800" +st "SIGNAL interpolationEn : std_ulogic" +) +) +*67 (Net +uid 5936,0 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 61,0 +) +declText (MLText +uid 5937,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,178600,28100,179600" +st "SIGNAL cosine : signed(signalBitNb-1 DOWNTO 0)" +) +) +*68 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,162200,15300,163200" +st "selSinCos : std_ulogic" +) +) +*69 (Net +uid 8148,0 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 21 +suid 69,0 +) +declText (MLText +uid 8149,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,181000,19000,182000" +st "SIGNAL interpolateLinear : std_ulogic" +) +) +*70 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,163000,15400,164000" +st "interpolateLin : std_ulogic" +) +) +*71 (SaComponent +uid 11853,0 +optionalChildren [ +*72 (CptPort +uid 11833,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11834,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,155625,75000,156375" +) +tg (CPTG +uid 11835,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11836,0 +va (VaSet +) +xt "76000,155400,79400,156600" +st "clock" +blo "76000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*73 (CptPort +uid 11837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91000,149625,91750,150375" +) +tg (CPTG +uid 11839,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11840,0 +va (VaSet +) +xt "84800,149400,90000,150600" +st "sawtooth" +ju 2 +blo "90000,150400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sawtooth" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*74 (CptPort +uid 11841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,157625,75000,158375" +) +tg (CPTG +uid 11843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11844,0 +va (VaSet +) +xt "76000,157400,79300,158600" +st "reset" +blo "76000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 11845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,149625,75000,150375" +) +tg (CPTG +uid 11847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11848,0 +va (VaSet +) +xt "76000,149400,78900,150600" +st "step" +blo "76000,150400" +) +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 11849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74250,153625,75000,154375" +) +tg (CPTG +uid 11851,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11852,0 +va (VaSet +) +xt "76000,153400,77900,154600" +st "en" +blo "76000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 11854,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "75000,146000,91000,160000" +) +oxt "32000,8000,48000,22000" +ttg (MlTextGroup +uid 11855,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 11856,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,159800,80000,161000" +st "Beamer" +blo "75600,160800" +tm "BdLibraryNameMgr" +) +*78 (Text +uid 11857,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,161000,83500,162200" +st "sawtoothGen" +blo "75600,162000" +tm "CptNameMgr" +) +*79 (Text +uid 11858,0 +va (VaSet +font "Verdana,9,1" +) +xt "75600,162200,80200,163400" +st "I_phase" +blo "75600,163200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11859,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11860,0 +text (MLText +uid 11861,0 +va (VaSet +font "Verdana,8,0" +) +xt "75000,163600,90700,164600" +st "bitNb = phaseBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "phaseBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*80 (SaComponent +uid 11874,0 +optionalChildren [ +*81 (CptPort +uid 11862,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11863,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,149625,115750,150375" +) +tg (CPTG +uid 11864,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11865,0 +va (VaSet +) +xt "111200,149400,114000,150600" +st "sine" +ju 2 +blo "114000,150400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*82 (CptPort +uid 11866,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11867,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98250,149625,99000,150375" +) +tg (CPTG +uid 11868,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11869,0 +va (VaSet +) +xt "100000,149400,103700,150600" +st "phase" +blo "100000,150400" +) +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*83 (CptPort +uid 11870,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11871,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,151625,115750,152375" +) +tg (CPTG +uid 11872,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11873,0 +va (VaSet +) +xt "110000,151400,114000,152600" +st "cosine" +ju 2 +blo "114000,152400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 11875,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "99000,146000,115000,156000" +) +oxt "32000,8000,48000,18000" +ttg (MlTextGroup +uid 11876,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 11877,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,155800,104000,157000" +st "Beamer" +blo "99600,156800" +tm "BdLibraryNameMgr" +) +*85 (Text +uid 11878,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,157000,106600,158200" +st "sinCosTable" +blo "99600,158000" +tm "CptNameMgr" +) +*86 (Text +uid 11879,0 +va (VaSet +font "Verdana,9,1" +) +xt "99600,158200,102700,159400" +st "I_sin" +blo "99600,159200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11880,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11881,0 +text (MLText +uid 11882,0 +va (VaSet +font "Verdana,8,0" +) +xt "99000,159600,123000,162600" +st "inputBitNb = phaseBitNb ( positive ) +outputBitNb = signalBitNb ( positive ) +tableAddressBitNb = tableAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "phaseBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "tableAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*87 (SaComponent +uid 11915,0 +optionalChildren [ +*88 (CptPort +uid 11883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11884,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,122625,161000,123375" +) +tg (CPTG +uid 11885,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11886,0 +va (VaSet +) +xt "162000,122400,165400,123600" +st "clock" +blo "162000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*89 (CptPort +uid 11887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11888,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,124625,161000,125375" +) +tg (CPTG +uid 11889,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11890,0 +va (VaSet +) +xt "162000,124400,165300,125600" +st "reset" +blo "162000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*90 (CptPort +uid 11891,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11892,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,118625,161000,119375" +) +tg (CPTG +uid 11893,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11894,0 +va (VaSet +) +xt "162000,118400,169900,119600" +st "shiftSamples" +blo "162000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*91 (CptPort +uid 11895,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,116625,161000,117375" +) +tg (CPTG +uid 11897,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11898,0 +va (VaSet +) +xt "162000,116400,167400,117600" +st "sampleIn" +blo "162000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*92 (CptPort +uid 11899,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11900,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,116625,177750,117375" +) +tg (CPTG +uid 11901,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11902,0 +va (VaSet +) +xt "171000,116400,176000,117600" +st "sample1" +ju 2 +blo "176000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*93 (CptPort +uid 11903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,118625,177750,119375" +) +tg (CPTG +uid 11905,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11906,0 +va (VaSet +) +xt "171000,118400,176000,119600" +st "sample2" +ju 2 +blo "176000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*94 (CptPort +uid 11907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,120625,177750,121375" +) +tg (CPTG +uid 11909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11910,0 +va (VaSet +) +xt "171000,120400,176000,121600" +st "sample3" +ju 2 +blo "176000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*95 (CptPort +uid 11911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11912,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,122625,177750,123375" +) +tg (CPTG +uid 11913,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11914,0 +va (VaSet +) +xt "171000,122400,176000,123600" +st "sample4" +ju 2 +blo "176000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 11916,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,113000,177000,127000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 11917,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 11918,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,126800,166000,128000" +st "Beamer" +blo "161600,127800" +tm "BdLibraryNameMgr" +) +*97 (Text +uid 11919,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,128000,176100,129200" +st "interpolatorShiftRegister" +blo "161600,129000" +tm "CptNameMgr" +) +*98 (Text +uid 11920,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,129200,164800,130400" +st "I_sry" +blo "161600,130200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11921,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11922,0 +text (MLText +uid 11923,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,130600,179400,131600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*99 (SaComponent +uid 11924,0 +optionalChildren [ +*100 (CptPort +uid 11933,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11934,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,159625,161000,160375" +) +tg (CPTG +uid 11935,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11936,0 +va (VaSet +) +xt "162000,159400,165400,160600" +st "clock" +blo "162000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*101 (CptPort +uid 11937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11938,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,161625,161000,162375" +) +tg (CPTG +uid 11939,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11940,0 +va (VaSet +) +xt "162000,161400,165300,162600" +st "reset" +blo "162000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*102 (CptPort +uid 11941,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11942,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,155625,161000,156375" +) +tg (CPTG +uid 11943,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11944,0 +va (VaSet +) +xt "162000,155400,169900,156600" +st "shiftSamples" +blo "162000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "shiftSamples" +t "std_ulogic" +o 3 +) +) +) +*103 (CptPort +uid 11945,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11946,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,153625,161000,154375" +) +tg (CPTG +uid 11947,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11948,0 +va (VaSet +) +xt "162000,153400,167400,154600" +st "sampleIn" +blo "162000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sampleIn" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*104 (CptPort +uid 11949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11950,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,153625,177750,154375" +) +tg (CPTG +uid 11951,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11952,0 +va (VaSet +) +xt "171000,153400,176000,154600" +st "sample1" +ju 2 +blo "176000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*105 (CptPort +uid 11953,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11954,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,155625,177750,156375" +) +tg (CPTG +uid 11955,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11956,0 +va (VaSet +) +xt "171000,155400,176000,156600" +st "sample2" +ju 2 +blo "176000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*106 (CptPort +uid 11957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11958,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,157625,177750,158375" +) +tg (CPTG +uid 11959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11960,0 +va (VaSet +) +xt "171000,157400,176000,158600" +st "sample3" +ju 2 +blo "176000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*107 (CptPort +uid 11961,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11962,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,159625,177750,160375" +) +tg (CPTG +uid 11963,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11964,0 +va (VaSet +) +xt "171000,159400,176000,160600" +st "sample4" +ju 2 +blo "176000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sample4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +) +) +) +] +shape (Rectangle +uid 11925,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,150000,177000,164000" +) +oxt "35000,9000,51000,23000" +ttg (MlTextGroup +uid 11926,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +uid 11927,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,163800,166000,165000" +st "Beamer" +blo "161600,164800" +tm "BdLibraryNameMgr" +) +*109 (Text +uid 11928,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,165000,176100,166200" +st "interpolatorShiftRegister" +blo "161600,166000" +tm "CptNameMgr" +) +*110 (Text +uid 11929,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,166200,164800,167400" +st "I_srx" +blo "161600,167200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11930,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11931,0 +text (MLText +uid 11932,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,167600,179400,168600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*111 (SaComponent +uid 12001,0 +optionalChildren [ +*112 (CptPort +uid 11965,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11966,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,116625,185000,117375" +) +tg (CPTG +uid 11967,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11968,0 +va (VaSet +) +xt "186000,116400,191000,117600" +st "sample1" +blo "186000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*113 (CptPort +uid 11969,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11970,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,118625,185000,119375" +) +tg (CPTG +uid 11971,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11972,0 +va (VaSet +) +xt "186000,118400,191000,119600" +st "sample2" +blo "186000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*114 (CptPort +uid 11973,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11974,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,120625,185000,121375" +) +tg (CPTG +uid 11975,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11976,0 +va (VaSet +) +xt "186000,120400,191000,121600" +st "sample3" +blo "186000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*115 (CptPort +uid 11977,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11978,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,122625,185000,123375" +) +tg (CPTG +uid 11979,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11980,0 +va (VaSet +) +xt "186000,122400,191000,123600" +st "sample4" +blo "186000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*116 (CptPort +uid 11981,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11982,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,116625,201750,117375" +) +tg (CPTG +uid 11983,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11984,0 +va (VaSet +) +xt "198700,116400,200000,117600" +st "a" +ju 2 +blo "200000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*117 (CptPort +uid 11985,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11986,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,118625,201750,119375" +) +tg (CPTG +uid 11987,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11988,0 +va (VaSet +) +xt "198700,118400,200000,119600" +st "b" +ju 2 +blo "200000,119400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*118 (CptPort +uid 11989,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11990,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,122625,201750,123375" +) +tg (CPTG +uid 11991,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11992,0 +va (VaSet +) +xt "198700,122400,200000,123600" +st "d" +ju 2 +blo "200000,123400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*119 (CptPort +uid 11993,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11994,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,120625,201750,121375" +) +tg (CPTG +uid 11995,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11996,0 +va (VaSet +) +xt "198700,120400,200000,121600" +st "c" +ju 2 +blo "200000,121400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 8,0 +) +) +) +*120 (CptPort +uid 11997,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11998,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,124625,185000,125375" +) +tg (CPTG +uid 11999,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12000,0 +va (VaSet +) +xt "186000,124400,195900,125600" +st "interpolateLinear" +blo "186000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 12002,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,113000,201000,129000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 12003,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 12004,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,128800,190000,130000" +st "Beamer" +blo "185600,129800" +tm "BdLibraryNameMgr" +) +*122 (Text +uid 12005,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,130000,199500,131200" +st "interpolatorCoefficients" +blo "185600,131000" +tm "CptNameMgr" +) +*123 (Text +uid 12006,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,131200,190600,132400" +st "I_coeffy" +blo "185600,132200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12007,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12008,0 +text (MLText +uid 12009,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,132800,203100,134800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*124 (SaComponent +uid 12010,0 +optionalChildren [ +*125 (CptPort +uid 12019,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12020,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,153625,185000,154375" +) +tg (CPTG +uid 12021,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12022,0 +va (VaSet +) +xt "186000,153400,191000,154600" +st "sample1" +blo "186000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "sample1" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*126 (CptPort +uid 12023,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12024,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,155625,185000,156375" +) +tg (CPTG +uid 12025,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12026,0 +va (VaSet +) +xt "186000,155400,191000,156600" +st "sample2" +blo "186000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "sample2" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +*127 (CptPort +uid 12027,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12028,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,157625,185000,158375" +) +tg (CPTG +uid 12029,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12030,0 +va (VaSet +) +xt "186000,157400,191000,158600" +st "sample3" +blo "186000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "sample3" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +) +*128 (CptPort +uid 12031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12032,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,159625,185000,160375" +) +tg (CPTG +uid 12033,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12034,0 +va (VaSet +) +xt "186000,159400,191000,160600" +st "sample4" +blo "186000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "sample4" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 4 +) +) +) +*129 (CptPort +uid 12035,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12036,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,153625,201750,154375" +) +tg (CPTG +uid 12037,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12038,0 +va (VaSet +) +xt "198700,153400,200000,154600" +st "a" +ju 2 +blo "200000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*130 (CptPort +uid 12039,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12040,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,155625,201750,156375" +) +tg (CPTG +uid 12041,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12042,0 +va (VaSet +) +xt "198700,155400,200000,156600" +st "b" +ju 2 +blo "200000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*131 (CptPort +uid 12043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12044,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,159625,201750,160375" +) +tg (CPTG +uid 12045,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12046,0 +va (VaSet +) +xt "198700,159400,200000,160600" +st "d" +ju 2 +blo "200000,160400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*132 (CptPort +uid 12047,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12048,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "201000,157625,201750,158375" +) +tg (CPTG +uid 12049,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12050,0 +va (VaSet +) +xt "198700,157400,200000,158600" +st "c" +ju 2 +blo "200000,158400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*133 (CptPort +uid 12051,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12052,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "184250,161625,185000,162375" +) +tg (CPTG +uid 12053,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12054,0 +va (VaSet +) +xt "186000,161400,195900,162600" +st "interpolateLinear" +blo "186000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 12011,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "185000,150000,201000,166000" +) +oxt "33000,11000,49000,27000" +ttg (MlTextGroup +uid 12012,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +uid 12013,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,165800,190000,167000" +st "Beamer" +blo "185600,166800" +tm "BdLibraryNameMgr" +) +*135 (Text +uid 12014,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,167000,199500,168200" +st "interpolatorCoefficients" +blo "185600,168000" +tm "CptNameMgr" +) +*136 (Text +uid 12015,0 +va (VaSet +font "Verdana,9,1" +) +xt "185600,168200,190600,169400" +st "I_coeffx" +blo "185600,169200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12016,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12017,0 +text (MLText +uid 12018,0 +va (VaSet +font "Verdana,8,0" +) +xt "185000,169800,203100,171800" +st "bitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*137 (SaComponent +uid 12071,0 +optionalChildren [ +*138 (CptPort +uid 12055,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12056,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177000,175625,177750,176375" +) +tg (CPTG +uid 12057,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12058,0 +va (VaSet +) +xt "169400,175400,176000,176600" +st "triggerOut" +ju 2 +blo "176000,176400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "triggerOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*139 (CptPort +uid 12059,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12060,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,179625,161000,180375" +) +tg (CPTG +uid 12061,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12062,0 +va (VaSet +) +xt "162000,179400,165400,180600" +st "clock" +blo "162000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*140 (CptPort +uid 12063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12064,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,181625,161000,182375" +) +tg (CPTG +uid 12065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12066,0 +va (VaSet +) +xt "162000,181400,165300,182600" +st "reset" +blo "162000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*141 (CptPort +uid 12067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12068,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160250,175625,161000,176375" +) +tg (CPTG +uid 12069,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12070,0 +va (VaSet +) +xt "162000,175400,163900,176600" +st "en" +blo "162000,176400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "161000,172000,177000,184000" +) +oxt "32000,6000,48000,18000" +ttg (MlTextGroup +uid 12073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +uid 12074,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,183800,166000,185000" +st "Beamer" +blo "161600,184800" +tm "BdLibraryNameMgr" +) +*143 (Text +uid 12075,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,185000,172300,186200" +st "interpolatorTrigger" +blo "161600,186000" +tm "CptNameMgr" +) +*144 (Text +uid 12076,0 +va (VaSet +font "Verdana,9,1" +) +xt "161600,186200,165100,187400" +st "I_trig" +blo "161600,187200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12078,0 +text (MLText +uid 12079,0 +va (VaSet +font "Verdana,8,0" +) +xt "161000,187600,183100,188600" +st "counterBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*145 (SaComponent +uid 12116,0 +optionalChildren [ +*146 (CptPort +uid 12080,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12081,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,130625,217000,131375" +) +tg (CPTG +uid 12082,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12083,0 +va (VaSet +) +xt "218000,130400,221400,131600" +st "clock" +blo "218000,131400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*147 (CptPort +uid 12084,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12085,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,132625,217000,133375" +) +tg (CPTG +uid 12086,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12087,0 +va (VaSet +) +xt "218000,132400,221300,133600" +st "reset" +blo "218000,133400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*148 (CptPort +uid 12088,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12089,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,124625,217000,125375" +) +tg (CPTG +uid 12090,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12091,0 +va (VaSet +) +xt "218000,124400,227100,125600" +st "restartPolynom" +blo "218000,125400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*149 (CptPort +uid 12092,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12093,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,122625,217000,123375" +) +tg (CPTG +uid 12094,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12095,0 +va (VaSet +) +xt "218000,122400,219300,123600" +st "d" +blo "218000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*150 (CptPort +uid 12096,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12097,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,116625,233750,117375" +) +tg (CPTG +uid 12098,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12099,0 +va (VaSet +) +xt "225800,116400,232000,117600" +st "sampleOut" +ju 2 +blo "232000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*151 (CptPort +uid 12100,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12101,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,120625,217000,121375" +) +tg (CPTG +uid 12102,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12103,0 +va (VaSet +) +xt "218000,120400,219300,121600" +st "c" +blo "218000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*152 (CptPort +uid 12104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,118625,217000,119375" +) +tg (CPTG +uid 12106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12107,0 +va (VaSet +) +xt "218000,118400,219300,119600" +st "b" +blo "218000,119400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +*153 (CptPort +uid 12108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,116625,217000,117375" +) +tg (CPTG +uid 12110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12111,0 +va (VaSet +) +xt "218000,116400,219300,117600" +st "a" +blo "218000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*154 (CptPort +uid 12112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,128625,217000,129375" +) +tg (CPTG +uid 12114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12115,0 +va (VaSet +) +xt "218000,128400,219900,129600" +st "en" +blo "218000,129400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 12117,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,113000,233000,136000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 12118,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +uid 12119,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,135800,222000,137000" +st "Beamer" +blo "217600,136800" +tm "BdLibraryNameMgr" +) +*156 (Text +uid 12120,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,137000,234300,138200" +st "interpolatorCalculatePolynom" +blo "217600,138000" +tm "CptNameMgr" +) +*157 (Text +uid 12121,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,138200,222000,139400" +st "I_polyy" +blo "217600,139200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12122,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12123,0 +text (MLText +uid 12124,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,140000,241500,143000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*158 (SaComponent +uid 12125,0 +optionalChildren [ +*159 (CptPort +uid 12134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12135,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,167625,217000,168375" +) +tg (CPTG +uid 12136,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12137,0 +va (VaSet +) +xt "218000,167400,221400,168600" +st "clock" +blo "218000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*160 (CptPort +uid 12138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,169625,217000,170375" +) +tg (CPTG +uid 12140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12141,0 +va (VaSet +) +xt "218000,169400,221300,170600" +st "reset" +blo "218000,170400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +) +) +) +*161 (CptPort +uid 12142,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12143,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,161625,217000,162375" +) +tg (CPTG +uid 12144,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12145,0 +va (VaSet +) +xt "218000,161400,227100,162600" +st "restartPolynom" +blo "218000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "restartPolynom" +t "std_ulogic" +o 3 +) +) +) +*162 (CptPort +uid 12146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,159625,217000,160375" +) +tg (CPTG +uid 12148,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12149,0 +va (VaSet +) +xt "218000,159400,219300,160600" +st "d" +blo "218000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "d" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*163 (CptPort +uid 12150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "233000,153625,233750,154375" +) +tg (CPTG +uid 12152,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12153,0 +va (VaSet +) +xt "225800,153400,232000,154600" +st "sampleOut" +ju 2 +blo "232000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleOut" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +) +) +) +*164 (CptPort +uid 12154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12155,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,157625,217000,158375" +) +tg (CPTG +uid 12156,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12157,0 +va (VaSet +) +xt "218000,157400,219300,158600" +st "c" +blo "218000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "c" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*165 (CptPort +uid 12158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,155625,217000,156375" +) +tg (CPTG +uid 12160,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12161,0 +va (VaSet +) +xt "218000,155400,219300,156600" +st "b" +blo "218000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 7 +) +) +) +*166 (CptPort +uid 12162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,153625,217000,154375" +) +tg (CPTG +uid 12164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12165,0 +va (VaSet +) +xt "218000,153400,219300,154600" +st "a" +blo "218000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 8 +) +) +) +*167 (CptPort +uid 12166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "216250,165625,217000,166375" +) +tg (CPTG +uid 12168,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12169,0 +va (VaSet +) +xt "218000,165400,219900,166600" +st "en" +blo "218000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 12126,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "217000,150000,233000,173000" +) +oxt "37000,7000,53000,30000" +ttg (MlTextGroup +uid 12127,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +uid 12128,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,172800,222000,174000" +st "Beamer" +blo "217600,173800" +tm "BdLibraryNameMgr" +) +*169 (Text +uid 12129,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,174000,234300,175200" +st "interpolatorCalculatePolynom" +blo "217600,175000" +tm "CptNameMgr" +) +*170 (Text +uid 12130,0 +va (VaSet +font "Verdana,9,1" +) +xt "217600,175200,222000,176400" +st "I_polyx" +blo "217600,176200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12131,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12132,0 +text (MLText +uid 12133,0 +va (VaSet +font "Verdana,8,0" +) +xt "217000,177000,241500,180000" +st "signalBitNb = signalBitNb ( positive ) +coeffBitNb = coeffBitNb ( positive ) +oversamplingBitNb = sampleCountBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "coeffBitNb" +type "positive" +value "coeffBitNb" +) +(GiElement +name "oversamplingBitNb" +type "positive" +value "sampleCountBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*171 (SaComponent +uid 12178,0 +optionalChildren [ +*172 (CptPort +uid 12170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,116625,257750,117375" +) +tg (CPTG +uid 12172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12173,0 +va (VaSet +) +xt "248200,116400,256000,117600" +st "unsignedOut" +ju 2 +blo "256000,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*173 (CptPort +uid 12174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,116625,241000,117375" +) +tg (CPTG +uid 12176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12177,0 +va (VaSet +) +xt "242000,116400,247100,117600" +st "signedIn" +blo "242000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 12179,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,113000,257000,121000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 12180,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*174 (Text +uid 12181,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,120800,246000,122000" +st "Beamer" +blo "241600,121800" +tm "BdLibraryNameMgr" +) +*175 (Text +uid 12182,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,122000,251700,123200" +st "offsetToUnsigned" +blo "241600,123000" +tm "CptNameMgr" +) +*176 (Text +uid 12183,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,123200,246000,124400" +st "I_offsy" +blo "241600,124200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12184,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12185,0 +text (MLText +uid 12186,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,124800,256600,125800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*177 (SaComponent +uid 12187,0 +optionalChildren [ +*178 (CptPort +uid 12196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "257000,153625,257750,154375" +) +tg (CPTG +uid 12198,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12199,0 +va (VaSet +) +xt "248200,153400,256000,154600" +st "unsignedOut" +ju 2 +blo "256000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "unsignedOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 1 +) +) +) +*179 (CptPort +uid 12200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "240250,153625,241000,154375" +) +tg (CPTG +uid 12202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12203,0 +va (VaSet +) +xt "242000,153400,247100,154600" +st "signedIn" +blo "242000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "signedIn" +t "signed" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Rectangle +uid 12188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "241000,150000,257000,158000" +) +oxt "32000,10000,48000,18000" +ttg (MlTextGroup +uid 12189,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*180 (Text +uid 12190,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,157800,246000,159000" +st "Beamer" +blo "241600,158800" +tm "BdLibraryNameMgr" +) +*181 (Text +uid 12191,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,159000,251700,160200" +st "offsetToUnsigned" +blo "241600,160000" +tm "CptNameMgr" +) +*182 (Text +uid 12192,0 +va (VaSet +font "Verdana,9,1" +) +xt "241600,160200,246000,161400" +st "I_offsx" +blo "241600,161200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12193,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12194,0 +text (MLText +uid 12195,0 +va (VaSet +font "Verdana,8,0" +) +xt "241000,161800,256600,162800" +st "bitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*183 (SaComponent +uid 12220,0 +optionalChildren [ +*184 (CptPort +uid 12204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,120625,265000,121375" +) +tg (CPTG +uid 12206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12207,0 +va (VaSet +) +xt "266000,120400,269400,121600" +st "clock" +blo "266000,121400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*185 (CptPort +uid 12208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12209,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,116625,265000,117375" +) +tg (CPTG +uid 12210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12211,0 +va (VaSet +) +xt "266000,116400,272200,117600" +st "parallelIn" +blo "266000,117400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*186 (CptPort +uid 12212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,116625,281750,117375" +) +tg (CPTG +uid 12214,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12215,0 +va (VaSet +) +xt "274601,116400,280001,117600" +st "serialOut" +ju 2 +blo "280001,117400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*187 (CptPort +uid 12216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12217,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,122625,265000,123375" +) +tg (CPTG +uid 12218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12219,0 +va (VaSet +) +xt "266000,122400,269300,123600" +st "reset" +blo "266000,123400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12221,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,113000,281000,125000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 12222,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*188 (Text +uid 12223,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,124800,270000,126000" +st "Beamer" +blo "265600,125800" +tm "BdLibraryNameMgr" +) +*189 (Text +uid 12224,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,126000,268300,127200" +st "DAC" +blo "265600,127000" +tm "CptNameMgr" +) +*190 (Text +uid 12225,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,127200,269600,128400" +st "I_dacy" +blo "265600,128200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12226,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12227,0 +text (MLText +uid 12228,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,128600,283400,129600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*191 (SaComponent +uid 12229,0 +optionalChildren [ +*192 (CptPort +uid 12238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,157625,265000,158375" +) +tg (CPTG +uid 12240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12241,0 +va (VaSet +) +xt "266000,157400,269400,158600" +st "clock" +blo "266000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*193 (CptPort +uid 12242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12243,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,153625,265000,154375" +) +tg (CPTG +uid 12244,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12245,0 +va (VaSet +) +xt "266000,153400,272200,154600" +st "parallelIn" +blo "266000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*194 (CptPort +uid 12246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "281000,153625,281750,154375" +) +tg (CPTG +uid 12248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12249,0 +va (VaSet +) +xt "274601,153400,280001,154600" +st "serialOut" +ju 2 +blo "280001,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "serialOut" +t "std_ulogic" +o 1 +) +) +) +*195 (CptPort +uid 12250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12251,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "264250,159625,265000,160375" +) +tg (CPTG +uid 12252,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12253,0 +va (VaSet +) +xt "266000,159400,269300,160600" +st "reset" +blo "266000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 12230,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "265000,150000,281000,162000" +) +oxt "32000,14000,48000,26000" +ttg (MlTextGroup +uid 12231,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*196 (Text +uid 12232,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,161800,270000,163000" +st "Beamer" +blo "265600,162800" +tm "BdLibraryNameMgr" +) +*197 (Text +uid 12233,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,163000,268300,164200" +st "DAC" +blo "265600,164000" +tm "CptNameMgr" +) +*198 (Text +uid 12234,0 +va (VaSet +font "Verdana,9,1" +) +xt "265600,164200,269600,165400" +st "I_dacx" +blo "265600,165200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12235,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12236,0 +text (MLText +uid 12237,0 +va (VaSet +font "Verdana,8,0" +) +xt "265000,165600,283400,166600" +st "signalBitNb = signalBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*199 (PortIoIn +uid 15558,0 +shape (CompositeShape +uid 15559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15560,0 +sl 0 +ro 270 +xt "49000,112625,50500,113375" +) +(Line +uid 15561,0 +sl 0 +ro 270 +xt "50500,113000,51000,113000" +pts [ +"50500,113000" +"51000,113000" +] +) +] +) +tg (WTG +uid 15562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15563,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "44200,112500,48000,113900" +st "clock" +ju 2 +blo "48000,113700" +tm "WireNameMgr" +) +) +) +*200 (PortIoIn +uid 15570,0 +shape (CompositeShape +uid 15571,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15572,0 +sl 0 +ro 270 +xt "89000,110625,90500,111375" +) +(Line +uid 15573,0 +sl 0 +ro 270 +xt "90500,111000,91000,111000" +pts [ +"90500,111000" +"91000,111000" +] +) +] +) +tg (WTG +uid 15574,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15575,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "77700,110500,88000,111900" +st "interpolateLin" +ju 2 +blo "88000,111700" +tm "WireNameMgr" +) +) +) +*201 (PortIoIn +uid 15582,0 +shape (CompositeShape +uid 15583,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15584,0 +sl 0 +ro 270 +xt "121000,153625,122500,154375" +) +(Line +uid 15585,0 +sl 0 +ro 270 +xt "122500,154000,123000,154000" +pts [ +"122500,154000" +"123000,154000" +] +) +] +) +tg (WTG +uid 15586,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15587,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "95800,153500,120000,154900" +st "memX : (signalBitNb-1 DOWNTO 0)" +ju 2 +blo "120000,154700" +tm "WireNameMgr" +) +) +) +*202 (PortIoIn +uid 15594,0 +shape (CompositeShape +uid 15595,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15596,0 +sl 0 +ro 270 +xt "49000,114625,50500,115375" +) +(Line +uid 15597,0 +sl 0 +ro 270 +xt "50500,115000,51000,115000" +pts [ +"50500,115000" +"51000,115000" +] +) +] +) +tg (WTG +uid 15598,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15599,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43900,114500,48000,115900" +st "reset" +ju 2 +blo "48000,115700" +tm "WireNameMgr" +) +) +) +*203 (PortIoIn +uid 15606,0 +shape (CompositeShape +uid 15607,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15608,0 +sl 0 +ro 270 +xt "49000,106625,50500,107375" +) +(Line +uid 15609,0 +sl 0 +ro 270 +xt "50500,107000,51000,107000" +pts [ +"50500,107000" +"51000,107000" +] +) +] +) +tg (WTG +uid 15610,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15611,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45100,106500,48000,107900" +st "run" +ju 2 +blo "48000,107700" +tm "WireNameMgr" +) +) +) +*204 (PortIoIn +uid 15632,0 +shape (CompositeShape +uid 15633,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 15634,0 +sl 0 +ro 270 +xt "49000,108625,50500,109375" +) +(Line +uid 15635,0 +sl 0 +ro 270 +xt "50500,109000,51000,109000" +pts [ +"50500,109000" +"51000,109000" +] +) +] +) +tg (WTG +uid 15636,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15637,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "13500,108500,48000,109900" +st "updatePeriod : (updatePeriodBitNb-1 DOWNTO 0)" +ju 2 +blo "48000,109700" +tm "WireNameMgr" +) +) +) +*205 (PortIoIn +uid 16404,0 +shape (CompositeShape +uid 16405,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16406,0 +sl 0 +ro 270 +xt "121000,116625,122500,117375" +) +(Line +uid 16407,0 +sl 0 +ro 270 +xt "122500,117000,123000,117000" +pts [ +"122500,117000" +"123000,117000" +] +) +] +) +tg (WTG +uid 16408,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16409,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "95900,116500,120000,117900" +st "memY : (signalBitNb-1 DOWNTO 0)" +ju 2 +blo "120000,117700" +tm "WireNameMgr" +) +) +) +*206 (PortIoOut +uid 16674,0 +shape (CompositeShape +uid 16675,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16676,0 +sl 0 +ro 270 +xt "209500,175625,211000,176375" +) +(Line +uid 16677,0 +sl 0 +ro 270 +xt "209000,176000,209500,176000" +pts [ +"209000,176000" +"209500,176000" +] +) +] +) +tg (WTG +uid 16678,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16679,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "212000,175500,221600,176900" +st "newPolynom" +blo "212000,176700" +tm "WireNameMgr" +) +) +) +*207 (SaComponent +uid 16811,0 +optionalChildren [ +*208 (CptPort +uid 16791,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16792,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75000,106625,75750,107375" +) +tg (CPTG +uid 16793,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16794,0 +va (VaSet +) +xt "68100,106400,74000,107600" +st "enableOut" +ju 2 +blo "74000,107400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*209 (CptPort +uid 16795,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16796,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,112625,59000,113375" +) +tg (CPTG +uid 16797,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16798,0 +va (VaSet +) +xt "60000,112400,63400,113600" +st "clock" +blo "60000,113400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*210 (CptPort +uid 16799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,114625,59000,115375" +) +tg (CPTG +uid 16801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16802,0 +va (VaSet +) +xt "60000,114400,63300,115600" +st "reset" +blo "60000,115400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*211 (CptPort +uid 16803,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16804,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,108625,59000,109375" +) +tg (CPTG +uid 16805,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16806,0 +va (VaSet +) +xt "60000,108400,68000,109600" +st "updatePeriod" +blo "60000,109400" +) +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*212 (CptPort +uid 16807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16808,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58250,106625,59000,107375" +) +tg (CPTG +uid 16809,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16810,0 +va (VaSet +) +xt "60000,106400,65100,107600" +st "enableIn" +blo "60000,107400" +) +) +thePort (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 16812,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "59000,103000,75000,117000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 16813,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*213 (Text +uid 16814,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,116800,64000,118000" +st "Beamer" +blo "59600,117800" +tm "BdLibraryNameMgr" +) +*214 (Text +uid 16815,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,118000,72400,119200" +st "periphSpeedController" +blo "59600,119000" +tm "CptNameMgr" +) +*215 (Text +uid 16816,0 +va (VaSet +font "Verdana,9,1" +) +xt "59600,119200,64200,120400" +st "I_speed" +blo "59600,120200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16817,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16818,0 +text (MLText +uid 16819,0 +va (VaSet +font "Verdana,8,0" +) +xt "59000,120600,83400,121600" +st "updatePeriodBitNb = updatePeriodBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*216 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "281750,154000,289000,154000" +pts [ +"281750,154000" +"289000,154000" +] +) +start &194 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,152600,289700,154000" +st "outX" +blo "286000,153800" +tm "WireNameMgr" +) +) +on &14 +) +*217 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "281750,117000,289000,117000" +pts [ +"281750,117000" +"289000,117000" +] +) +start &186 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "286000,115600,289600,117000" +st "outY" +blo "286000,116800" +tm "WireNameMgr" +) +) +on &16 +) +*218 (Wire +uid 354,0 +optionalChildren [ +*219 (BdJunction +uid 9152,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9153,0 +va (VaSet +vasetType 1 +) +xt "152600,155600,153400,156400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 355,0 +va (VaSet +vasetType 3 +) +xt "153000,156000,181000,176000" +pts [ +"181000,176000" +"181000,169000" +"153000,169000" +"153000,156000" +"160250,156000" +] +) +start *220 (BdJunction +uid 564,0 +ps "OnConnectorStrategy" +shape (Circle +uid 565,0 +va (VaSet +vasetType 1 +) +xt "180600,175600,181400,176400" +radius 400 +) +) +end &102 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,154600,161600,156000" +st "newPolynom" +blo "152000,155800" +tm "WireNameMgr" +) +) +on &18 +) +*221 (Wire +uid 360,0 +optionalChildren [ +&220 +*222 (BdJunction +uid 9244,0 +ps "OnConnectorStrategy" +shape (Circle +uid 9245,0 +va (VaSet +vasetType 1 +) +xt "204600,161600,205400,162400" +radius 400 +) +) +*223 (BdJunction +uid 16692,0 +ps "OnConnectorStrategy" +shape (Circle +uid 16693,0 +va (VaSet +vasetType 1 +) +xt "204600,175600,205400,176400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 361,0 +va (VaSet +vasetType 3 +) +xt "177750,162000,216250,176000" +pts [ +"177750,176000" +"205000,176000" +"205000,162000" +"216250,162000" +] +) +start &138 +end &161 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,12,0" +) +xt "207000,160600,216600,162000" +st "newPolynom" +blo "207000,161800" +tm "WireNameMgr" +) +) +on &18 +) +*224 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "157000,182000,160250,182000" +pts [ +"157000,182000" +"160250,182000" +] +) +end &140 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 369,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,180600,160100,182000" +st "reset" +blo "156000,181800" +tm "WireNameMgr" +) +) +on &17 +) +*225 (Wire +uid 370,0 +shape (OrthoPolyLine +uid 371,0 +va (VaSet +vasetType 3 +) +xt "157000,180000,160250,180000" +pts [ +"157000,180000" +"160250,180000" +] +) +end &139 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 375,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,178600,159800,180000" +st "clock" +blo "156000,179800" +tm "WireNameMgr" +) +) +on &12 +) +*226 (Wire +uid 376,0 +shape (OrthoPolyLine +uid 377,0 +va (VaSet +vasetType 3 +) +xt "157000,162000,160250,162000" +pts [ +"157000,162000" +"160250,162000" +] +) +end &101 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,160600,160100,162000" +st "reset" +blo "156000,161800" +tm "WireNameMgr" +) +) +on &17 +) +*227 (Wire +uid 382,0 +shape (OrthoPolyLine +uid 383,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,154000,160250,154000" +pts [ +"147000,154000" +"160250,154000" +] +) +start &44 +end &103 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 384,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 385,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,152600,155800,154000" +st "samplesX" +blo "149000,153800" +tm "WireNameMgr" +) +) +on &21 +) +*228 (Wire +uid 386,0 +shape (OrthoPolyLine +uid 387,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,156000,184250,156000" +pts [ +"177750,156000" +"184250,156000" +] +) +start &105 +end &126 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,154600,184900,156000" +st "sampleX2" +blo "178000,155800" +tm "WireNameMgr" +) +) +on &23 +) +*229 (Wire +uid 390,0 +shape (OrthoPolyLine +uid 391,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,154000,184250,154000" +pts [ +"177750,154000" +"184250,154000" +] +) +start &104 +end &125 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,152600,184900,154000" +st "sampleX1" +blo "178000,153800" +tm "WireNameMgr" +) +) +on &22 +) +*230 (Wire +uid 394,0 +shape (OrthoPolyLine +uid 395,0 +va (VaSet +vasetType 3 +) +xt "157000,160000,160250,160000" +pts [ +"157000,160000" +"160250,160000" +] +) +end &100 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,158600,159800,160000" +st "clock" +blo "156000,159800" +tm "WireNameMgr" +) +) +on &12 +) +*231 (Wire +uid 400,0 +shape (OrthoPolyLine +uid 401,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,154000,216250,154000" +pts [ +"201750,154000" +"216250,154000" +] +) +start &129 +end &166 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,152600,206150,154000" +st "aX" +blo "203750,153800" +tm "WireNameMgr" +) +) +on &26 +) +*232 (Wire +uid 404,0 +shape (OrthoPolyLine +uid 405,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,160000,184250,160000" +pts [ +"177750,160000" +"184250,160000" +] +) +start &107 +end &128 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 406,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 407,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,158600,184900,160000" +st "sampleX4" +blo "178000,159800" +tm "WireNameMgr" +) +) +on &25 +) +*233 (Wire +uid 408,0 +shape (OrthoPolyLine +uid 409,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,158000,184250,158000" +pts [ +"177750,158000" +"184250,158000" +] +) +start &106 +end &127 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 410,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 411,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,156600,184900,158000" +st "sampleX3" +blo "178000,157800" +tm "WireNameMgr" +) +) +on &24 +) +*234 (Wire +uid 412,0 +shape (OrthoPolyLine +uid 413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,160000,216250,160000" +pts [ +"201750,160000" +"216250,160000" +] +) +start &131 +end &162 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 414,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 415,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,158600,206150,160000" +st "dX" +blo "203750,159800" +tm "WireNameMgr" +) +) +on &29 +) +*235 (Wire +uid 416,0 +shape (OrthoPolyLine +uid 417,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,158000,216250,158000" +pts [ +"201750,158000" +"216250,158000" +] +) +start &132 +end &164 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 419,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,156600,205950,158000" +st "cX" +blo "203750,157800" +tm "WireNameMgr" +) +) +on &28 +) +*236 (Wire +uid 420,0 +shape (OrthoPolyLine +uid 421,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,156000,216250,156000" +pts [ +"201750,156000" +"216250,156000" +] +) +start &130 +end &165 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 422,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 423,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,154600,206150,156000" +st "bX" +blo "203750,155800" +tm "WireNameMgr" +) +) +on &27 +) +*237 (Wire +uid 424,0 +shape (OrthoPolyLine +uid 425,0 +va (VaSet +vasetType 3 +) +xt "213000,170000,216250,170000" +pts [ +"213000,170000" +"216250,170000" +] +) +end &160 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 429,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,168600,216100,170000" +st "reset" +blo "212000,169800" +tm "WireNameMgr" +) +) +on &17 +) +*238 (Wire +uid 430,0 +shape (OrthoPolyLine +uid 431,0 +va (VaSet +vasetType 3 +) +xt "213000,168000,216250,168000" +pts [ +"213000,168000" +"216250,168000" +] +) +end &159 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,166600,215800,168000" +st "clock" +blo "212000,167800" +tm "WireNameMgr" +) +) +on &12 +) +*239 (Wire +uid 497,0 +shape (OrthoPolyLine +uid 498,0 +va (VaSet +vasetType 3 +) +xt "261000,160000,264250,160000" +pts [ +"261000,160000" +"264250,160000" +] +) +end &195 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 504,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,158600,264100,160000" +st "reset" +blo "260000,159800" +tm "WireNameMgr" +) +) +on &17 +) +*240 (Wire +uid 505,0 +shape (OrthoPolyLine +uid 506,0 +va (VaSet +vasetType 3 +) +xt "261000,158000,264250,158000" +pts [ +"261000,158000" +"264250,158000" +] +) +end &192 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 512,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,156600,263800,158000" +st "clock" +blo "260000,157800" +tm "WireNameMgr" +) +) +on &12 +) +*241 (Wire +uid 532,0 +shape (OrthoPolyLine +uid 533,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,154000,240250,154000" +pts [ +"233750,154000" +"240250,154000" +] +) +start &163 +end &179 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 534,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 535,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,152600,240100,154000" +st "sampleX" +blo "234000,153800" +tm "WireNameMgr" +) +) +on &19 +) +*242 (Wire +uid 538,0 +shape (OrthoPolyLine +uid 539,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,154000,264250,154000" +pts [ +"257750,154000" +"264250,154000" +] +) +start &178 +end &193 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 540,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 541,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,152600,265400,154000" +st "unsignedX" +blo "258000,153800" +tm "WireNameMgr" +) +) +on &20 +) +*243 (Wire +uid 767,0 +shape (OrthoPolyLine +uid 768,0 +va (VaSet +vasetType 3 +) +xt "205000,125000,216250,162000" +pts [ +"205000,162000" +"205000,125000" +"216250,125000" +] +) +start &222 +end &148 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "205000,123600,214600,125000" +st "newPolynom" +blo "205000,124800" +tm "WireNameMgr" +) +) +on &18 +) +*244 (Wire +uid 775,0 +shape (OrthoPolyLine +uid 776,0 +va (VaSet +vasetType 3 +) +xt "153000,119000,160250,156000" +pts [ +"153000,156000" +"153000,119000" +"160250,119000" +] +) +start &219 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 777,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,117600,161600,119000" +st "newPolynom" +blo "152000,118800" +tm "WireNameMgr" +) +) +on &18 +) +*245 (Wire +uid 779,0 +shape (OrthoPolyLine +uid 780,0 +va (VaSet +vasetType 3 +) +xt "157000,125000,160250,125000" +pts [ +"157000,125000" +"160250,125000" +] +) +end &89 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,123600,160100,125000" +st "reset" +blo "156000,124800" +tm "WireNameMgr" +) +) +on &17 +) +*246 (Wire +uid 785,0 +shape (OrthoPolyLine +uid 786,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,117000,184250,117000" +pts [ +"177750,117000" +"184250,117000" +] +) +start &92 +end &112 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 787,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 788,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,115600,184800,117000" +st "sampleY1" +blo "178000,116800" +tm "WireNameMgr" +) +) +on &31 +) +*247 (Wire +uid 789,0 +shape (OrthoPolyLine +uid 790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,119000,184250,119000" +pts [ +"177750,119000" +"184250,119000" +] +) +start &93 +end &113 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 791,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 792,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,117600,184800,119000" +st "sampleY2" +blo "178000,118800" +tm "WireNameMgr" +) +) +on &32 +) +*248 (Wire +uid 793,0 +shape (OrthoPolyLine +uid 794,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,117000,160250,117000" +pts [ +"147000,117000" +"160250,117000" +] +) +start &49 +end &91 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 797,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 798,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,115600,154700,117000" +st "samplesY" +blo "148000,116800" +tm "WireNameMgr" +) +) +on &30 +) +*249 (Wire +uid 799,0 +shape (OrthoPolyLine +uid 800,0 +va (VaSet +vasetType 3 +) +xt "157000,123000,160250,123000" +pts [ +"157000,123000" +"160250,123000" +] +) +end &88 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 803,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 804,0 +va (VaSet +font "Verdana,12,0" +) +xt "156000,121600,159800,123000" +st "clock" +blo "156000,122800" +tm "WireNameMgr" +) +) +on &12 +) +*250 (Wire +uid 805,0 +shape (OrthoPolyLine +uid 806,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,123000,216250,123000" +pts [ +"201750,123000" +"216250,123000" +] +) +start &118 +end &149 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 808,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,121600,206050,123000" +st "dY" +blo "203750,122800" +tm "WireNameMgr" +) +) +on &38 +) +*251 (Wire +uid 809,0 +shape (OrthoPolyLine +uid 810,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,121000,184250,121000" +pts [ +"177750,121000" +"184250,121000" +] +) +start &94 +end &114 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 811,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 812,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,119600,184800,121000" +st "sampleY3" +blo "178000,120800" +tm "WireNameMgr" +) +) +on &33 +) +*252 (Wire +uid 813,0 +shape (OrthoPolyLine +uid 814,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177750,123000,184250,123000" +pts [ +"177750,123000" +"184250,123000" +] +) +start &95 +end &115 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 816,0 +va (VaSet +font "Verdana,12,0" +) +xt "178000,121600,184800,123000" +st "sampleY4" +blo "178000,122800" +tm "WireNameMgr" +) +) +on &34 +) +*253 (Wire +uid 817,0 +shape (OrthoPolyLine +uid 818,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,117000,216250,117000" +pts [ +"201750,117000" +"216250,117000" +] +) +start &116 +end &153 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 820,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,115600,206050,117000" +st "aY" +blo "203750,116800" +tm "WireNameMgr" +) +) +on &35 +) +*254 (Wire +uid 821,0 +shape (OrthoPolyLine +uid 822,0 +va (VaSet +vasetType 3 +) +xt "213000,133000,216250,133000" +pts [ +"213000,133000" +"216250,133000" +] +) +end &147 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 825,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 826,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,131600,216100,133000" +st "reset" +blo "212000,132800" +tm "WireNameMgr" +) +) +on &17 +) +*255 (Wire +uid 827,0 +shape (OrthoPolyLine +uid 828,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,119000,216250,119000" +pts [ +"201750,119000" +"216250,119000" +] +) +start &117 +end &152 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 829,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 830,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,117600,206050,119000" +st "bY" +blo "203750,118800" +tm "WireNameMgr" +) +) +on &36 +) +*256 (Wire +uid 831,0 +shape (OrthoPolyLine +uid 832,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "201750,121000,216250,121000" +pts [ +"201750,121000" +"216250,121000" +] +) +start &119 +end &151 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 834,0 +va (VaSet +font "Verdana,12,0" +) +xt "203750,119600,205850,121000" +st "cY" +blo "203750,120800" +tm "WireNameMgr" +) +) +on &37 +) +*257 (Wire +uid 835,0 +shape (OrthoPolyLine +uid 836,0 +va (VaSet +vasetType 3 +) +xt "261000,123000,264250,123000" +pts [ +"261000,123000" +"264250,123000" +] +) +end &187 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 840,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,121600,264100,123000" +st "reset" +blo "260000,122800" +tm "WireNameMgr" +) +) +on &17 +) +*258 (Wire +uid 841,0 +shape (OrthoPolyLine +uid 842,0 +va (VaSet +vasetType 3 +) +xt "213000,131000,216250,131000" +pts [ +"213000,131000" +"216250,131000" +] +) +end &146 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 845,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 846,0 +va (VaSet +font "Verdana,12,0" +) +xt "212000,129600,215800,131000" +st "clock" +blo "212000,130800" +tm "WireNameMgr" +) +) +on &12 +) +*259 (Wire +uid 847,0 +shape (OrthoPolyLine +uid 848,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "233750,117000,240250,117000" +pts [ +"233750,117000" +"240250,117000" +] +) +start &150 +end &173 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 849,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 850,0 +va (VaSet +font "Verdana,12,0" +) +xt "234000,115600,240000,117000" +st "sampleY" +blo "234000,116800" +tm "WireNameMgr" +) +) +on &39 +) +*260 (Wire +uid 851,0 +shape (OrthoPolyLine +uid 852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "257750,117000,264250,117000" +pts [ +"257750,117000" +"264250,117000" +] +) +start &172 +end &185 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 853,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 854,0 +va (VaSet +font "Verdana,12,0" +) +xt "258000,115600,265300,117000" +st "unsignedY" +blo "258000,116800" +tm "WireNameMgr" +) +) +on &40 +) +*261 (Wire +uid 855,0 +shape (OrthoPolyLine +uid 856,0 +va (VaSet +vasetType 3 +) +xt "261000,121000,264250,121000" +pts [ +"261000,121000" +"264250,121000" +] +) +end &184 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 859,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 860,0 +va (VaSet +font "Verdana,12,0" +) +xt "260000,119600,263800,121000" +st "clock" +blo "260000,120800" +tm "WireNameMgr" +) +) +on &12 +) +*262 (Wire +uid 1995,0 +shape (OrthoPolyLine +uid 1996,0 +va (VaSet +vasetType 3 +) +xt "153000,176000,160250,176000" +pts [ +"153000,176000" +"160250,176000" +] +) +end &141 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2001,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2002,0 +va (VaSet +font "Verdana,12,0" +) +xt "148000,174600,161900,176000" +st "interpolationEnable" +blo "148000,175800" +tm "WireNameMgr" +) +) +on &43 +) +*263 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,154000,131000,154000" +pts [ +"123000,154000" +"131000,154000" +] +) +start &201 +end &44 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "124000,152600,128600,154000" +st "memX" +blo "124000,153800" +tm "WireNameMgr" +) +) +on &48 +) +*264 (Wire +uid 3432,0 +shape (OrthoPolyLine +uid 3433,0 +va (VaSet +vasetType 3 +) +xt "209000,166000,216250,166000" +pts [ +"209000,166000" +"216250,166000" +] +) +end &167 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3439,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,164600,217900,166000" +st "interpolationEnable" +blo "204000,165800" +tm "WireNameMgr" +) +) +on &43 +) +*265 (Wire +uid 3485,0 +shape (OrthoPolyLine +uid 3486,0 +va (VaSet +vasetType 3 +) +xt "209000,129000,216250,129000" +pts [ +"209000,129000" +"216250,129000" +] +) +end &154 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3491,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3492,0 +va (VaSet +font "Verdana,12,0" +) +xt "204000,127600,217900,129000" +st "interpolationEnable" +blo "204000,128800" +tm "WireNameMgr" +) +) +on &43 +) +*266 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,117000,131000,117000" +pts [ +"123000,117000" +"131000,117000" +] +) +start &205 +end &49 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "125750,115600,130250,117000" +st "memY" +blo "125750,116800" +tm "WireNameMgr" +) +) +on &53 +) +*267 (Wire +uid 4770,0 +shape (OrthoPolyLine +uid 4771,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91750,150000,98250,150000" +pts [ +"91750,150000" +"98250,150000" +] +) +start &73 +end &82 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4775,0 +va (VaSet +font "Verdana,12,0" +) +xt "92000,148600,96700,150000" +st "phase" +blo "92000,149800" +tm "WireNameMgr" +) +) +on &58 +) +*268 (Wire +uid 4782,0 +shape (OrthoPolyLine +uid 4783,0 +va (VaSet +vasetType 3 +) +xt "71000,158000,74250,158000" +pts [ +"71000,158000" +"74250,158000" +] +) +end &74 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4787,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,156600,75100,158000" +st "reset" +blo "71000,157800" +tm "WireNameMgr" +) +) +on &17 +) +*269 (Wire +uid 4788,0 +shape (OrthoPolyLine +uid 4789,0 +va (VaSet +vasetType 3 +) +xt "71000,156000,74250,156000" +pts [ +"71000,156000" +"74250,156000" +] +) +end &72 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4793,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,154600,74800,156000" +st "clock" +blo "71000,155800" +tm "WireNameMgr" +) +) +on &12 +) +*270 (Wire +uid 4794,0 +shape (OrthoPolyLine +uid 4795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71000,144000,74250,150000" +pts [ +"74250,150000" +"71000,150000" +"71000,144000" +] +) +start &75 +end &54 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4799,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,148600,74600,150000" +st "step" +blo "71000,149800" +tm "WireNameMgr" +) +) +on &59 +) +*271 (Wire +uid 4860,0 +shape (OrthoPolyLine +uid 4861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,115000,131000,150000" +pts [ +"115750,150000" +"119000,150000" +"119000,115000" +"131000,115000" +] +) +start &81 +end &49 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4865,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,148600,121150,150000" +st "sine" +blo "117750,149800" +tm "WireNameMgr" +) +) +on &60 +) +*272 (Wire +uid 4866,0 +shape (OrthoPolyLine +uid 4867,0 +va (VaSet +vasetType 3 +) +xt "67000,154000,74250,154000" +pts [ +"67000,154000" +"74250,154000" +] +) +end &76 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4873,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,152600,76900,154000" +st "interpolationEnable" +blo "63000,153800" +tm "WireNameMgr" +) +) +on &43 +) +*273 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "91000,109000,99000,109000" +pts [ +"91000,109000" +"99000,109000" +] +) +start &61 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,107600,97900,109000" +st "selSinCos" +blo "91000,108800" +tm "WireNameMgr" +) +) +on &68 +) +*274 (Wire +uid 5253,0 +shape (OrthoPolyLine +uid 5254,0 +va (VaSet +vasetType 3 +) +xt "115000,107000,123000,107000" +pts [ +"115000,107000" +"123000,107000" +] +) +start &62 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5259,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5260,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,105600,131650,107000" +st "interpolationEnable" +blo "117750,106800" +tm "WireNameMgr" +) +) +on &43 +) +*275 (Wire +uid 5263,0 +shape (OrthoPolyLine +uid 5264,0 +va (VaSet +vasetType 3 +) +xt "75750,107000,99000,107000" +pts [ +"75750,107000" +"99000,107000" +] +) +start &208 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5269,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5270,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,105600,100200,107000" +st "interpolationEn" +blo "89000,106800" +tm "WireNameMgr" +) +) +on &66 +) +*276 (Wire +uid 5938,0 +shape (OrthoPolyLine +uid 5939,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,152000,131000,152000" +pts [ +"115750,152000" +"131000,152000" +] +) +start &83 +end &44 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5942,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5943,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,150600,122550,152000" +st "cosine" +blo "117750,151800" +tm "WireNameMgr" +) +) +on &67 +) +*277 (Wire +uid 8248,0 +optionalChildren [ +*278 (BdJunction +uid 8258,0 +ps "OnConnectorStrategy" +shape (Circle +uid 8259,0 +va (VaSet +vasetType 1 +) +xt "177600,124600,178400,125400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8249,0 +va (VaSet +vasetType 3 +) +xt "115000,111000,184250,162000" +pts [ +"184250,162000" +"178000,162000" +"178000,111000" +"115000,111000" +] +) +start &133 +end &62 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8253,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,109600,129400,111000" +st "interpolateLinear" +blo "117000,110800" +tm "WireNameMgr" +) +) +on &69 +) +*279 (Wire +uid 8254,0 +shape (OrthoPolyLine +uid 8255,0 +va (VaSet +vasetType 3 +) +xt "178000,125000,184250,125000" +pts [ +"184250,125000" +"178000,125000" +] +) +start &120 +end &278 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8257,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "168250,123600,180650,125000" +st "interpolateLinear" +blo "168250,124800" +tm "WireNameMgr" +) +) +on &69 +) +*280 (Wire +uid 9246,0 +shape (OrthoPolyLine +uid 9247,0 +va (VaSet +vasetType 3 +) +xt "139000,121000,139000,125000" +pts [ +"139000,125000" +"139000,121000" +] +) +end &49 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9253,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,123600,145900,125000" +st "selSinCos" +blo "139000,124800" +tm "WireNameMgr" +) +) +on &68 +) +*281 (Wire +uid 9254,0 +shape (OrthoPolyLine +uid 9255,0 +va (VaSet +vasetType 3 +) +xt "139000,158000,139000,162000" +pts [ +"139000,162000" +"139000,158000" +] +) +end &44 +sat 16 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9260,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9261,0 +va (VaSet +font "Verdana,12,0" +) +xt "139000,160600,145900,162000" +st "selSinCos" +blo "139000,161800" +tm "WireNameMgr" +) +) +on &68 +) +*282 (Wire +uid 15564,0 +shape (OrthoPolyLine +uid 15565,0 +va (VaSet +vasetType 3 +) +xt "51000,113000,58250,113000" +pts [ +"51000,113000" +"58250,113000" +] +) +start &199 +end &209 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15568,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15569,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,111600,56800,113000" +st "clock" +blo "53000,112800" +tm "WireNameMgr" +) +) +on &12 +) +*283 (Wire +uid 15576,0 +shape (OrthoPolyLine +uid 15577,0 +va (VaSet +vasetType 3 +) +xt "91000,111000,99000,111000" +pts [ +"91000,111000" +"99000,111000" +] +) +start &200 +end &62 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15581,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,109600,101300,111000" +st "interpolateLin" +blo "91000,110800" +tm "WireNameMgr" +) +) +on &70 +) +*284 (Wire +uid 15600,0 +shape (OrthoPolyLine +uid 15601,0 +va (VaSet +vasetType 3 +) +xt "51000,115000,58250,115000" +pts [ +"51000,115000" +"58250,115000" +] +) +start &202 +end &210 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15605,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,113600,57100,115000" +st "reset" +blo "53000,114800" +tm "WireNameMgr" +) +) +on &17 +) +*285 (Wire +uid 15612,0 +shape (OrthoPolyLine +uid 15613,0 +va (VaSet +vasetType 3 +) +xt "51000,107000,58250,107000" +pts [ +"51000,107000" +"58250,107000" +] +) +start &203 +end &212 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15616,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15617,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,105600,53900,107000" +st "run" +blo "51000,106800" +tm "WireNameMgr" +) +) +on &41 +) +*286 (Wire +uid 15638,0 +shape (OrthoPolyLine +uid 15639,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,109000,58250,109000" +pts [ +"51000,109000" +"58250,109000" +] +) +start &204 +end &211 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 15642,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 15643,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,107600,59100,109000" +st "updatePeriod" +blo "49000,108800" +tm "WireNameMgr" +) +) +on &42 +) +*287 (Wire +uid 16686,0 +shape (OrthoPolyLine +uid 16687,0 +va (VaSet +vasetType 3 +) +xt "205000,176000,209000,176000" +pts [ +"205000,176000" +"209000,176000" +"209000,176000" +] +) +start &223 +end &206 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16690,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16691,0 +va (VaSet +font "Verdana,12,0" +) +xt "200000,174600,209600,176000" +st "newPolynom" +blo "200000,175800" +tm "WireNameMgr" +) +) +on &18 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *288 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*289 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,99000,6900,100000" +st "Package List" +blo "0,99800" +) +*290 (MLText +uid 44,0 +va (VaSet +) +xt "0,100000,17500,103600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*291 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*292 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*293 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*294 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*295 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*296 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*297 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4318,94646,299797,259879" +cachedDiagramExtent "0,0,295700,198000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "ipp://ippsion.hevs.ch/ipp/PREA309_HPLJ3005DN" +toPrinter 1 +colour 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,99000" +lastUid 17304,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*298 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*299 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*300 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*301 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*302 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*303 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*304 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*305 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*306 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*307 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*308 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*309 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*310 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*311 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*312 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*313 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*314 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*315 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*316 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*317 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*318 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,156200,7000,157200" +st "Declarations" +blo "0,157000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,157200,3400,158200" +st "Ports:" +blo "0,158000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,167000,4800,168000" +st "Pre User:" +blo "0,167800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,168000,36100,174000" +st "constant coeffBitNb : positive := signalBitNb+3; +constant sampleCountBitNb : positive := 8; +constant patternAddressBitNb : positive := 8; +-- sinewave generator +constant tableAddressBitNb : positive := 3; +constant phaseBitNb : positive := sampleCountBitNb + tableAddressBitNb + 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,172800,9000,173800" +st "Diagram Signals:" +blo "0,173600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,156200,6000,157200" +st "Post User:" +blo "0,157000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,156200,0,156200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 74,0 +usingSuid 1 +emptyRow *319 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*320 (RefLabelRowHdr +) +*321 (TitleRowHdr +) +*322 (FilterRowHdr +) +*323 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*324 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*325 (GroupColHdr +tm "GroupColHdrMgr" +) +*326 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*327 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*328 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*329 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*330 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*331 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*332 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 10635,0 +) +*333 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 10639,0 +) +*334 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 10641,0 +) +*335 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 10645,0 +) +*336 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +) +uid 10651,0 +) +*337 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 25 +suid 11,0 +) +) +uid 10653,0 +) +*338 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedX" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 39 +suid 12,0 +) +) +uid 10655,0 +) +*339 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesX" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 35 +suid 13,0 +) +) +uid 10657,0 +) +*340 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 26 +suid 14,0 +) +) +uid 10659,0 +) +*341 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 27 +suid 15,0 +) +) +uid 10661,0 +) +*342 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 28 +suid 16,0 +) +) +uid 10663,0 +) +*343 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleX4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 29 +suid 17,0 +) +) +uid 10665,0 +) +*344 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 12 +suid 18,0 +) +) +uid 10667,0 +) +*345 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 14 +suid 19,0 +) +) +uid 10669,0 +) +*346 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 16 +suid 20,0 +) +) +uid 10671,0 +) +*347 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dX" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 19 +suid 21,0 +) +) +uid 10673,0 +) +*348 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "samplesY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 36 +suid 22,0 +) +) +uid 10675,0 +) +*349 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY1" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 31 +suid 23,0 +) +) +uid 10677,0 +) +*350 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY2" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 32 +suid 24,0 +) +) +uid 10679,0 +) +*351 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY3" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 33 +suid 25,0 +) +) +uid 10681,0 +) +*352 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY4" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 34 +suid 26,0 +) +) +uid 10683,0 +) +*353 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +) +uid 10685,0 +) +*354 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "bY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 15 +suid 28,0 +) +) +uid 10687,0 +) +*355 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 17 +suid 29,0 +) +) +uid 10689,0 +) +*356 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dY" +t "signed" +b "(coeffBitNb-1 DOWNTO 0)" +o 20 +suid 30,0 +) +) +uid 10691,0 +) +*357 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleY" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 31,0 +) +) +uid 10693,0 +) +*358 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "unsignedY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 40 +suid 32,0 +) +) +uid 10695,0 +) +*359 (LeafLogPort +port (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 38,0 +) +) +uid 10707,0 +) +*360 (LeafLogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +) +uid 10715,0 +) +*361 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEnable" +t "std_ulogic" +o 23 +suid 43,0 +) +) +uid 10717,0 +) +*362 (LeafLogPort +port (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 48,0 +) +) +uid 10727,0 +) +*363 (LeafLogPort +port (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 53,0 +) +) +uid 10737,0 +) +*364 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "phase" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 24 +suid 57,0 +) +) +uid 10745,0 +) +*365 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +o 38 +suid 58,0 +) +) +uid 10747,0 +) +*366 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 37 +suid 59,0 +) +) +uid 10749,0 +) +*367 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolationEn" +t "std_ulogic" +o 22 +suid 60,0 +) +) +uid 10751,0 +) +*368 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cosine" +t "signed" +b "(signalBitNb-1 DOWNTO 0)" +o 18 +suid 61,0 +) +) +uid 10753,0 +) +*369 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 62,0 +) +) +uid 10755,0 +) +*370 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 21 +suid 69,0 +) +) +uid 10769,0 +) +*371 (LeafLogPort +port (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 70,0 +) +) +uid 10771,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*372 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *373 (MRCItem +litem &319 +pos 40 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*374 (MRCItem +litem &320 +pos 0 +dimension 20 +uid 10790,0 +) +*375 (MRCItem +litem &321 +pos 1 +dimension 23 +uid 10791,0 +) +*376 (MRCItem +litem &322 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*377 (MRCItem +litem &332 +pos 2 +dimension 20 +uid 10636,0 +) +*378 (MRCItem +litem &333 +pos 0 +dimension 20 +uid 10640,0 +) +*379 (MRCItem +litem &334 +pos 4 +dimension 20 +uid 10642,0 +) +*380 (MRCItem +litem &335 +pos 3 +dimension 20 +uid 10646,0 +) +*381 (MRCItem +litem &336 +pos 10 +dimension 20 +uid 10652,0 +) +*382 (MRCItem +litem &337 +pos 11 +dimension 20 +uid 10654,0 +) +*383 (MRCItem +litem &338 +pos 12 +dimension 20 +uid 10656,0 +) +*384 (MRCItem +litem &339 +pos 13 +dimension 20 +uid 10658,0 +) +*385 (MRCItem +litem &340 +pos 14 +dimension 20 +uid 10660,0 +) +*386 (MRCItem +litem &341 +pos 15 +dimension 20 +uid 10662,0 +) +*387 (MRCItem +litem &342 +pos 16 +dimension 20 +uid 10664,0 +) +*388 (MRCItem +litem &343 +pos 17 +dimension 20 +uid 10666,0 +) +*389 (MRCItem +litem &344 +pos 18 +dimension 20 +uid 10668,0 +) +*390 (MRCItem +litem &345 +pos 19 +dimension 20 +uid 10670,0 +) +*391 (MRCItem +litem &346 +pos 20 +dimension 20 +uid 10672,0 +) +*392 (MRCItem +litem &347 +pos 21 +dimension 20 +uid 10674,0 +) +*393 (MRCItem +litem &348 +pos 22 +dimension 20 +uid 10676,0 +) +*394 (MRCItem +litem &349 +pos 23 +dimension 20 +uid 10678,0 +) +*395 (MRCItem +litem &350 +pos 24 +dimension 20 +uid 10680,0 +) +*396 (MRCItem +litem &351 +pos 25 +dimension 20 +uid 10682,0 +) +*397 (MRCItem +litem &352 +pos 26 +dimension 20 +uid 10684,0 +) +*398 (MRCItem +litem &353 +pos 27 +dimension 20 +uid 10686,0 +) +*399 (MRCItem +litem &354 +pos 28 +dimension 20 +uid 10688,0 +) +*400 (MRCItem +litem &355 +pos 29 +dimension 20 +uid 10690,0 +) +*401 (MRCItem +litem &356 +pos 30 +dimension 20 +uid 10692,0 +) +*402 (MRCItem +litem &357 +pos 31 +dimension 20 +uid 10694,0 +) +*403 (MRCItem +litem &358 +pos 32 +dimension 20 +uid 10696,0 +) +*404 (MRCItem +litem &359 +pos 1 +dimension 20 +uid 10708,0 +) +*405 (MRCItem +litem &360 +pos 7 +dimension 20 +uid 10716,0 +) +*406 (MRCItem +litem &361 +pos 33 +dimension 20 +uid 10718,0 +) +*407 (MRCItem +litem &362 +pos 8 +dimension 20 +uid 10728,0 +) +*408 (MRCItem +litem &363 +pos 9 +dimension 20 +uid 10738,0 +) +*409 (MRCItem +litem &364 +pos 34 +dimension 20 +uid 10746,0 +) +*410 (MRCItem +litem &365 +pos 35 +dimension 20 +uid 10748,0 +) +*411 (MRCItem +litem &366 +pos 36 +dimension 20 +uid 10750,0 +) +*412 (MRCItem +litem &367 +pos 37 +dimension 20 +uid 10752,0 +) +*413 (MRCItem +litem &368 +pos 38 +dimension 20 +uid 10754,0 +) +*414 (MRCItem +litem &369 +pos 5 +dimension 20 +uid 10756,0 +) +*415 (MRCItem +litem &370 +pos 39 +dimension 20 +uid 10770,0 +) +*416 (MRCItem +litem &371 +pos 6 +dimension 20 +uid 10772,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*417 (MRCItem +litem &323 +pos 0 +dimension 20 +uid 10794,0 +) +*418 (MRCItem +litem &325 +pos 1 +dimension 50 +uid 10795,0 +) +*419 (MRCItem +litem &326 +pos 2 +dimension 100 +uid 10796,0 +) +*420 (MRCItem +litem &327 +pos 3 +dimension 50 +uid 10797,0 +) +*421 (MRCItem +litem &328 +pos 4 +dimension 100 +uid 10798,0 +) +*422 (MRCItem +litem &329 +pos 5 +dimension 100 +uid 10799,0 +) +*423 (MRCItem +litem &330 +pos 6 +dimension 50 +uid 10800,0 +) +*424 (MRCItem +litem &331 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *425 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*426 (RefLabelRowHdr +) +*427 (TitleRowHdr +) +*428 (FilterRowHdr +) +*429 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*430 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*431 (GroupColHdr +tm "GroupColHdrMgr" +) +*432 (NameColHdr +tm "GenericNameColHdrMgr" +) +*433 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*434 (InitColHdr +tm "GenericValueColHdrMgr" +) +*435 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*436 (EolColHdr +tm "GenericEolColHdrMgr" +) +*437 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 15314,0 +) +*438 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 15897,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10815,0 +optionalChildren [ +*439 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *440 (MRCItem +litem &425 +pos 2 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*441 (MRCItem +litem &426 +pos 0 +dimension 20 +uid 10818,0 +) +*442 (MRCItem +litem &427 +pos 1 +dimension 23 +uid 10819,0 +) +*443 (MRCItem +litem &428 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*444 (MRCItem +litem &437 +pos 0 +dimension 20 +uid 15313,0 +) +*445 (MRCItem +litem &438 +pos 1 +dimension 20 +uid 15896,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*446 (MRCItem +litem &429 +pos 0 +dimension 20 +uid 10822,0 +) +*447 (MRCItem +litem &431 +pos 1 +dimension 50 +uid 10823,0 +) +*448 (MRCItem +litem &432 +pos 2 +dimension 100 +uid 10824,0 +) +*449 (MRCItem +litem &433 +pos 3 +dimension 100 +uid 10825,0 +) +*450 (MRCItem +litem &434 +pos 4 +dimension 50 +uid 10826,0 +) +*451 (MRCItem +litem &435 +pos 5 +dimension 50 +uid 10827,0 +) +*452 (MRCItem +litem &436 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/symbol.sb new file mode 100644 index 0000000..0f4a02e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@operator/symbol.sb @@ -0,0 +1,2066 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2019,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 203,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +uid 204,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 209,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 210,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 211,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +uid 539,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +uid 541,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +uid 543,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +uid 653,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +uid 725,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 132,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 137,0 +) +*29 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*30 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 159,0 +) +*31 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 160,0 +) +*32 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 162,0 +) +*33 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 165,0 +) +*34 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 166,0 +) +*35 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 167,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 540,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 542,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 544,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 654,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 724,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*41 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 141,0 +) +*42 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 145,0 +) +*43 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 149,0 +) +*45 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 151,0 +) +*46 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 153,0 +) +*47 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 155,0 +) +*48 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*62 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 593,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 218,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *64 (MRCItem +litem &49 +pos 2 +dimension 20 +) +uid 172,0 +optionalChildren [ +*65 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 175,0 +) +*66 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 177,0 +) +*67 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*68 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 199,0 +) +*69 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 594,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*70 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*71 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 185,0 +) +*72 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 187,0 +) +*73 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 189,0 +) +*74 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 191,0 +) +*75 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 193,0 +) +*76 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@operator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@operator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@operator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphOperator" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphOperator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerPeriphOperator" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@operator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphOperator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "beamerPeriphOperator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*77 (SymbolBody +uid 8,0 +optionalChildren [ +*78 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,26625,42000,27375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "43000,26400,45500,27300" +st "clock" +blo "43000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,21000,10300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*79 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,12625,42000,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "43000,12400,44500,13300" +st "run" +blo "43000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8500,21000,9400" +st "run : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "run" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*80 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,12625,58750,13375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "55001,12400,57001,13300" +st "outX" +ju 2 +blo "57001,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7600,21000,8500" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*81 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,28625,42000,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "courier,9,0" +) +xt "43000,28400,45500,29300" +st "reset" +blo "43000,29100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,21000,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*82 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,14625,58750,15375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "55001,14400,57001,15300" +st "outY" +ju 2 +blo "57001,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,21000,12100" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*83 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,18625,58750,19375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "52501,18400,57001,19300" +st "selSinCos" +ju 2 +blo "57001,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,21000,13000" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 6 +suid 13,0 +) +) +) +*84 (CptPort +uid 524,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 525,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,14625,42000,15375" +) +tg (CPTG +uid 526,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 527,0 +va (VaSet +font "courier,9,0" +) +xt "43000,14400,50500,15300" +st "interpolateLin" +blo "43000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 528,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,21000,13900" +st "interpolateLin : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*85 (CptPort +uid 529,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,16625,42000,17375" +) +tg (CPTG +uid 531,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 532,0 +va (VaSet +font "courier,9,0" +) +xt "43000,16400,49500,17300" +st "updatePeriod" +blo "43000,17100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 533,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,35000,14800" +st "updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*86 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,20625,42000,21375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +font "courier,9,0" +) +xt "43000,20400,45000,21300" +st "memX" +blo "43000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,36500,15700" +st "memX : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2016,0 +) +) +) +*87 (CptPort +uid 648,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 649,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,22625,42000,23375" +) +tg (CPTG +uid 650,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 651,0 +va (VaSet +font "courier,9,0" +) +xt "43000,22400,45000,23300" +st "memY" +blo "43000,23100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 652,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,36500,16600" +st "memY : IN std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 10 +suid 2018,0 +) +) +) +*88 (CptPort +uid 726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 727,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,18625,42000,19375" +) +tg (CPTG +uid 728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 729,0 +va (VaSet +font "courier,9,0" +) +xt "43000,18400,48500,19300" +st "newPolynom" +blo "43000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 730,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,20000,17500" +st "newPolynom : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,9000,58000,31000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "42600,30800,49100,31700" +st "SystemOnChip" +blo "42600,31500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "42600,31700,53100,32600" +st "beamerPeriphOperator" +blo "42600,32400" +) +) +gi *89 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,34600,58000,38200" +st "Generic Declarations + +updatePeriodBitNb positive 16 +signalBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*90 (Grouping +uid 16,0 +optionalChildren [ +*91 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*96 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*101 (CommentGraphic +uid 591,0 +shape (PolyLine2D +pts [ +"42000,33000" +"58000,33000" +] +uid 592,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "42000,33000,58000,33000" +) +oxt "36000,32000,52000,32000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *102 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*104 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "26,33,1318,883" +viewArea "-1000,-1000,77329,50684" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *105 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *106 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6700,3000,7600" +st "Ports:" +blo "0,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17500,2500,18400" +st "User:" +blo "0,18200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,2000,18400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 776,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/struct.bd new file mode 100644 index 0000000..71b9a91 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/struct.bd @@ -0,0 +1,9647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I19" +duLibraryName "Beamer" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11639,0 +) +(Instance +name "I31" +duLibraryName "Beamer" +duName "blockRAMControl" +elements [ +] +mwi 0 +uid 11714,0 +) +(Instance +name "I2" +duLibraryName "Beamer" +duName "periphAddressDecoder" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 17547,0 +) +(Instance +name "I4" +duLibraryName "Beamer" +duName "periphControlReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 18007,0 +) +(Instance +name "I20" +duLibraryName "Beamer" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 18179,0 +) +(Instance +name "I30" +duLibraryName "Beamer" +duName "blockRAMAddressCounter" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 18212,0 +) +(Instance +name "I3" +duLibraryName "Beamer" +duName "periphSpeedReg" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +mwi 0 +uid 18249,0 +) +(Instance +name "I18" +duLibraryName "Beamer" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR\\ramYInit.txt\"" +) +] +mwi 0 +uid 19180,0 +) +(Instance +name "I17" +duLibraryName "Beamer" +duName "blockRAM" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR\\ramXInit.txt\"" +) +] +mwi 0 +uid 19217,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb8" +number "8" +) +(EmbeddedInstance +name "eb9" +number "9" +) +(EmbeddedInstance +name "eb10" +number "10" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@registers\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@registers\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@registers" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphRegisters" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphRegisters" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:02:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerPeriphRegisters" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@periph@registers\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerPeriphRegisters\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:02:17" +) +(vvPair +variable "unit" +value "beamerPeriphRegisters" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,197000,127000,198000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,197500,110200,197500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,193000,131000,194000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,193500,127200,193500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,195000,127000,196000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,195500,110200,195500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,195000,110000,196000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,195500,106200,195500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,194000,147000,198000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,194200,141300,195400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "131000,193000,147000,194000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "131200,193500,131200,193500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,193000,127000,195000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "111350,193400,121650,194600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,196000,110000,197000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,196500,106200,196500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,197000,110000,198000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,197500,106200,197500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,196000,127000,197000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,196500,110200,196500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "106000,193000,147000,198000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 68,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 69,0 +sl 0 +ro 270 +xt "72000,53625,73500,54375" +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "73500,54000,74000,54000" +pts [ +"73500,54000" +"74000,54000" +] +) +] +) +tg (WTG +uid 71,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "67200,53300,71000,54700" +st "clock" +ju 2 +blo "71000,54500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,102200,13200,103200" +st "clock : std_ulogic" +) +) +*14 (Net +uid 163,0 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 7,0 +) +declText (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,108600,13200,109600" +st "reset : std_ulogic" +) +) +*15 (Net +uid 436,0 +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +declText (MLText +uid 437,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,109400,14900,110400" +st "newPolynom : std_ulogic" +) +) +*16 (Net +uid 990,0 +decl (Decl +n "selControl" +t "std_ulogic" +o 24 +suid 33,0 +) +declText (MLText +uid 991,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,123400,16900,124400" +st "SIGNAL selControl : std_ulogic" +) +) +*17 (Net +uid 1055,0 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 25 +suid 35,0 +) +declText (MLText +uid 1056,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,124200,17100,125200" +st "SIGNAL selSpeed : std_ulogic" +) +) +*18 (Net +uid 1063,0 +decl (Decl +n "selX" +t "std_ulogic" +o 26 +suid 36,0 +) +declText (MLText +uid 1064,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,125000,16300,126000" +st "SIGNAL selX : std_ulogic" +) +) +*19 (Net +uid 1071,0 +decl (Decl +n "selY" +t "std_ulogic" +o 27 +suid 37,0 +) +declText (MLText +uid 1072,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,125800,16300,126800" +st "SIGNAL selY : std_ulogic" +) +) +*20 (Net +uid 1348,0 +decl (Decl +n "run" +t "std_ulogic" +o 7 +suid 38,0 +) +declText (MLText +uid 1349,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,106200,13100,107200" +st "run : std_ulogic" +) +) +*21 (Net +uid 1356,0 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 28 +suid 39,0 +) +declText (MLText +uid 1357,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,126600,17700,127600" +st "SIGNAL updatePattern : std_ulogic" +) +) +*22 (Net +uid 1470,0 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 23 +suid 40,0 +) +declText (MLText +uid 1471,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,122600,31800,123600" +st "SIGNAL patternSize : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 1589,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 41,0 +) +declText (MLText +uid 1590,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,118600,30400,119600" +st "SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 1776,0 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +declText (MLText +uid 1777,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,107000,28400,108000" +st "updatePeriod : unsigned(updatePeriodBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 2776,0 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 13 +suid 44,0 +) +declText (MLText +uid 2777,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,114600,31400,115600" +st "SIGNAL addrX : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 2850,0 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 15 +suid 45,0 +) +declText (MLText +uid 2851,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,116200,16700,117200" +st "SIGNAL cntIncrX : std_ulogic" +) +) +*27 (Net +uid 2852,0 +decl (Decl +n "memWrX" +t "std_ulogic" +o 21 +suid 46,0 +) +declText (MLText +uid 2853,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121000,17800,122000" +st "SIGNAL memWrX : std_ulogic" +) +) +*28 (Net +uid 2854,0 +decl (Decl +n "memEnX" +t "std_ulogic" +o 19 +suid 47,0 +) +declText (MLText +uid 2855,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,119400,17700,120400" +st "SIGNAL memEnX : std_ulogic" +) +) +*29 (Net +uid 3152,0 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 48,0 +) +declText (MLText +uid 3153,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,104600,28500,105600" +st "memX : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*30 (Net +uid 3827,0 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 16 +suid 49,0 +) +declText (MLText +uid 3828,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,117000,16700,118000" +st "SIGNAL cntIncrY : std_ulogic" +) +) +*31 (Net +uid 3890,0 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 14 +suid 50,0 +) +declText (MLText +uid 3891,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,115400,31400,116400" +st "SIGNAL addrY : unsigned(patternAddressBitNb-1 DOWNTO 0)" +) +) +*32 (Net +uid 3892,0 +decl (Decl +n "memWrY" +t "std_ulogic" +o 22 +suid 51,0 +) +declText (MLText +uid 3893,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121800,17800,122800" +st "SIGNAL memWrY : std_ulogic" +) +) +*33 (Net +uid 3894,0 +decl (Decl +n "memEnY" +t "std_ulogic" +o 20 +suid 52,0 +) +declText (MLText +uid 3895,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,120200,17700,121200" +st "SIGNAL memEnY : std_ulogic" +) +) +*34 (Net +uid 3913,0 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 53,0 +) +declText (MLText +uid 3914,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,105400,28500,106400" +st "memY : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*35 (PortIoOut +uid 4041,0 +shape (CompositeShape +uid 4042,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4043,0 +sl 0 +ro 270 +xt "143500,3625,145000,4375" +) +(Line +uid 4044,0 +sl 0 +ro 270 +xt "143000,4000,143500,4000" +pts [ +"143000,4000" +"143500,4000" +] +) +] +) +tg (WTG +uid 4045,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4046,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "146000,3300,166900,4700" +st "testOut : (1 TO testOutBitNb)" +blo "146000,4500" +tm "WireNameMgr" +) +) +) +*36 (Net +uid 4053,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 4 +suid 54,0 +) +declText (MLText +uid 4054,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103800,25000,104800" +st "testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*37 (HdlText +uid 4055,0 +optionalChildren [ +*38 (EmbeddedText +uid 4060,0 +commentText (CommentText +uid 4061,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4062,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "120000,3000,134000,25000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4063,0 +va (VaSet +) +xt "120200,3200,134200,24800" +st " +testout(1) <= '0'; +testout(2) <= updatePattern; +testout(3) <= '0'; +testout(4) <= newPolynom; +testout(5) <= '0'; +testout(6) <= '0'; +testout(7) <= '0'; +testout(8) <= '0'; +testout(9) <= '0'; +testout(10) <= addr(1); +testout(11) <= dataIn(0); +--testout(10) <= selControl; +--testout(11) <= selSize; +testout(12) <= selSpeed; +testout(13) <= selX; +testout(14) <= selY; +testout(15) <= cntIncrX; +testout(16) <= cntIncrY; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 22000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 4056,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "119000,2000,135000,26000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4057,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 4058,0 +va (VaSet +) +xt "119400,26000,122000,27200" +st "eb3" +blo "119400,27000" +tm "HdlTextNameMgr" +) +*40 (Text +uid 4059,0 +va (VaSet +) +xt "119400,27000,120800,28200" +st "3" +blo "119400,28000" +tm "HdlTextNumberMgr" +) +] +) +) +*41 (Net +uid 9646,0 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 9 +suid 70,0 +) +declText (MLText +uid 9647,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,107800,13900,108800" +st "interpolateLin : std_ulogic" +) +) +*42 (SaComponent +uid 11639,0 +optionalChildren [ +*43 (CptPort +uid 11603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,133625,94750,134375" +) +tg (CPTG +uid 11605,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11606,0 +va (VaSet +) +xt "88600,133400,93000,134600" +st "memWr" +ju 2 +blo "93000,134400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*44 (CptPort +uid 11607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,129625,78000,130375" +) +tg (CPTG +uid 11609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11610,0 +va (VaSet +) +xt "79000,129400,81200,130600" +st "sel" +blo "79000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*45 (CptPort +uid 11611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,135625,94750,136375" +) +tg (CPTG +uid 11613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11614,0 +va (VaSet +) +xt "88600,135400,93000,136600" +st "memEn" +ju 2 +blo "93000,136400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*46 (CptPort +uid 11615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,133625,78000,134375" +) +tg (CPTG +uid 11617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11618,0 +va (VaSet +) +xt "79000,133400,83100,134600" +st "update" +blo "79000,134400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*47 (CptPort +uid 11619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,131625,78000,132375" +) +tg (CPTG +uid 11621,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11622,0 +va (VaSet +) +xt "79000,131400,80800,132600" +st "wr" +blo "79000,132400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*48 (CptPort +uid 11623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,129625,94750,130375" +) +tg (CPTG +uid 11625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11626,0 +va (VaSet +) +xt "88600,129400,93000,130600" +st "cntIncr" +ju 2 +blo "93000,130400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*49 (CptPort +uid 11627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,135625,78000,136375" +) +tg (CPTG +uid 11629,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11630,0 +va (VaSet +) +xt "79000,135400,85300,136600" +st "newSample" +blo "79000,136400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*50 (CptPort +uid 11631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,139625,78000,140375" +) +tg (CPTG +uid 11633,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11634,0 +va (VaSet +) +xt "79000,139400,82400,140600" +st "clock" +blo "79000,140400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*51 (CptPort +uid 11635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,141625,78000,142375" +) +tg (CPTG +uid 11637,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11638,0 +va (VaSet +) +xt "79000,141400,82300,142600" +st "reset" +blo "79000,142400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 11640,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,126000,94000,144000" +) +oxt "32000,8000,48000,26000" +ttg (MlTextGroup +uid 11641,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 11642,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,143800,83000,145000" +st "Beamer" +blo "78600,144800" +tm "BdLibraryNameMgr" +) +*53 (Text +uid 11643,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,145000,88300,146200" +st "blockRAMControl" +blo "78600,146000" +tm "CptNameMgr" +) +*54 (Text +uid 11644,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,146200,80900,147400" +st "I19" +blo "78600,147200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11645,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11646,0 +text (MLText +uid 11647,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,139800,78000,139800" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*55 (SaComponent +uid 11714,0 +optionalChildren [ +*56 (CptPort +uid 11723,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11724,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,183625,94750,184375" +) +tg (CPTG +uid 11725,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11726,0 +va (VaSet +) +xt "88600,183400,93000,184600" +st "memWr" +ju 2 +blo "93000,184400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +) +) +) +*57 (CptPort +uid 11727,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11728,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,179625,78000,180375" +) +tg (CPTG +uid 11729,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11730,0 +va (VaSet +) +xt "79000,179400,81200,180600" +st "sel" +blo "79000,180400" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +) +) +) +*58 (CptPort +uid 11731,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11732,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,185625,94750,186375" +) +tg (CPTG +uid 11733,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11734,0 +va (VaSet +) +xt "88600,185400,93000,186600" +st "memEn" +ju 2 +blo "93000,186400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +) +) +) +*59 (CptPort +uid 11735,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11736,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,183625,78000,184375" +) +tg (CPTG +uid 11737,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11738,0 +va (VaSet +) +xt "79000,183400,83100,184600" +st "update" +blo "79000,184400" +) +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +) +) +) +*60 (CptPort +uid 11739,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11740,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,181625,78000,182375" +) +tg (CPTG +uid 11741,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11742,0 +va (VaSet +) +xt "79000,181400,80800,182600" +st "wr" +blo "79000,182400" +) +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +) +) +) +*61 (CptPort +uid 11743,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,179625,94750,180375" +) +tg (CPTG +uid 11745,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 11746,0 +va (VaSet +) +xt "88600,179400,93000,180600" +st "cntIncr" +ju 2 +blo "93000,180400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +) +) +) +*62 (CptPort +uid 11747,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,185625,78000,186375" +) +tg (CPTG +uid 11749,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11750,0 +va (VaSet +) +xt "79000,185400,85300,186600" +st "newSample" +blo "79000,186400" +) +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +) +) +) +*63 (CptPort +uid 11751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,189625,78000,190375" +) +tg (CPTG +uid 11753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11754,0 +va (VaSet +) +xt "79000,189400,82400,190600" +st "clock" +blo "79000,190400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +) +) +) +*64 (CptPort +uid 11755,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11756,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,191625,78000,192375" +) +tg (CPTG +uid 11757,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11758,0 +va (VaSet +) +xt "79000,191400,82300,192600" +st "reset" +blo "79000,192400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +) +) +) +] +shape (Rectangle +uid 11715,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,176000,94000,194000" +) +oxt "32000,8000,48000,26000" +ttg (MlTextGroup +uid 11716,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 11717,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,193800,83000,195000" +st "Beamer" +blo "78600,194800" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 11718,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,195000,88300,196200" +st "blockRAMControl" +blo "78600,196000" +tm "CptNameMgr" +) +*67 (Text +uid 11719,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,196200,80900,197400" +st "I31" +blo "78600,197200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 11720,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 11721,0 +text (MLText +uid 11722,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,189800,78000,189800" +) +header "" +) +elements [ +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*68 (PortIoIn +uid 13128,0 +shape (CompositeShape +uid 13129,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13130,0 +sl 0 +ro 270 +xt "20000,41625,21500,42375" +) +(Line +uid 13131,0 +sl 0 +ro 270 +xt "21500,42000,22000,42000" +pts [ +"21500,42000" +"22000,42000" +] +) +] +) +tg (WTG +uid 13132,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13133,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "15500,41500,19000,42900" +st "apbi" +ju 2 +blo "19000,42700" +tm "WireNameMgr" +) +) +) +*69 (Net +uid 13140,0 +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 1 +suid 71,0 +) +declText (MLText +uid 13141,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,101400,15800,102400" +st "apbi : apb_slv_in_type" +) +) +*70 (PortIoOut +uid 13373,0 +shape (CompositeShape +uid 13374,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13375,0 +sl 0 +ro 90 +xt "20000,25625,21500,26375" +) +(Line +uid 13376,0 +sl 0 +ro 90 +xt "21500,26000,22000,26000" +pts [ +"22000,26000" +"21500,26000" +] +) +] +) +tg (WTG +uid 13377,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13378,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "15000,25300,19000,26700" +st "apbo" +ju 2 +blo "19000,26500" +tm "WireNameMgr" +) +) +) +*71 (Net +uid 13385,0 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 3 +suid 72,0 +) +declText (MLText +uid 13386,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103000,16700,104000" +st "apbo : apb_slv_out_type" +) +) +*72 (PortIoOut +uid 14845,0 +shape (CompositeShape +uid 14846,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14847,0 +sl 0 +ro 270 +xt "134500,115625,136000,116375" +) +(Line +uid 14848,0 +sl 0 +ro 270 +xt "134000,116000,134500,116000" +pts [ +"134000,116000" +"134500,116000" +] +) +] +) +tg (WTG +uid 14849,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14850,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "137000,115300,161100,116700" +st "memY : (signalBitNb-1 DOWNTO 0)" +blo "137000,116500" +tm "WireNameMgr" +) +) +) +*73 (PortIoOut +uid 14851,0 +shape (CompositeShape +uid 14852,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14853,0 +sl 0 +ro 270 +xt "134500,153625,136000,154375" +) +(Line +uid 14854,0 +sl 0 +ro 270 +xt "134000,154000,134500,154000" +pts [ +"134000,154000" +"134500,154000" +] +) +] +) +tg (WTG +uid 14855,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14856,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "137000,153300,161200,154700" +st "memX : (signalBitNb-1 DOWNTO 0)" +blo "137000,154500" +tm "WireNameMgr" +) +) +) +*74 (PortIoOut +uid 14857,0 +shape (CompositeShape +uid 14858,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14859,0 +sl 0 +ro 270 +xt "109750,41625,111250,42375" +) +(Line +uid 14860,0 +sl 0 +ro 270 +xt "109250,42000,109750,42000" +pts [ +"109250,42000" +"109750,42000" +] +) +] +) +tg (WTG +uid 14861,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14862,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,41300,114900,42700" +st "run" +blo "112000,42500" +tm "WireNameMgr" +) +) +) +*75 (PortIoOut +uid 14863,0 +shape (CompositeShape +uid 14864,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14865,0 +sl 0 +ro 270 +xt "109750,69625,111250,70375" +) +(Line +uid 14866,0 +sl 0 +ro 270 +xt "109250,70000,109750,70000" +pts [ +"109250,70000" +"109750,70000" +] +) +] +) +tg (WTG +uid 14867,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14868,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,69300,146500,70700" +st "updatePeriod : (updatePeriodBitNb-1 DOWNTO 0)" +blo "112000,70500" +tm "WireNameMgr" +) +) +) +*76 (PortIoOut +uid 14869,0 +shape (CompositeShape +uid 14870,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 14871,0 +sl 0 +ro 270 +xt "109500,43625,111000,44375" +) +(Line +uid 14872,0 +sl 0 +ro 270 +xt "109000,44000,109500,44000" +pts [ +"109000,44000" +"109500,44000" +] +) +] +) +tg (WTG +uid 14873,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14874,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "112000,43300,122300,44700" +st "interpolateLin" +blo "112000,44500" +tm "WireNameMgr" +) +) +) +*77 (PortIoIn +uid 16542,0 +shape (CompositeShape +uid 16543,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16544,0 +sl 0 +ro 270 +xt "72000,55625,73500,56375" +) +(Line +uid 16545,0 +sl 0 +ro 270 +xt "73500,56000,74000,56000" +pts [ +"73500,56000" +"74000,56000" +] +) +] +) +tg (WTG +uid 16546,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16547,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "66900,55500,71000,56900" +st "reset" +ju 2 +blo "71000,56700" +tm "WireNameMgr" +) +) +) +*78 (Net +uid 16705,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 74,0 +) +declText (MLText +uid 16706,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,117800,30600,118800" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*79 (HdlText +uid 16707,0 +optionalChildren [ +*80 (EmbeddedText +uid 16712,0 +commentText (CommentText +uid 16713,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 16714,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "30000,39000,46000,49000" +) +oxt "0,0,18000,5000" +text (MLText +uid 16715,0 +va (VaSet +) +xt "30200,39200,45700,48800" +st " +dataIn <= std_ulogic_vector(apbi.pwdata); +addr <= unsigned(apbi.paddr); +write <= apbi.pwrite and apbi.psel(pindex) and apbi.penable; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 16708,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "30000,38000,46000,50000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 16709,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 16710,0 +va (VaSet +) +xt "30400,50000,33000,51200" +st "eb8" +blo "30400,51000" +tm "HdlTextNameMgr" +) +*82 (Text +uid 16711,0 +va (VaSet +) +xt "30400,51000,31800,52200" +st "8" +blo "30400,52000" +tm "HdlTextNumberMgr" +) +] +) +) +*83 (Net +uid 16716,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 75,0 +) +declText (MLText +uid 16717,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,113800,28300,114800" +st "SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*84 (HdlText +uid 17145,0 +optionalChildren [ +*85 (EmbeddedText +uid 17150,0 +commentText (CommentText +uid 17151,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 17152,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "58000,87000,66000,93000" +) +oxt "0,0,18000,5000" +text (MLText +uid 17153,0 +va (VaSet +) +xt "58200,87200,65800,92000" +st " +memDataIn <= dataIn(memDataIn'range); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 8000 +) +) +) +] +shape (Rectangle +uid 17146,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "58000,86000,66000,94000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 17147,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 17148,0 +va (VaSet +) +xt "58400,94000,61000,95200" +st "eb9" +blo "58400,95000" +tm "HdlTextNameMgr" +) +*87 (Text +uid 17149,0 +va (VaSet +) +xt "58400,95000,59800,96200" +st "9" +blo "58400,96000" +tm "HdlTextNumberMgr" +) +] +) +) +*88 (Net +uid 17162,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 77,0 +) +declText (MLText +uid 17163,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,128200,32400,129200" +st "SIGNAL memDataIn : std_ulogic_vector(signalBitNb-1 DOWNTO 0)" +) +) +*89 (Net +uid 17505,0 +decl (Decl +n "write" +t "std_ulogic" +o 29 +suid 78,0 +) +declText (MLText +uid 17506,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,127400,16400,128400" +st "SIGNAL write : std_ulogic" +) +) +*90 (SaComponent +uid 17547,0 +optionalChildren [ +*91 (CptPort +uid 17523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,71625,46750,72375" +) +tg (CPTG +uid 17525,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17526,0 +va (VaSet +) +xt "38400,71400,45000,72600" +st "selControl" +ju 2 +blo "45000,72400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*92 (CptPort +uid 17527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,71625,30000,72375" +) +tg (CPTG +uid 17529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17530,0 +va (VaSet +) +xt "31000,71400,33900,72600" +st "addr" +blo "31000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*93 (CptPort +uid 17531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,73625,46750,74375" +) +tg (CPTG +uid 17533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17534,0 +va (VaSet +) +xt "39700,73400,45000,74600" +st "selSpeed" +ju 2 +blo "45000,74400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*94 (CptPort +uid 17535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,77625,46750,78375" +) +tg (CPTG +uid 17537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17538,0 +va (VaSet +) +xt "42100,77400,45000,78600" +st "selX" +ju 2 +blo "45000,78400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*95 (CptPort +uid 17539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,79625,46750,80375" +) +tg (CPTG +uid 17541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17542,0 +va (VaSet +) +xt "42100,79400,45000,80600" +st "selY" +ju 2 +blo "45000,80400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*96 (CptPort +uid 17543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,81625,46750,82375" +) +tg (CPTG +uid 17545,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17546,0 +va (VaSet +) +xt "42100,81400,45000,82600" +st "selZ" +ju 2 +blo "45000,82400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 17548,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,68000,46000,86000" +) +oxt "34000,9000,50000,27000" +ttg (MlTextGroup +uid 17549,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 17550,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,85800,35000,87000" +st "Beamer" +blo "30600,86800" +tm "BdLibraryNameMgr" +) +*98 (Text +uid 17551,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,87000,43500,88200" +st "periphAddressDecoder" +blo "30600,88000" +tm "CptNameMgr" +) +*99 (Text +uid 17552,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,88200,32300,89400" +st "I2" +blo "30600,89200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 17553,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 17554,0 +text (MLText +uid 17555,0 +va (VaSet +font "Verdana,8,0" +) +xt "30000,89600,50000,90600" +st "addressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*100 (HdlText +uid 17860,0 +optionalChildren [ +*101 (EmbeddedText +uid 17865,0 +commentText (CommentText +uid 17866,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 17867,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "30000,23000,46000,29000" +) +oxt "0,0,18000,5000" +text (MLText +uid 17868,0 +va (VaSet +) +xt "30200,23200,44700,29200" +st " +apbo.prdata <= dataOut; + +apbo.pindex <= pindex; + +apbo.pconfig <= ( + 0 => ahb_device_reg ( 16#ff#, 16#3ff#, 0, 1, 7), + 1 => apb_iobar(paddr, pmask) +); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 17861,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "30000,22000,46000,30000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 17862,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 17863,0 +va (VaSet +) +xt "30400,30000,33700,31200" +st "eb10" +blo "30400,31000" +tm "HdlTextNameMgr" +) +*103 (Text +uid 17864,0 +va (VaSet +) +xt "30400,31000,32500,32200" +st "10" +blo "30400,32000" +tm "HdlTextNumberMgr" +) +] +) +) +*104 (SaComponent +uid 18007,0 +optionalChildren [ +*105 (CptPort +uid 17967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,41625,94750,42375" +) +tg (CPTG +uid 17969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17970,0 +va (VaSet +) +xt "90700,41400,93000,42600" +st "run" +ju 2 +blo "93000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*106 (CptPort +uid 17971,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,41625,78000,42375" +) +tg (CPTG +uid 17973,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17974,0 +va (VaSet +) +xt "79000,41400,83000,42600" +st "dataIn" +blo "79000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*107 (CptPort +uid 17975,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,47625,94750,48375" +) +tg (CPTG +uid 17977,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 17978,0 +va (VaSet +) +xt "84500,47400,93000,48600" +st "updatePattern" +ju 2 +blo "93000,48400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*108 (CptPort +uid 17979,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17980,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,43625,78000,44375" +) +tg (CPTG +uid 17981,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17982,0 +va (VaSet +) +xt "79000,43400,83800,44600" +st "dataOut" +blo "79000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*109 (CptPort +uid 17983,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17984,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,47625,78000,48375" +) +tg (CPTG +uid 17985,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17986,0 +va (VaSet +) +xt "79000,47400,82100,48600" +st "write" +blo "79000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*110 (CptPort +uid 17987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17988,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,49625,78000,50375" +) +tg (CPTG +uid 17989,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17990,0 +va (VaSet +) +xt "79000,49400,80900,50600" +st "en" +blo "79000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*111 (CptPort +uid 17991,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17992,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,53625,78000,54375" +) +tg (CPTG +uid 17993,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17994,0 +va (VaSet +) +xt "79000,53400,82400,54600" +st "clock" +blo "79000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*112 (CptPort +uid 17995,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17996,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,55625,78000,56375" +) +tg (CPTG +uid 17997,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 17998,0 +va (VaSet +) +xt "79000,55400,82300,56600" +st "reset" +blo "79000,56400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*113 (CptPort +uid 17999,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18000,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,43625,94750,44375" +) +tg (CPTG +uid 18001,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18002,0 +va (VaSet +) +xt "83100,43400,93000,44600" +st "interpolateLinear" +ju 2 +blo "93000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*114 (CptPort +uid 18003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18004,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,49625,94750,50375" +) +tg (CPTG +uid 18005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18006,0 +va (VaSet +) +xt "85900,49400,93000,50600" +st "patternSize" +ju 2 +blo "93000,50400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 downto 0)" +o 10 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 18008,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,38000,94000,58000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 18009,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 18010,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,57800,83000,59000" +st "Beamer" +blo "78600,58800" +tm "BdLibraryNameMgr" +) +*116 (Text +uid 18011,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,59000,88400,60200" +st "periphControlReg" +blo "78600,60000" +tm "CptNameMgr" +) +*117 (Text +uid 18012,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,60200,80300,61400" +st "I4" +blo "78600,61200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18013,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18014,0 +text (MLText +uid 18015,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,61600,102300,63600" +st "dataBitNb = dataBitNb ( positive ) +patternSizeBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*118 (SaComponent +uid 18179,0 +optionalChildren [ +*119 (CptPort +uid 18155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18156,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,109625,78000,110375" +) +tg (CPTG +uid 18157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18158,0 +va (VaSet +) +xt "79000,109400,80900,110600" +st "en" +blo "79000,110400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*120 (CptPort +uid 18159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,113625,78000,114375" +) +tg (CPTG +uid 18161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18162,0 +va (VaSet +) +xt "79000,113400,82400,114600" +st "clock" +blo "79000,114400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*121 (CptPort +uid 18163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,115625,78000,116375" +) +tg (CPTG +uid 18165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18166,0 +va (VaSet +) +xt "79000,115400,82300,116600" +st "reset" +blo "79000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*122 (CptPort +uid 18167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18168,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,107625,78000,108375" +) +tg (CPTG +uid 18169,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18170,0 +va (VaSet +) +xt "79000,107400,85500,108600" +st "updateMem" +blo "79000,108400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*123 (CptPort +uid 18171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,105625,94750,106375" +) +tg (CPTG +uid 18173,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18174,0 +va (VaSet +) +xt "90100,105400,93000,106600" +st "addr" +ju 2 +blo "93000,106400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*124 (CptPort +uid 18175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,105625,78000,106375" +) +tg (CPTG +uid 18177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18178,0 +va (VaSet +) +xt "79000,105400,86100,106600" +st "patternSize" +blo "79000,106400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 18180,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,102000,94000,118000" +) +oxt "38000,13000,54000,29000" +ttg (MlTextGroup +uid 18181,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 18182,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,117800,83000,119000" +st "Beamer" +blo "78600,118800" +tm "BdLibraryNameMgr" +) +*126 (Text +uid 18183,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,119000,93200,120200" +st "blockRAMAddressCounter" +blo "78600,120000" +tm "CptNameMgr" +) +*127 (Text +uid 18184,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,120200,80900,121400" +st "I20" +blo "78600,121200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18185,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18186,0 +text (MLText +uid 18187,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,121600,100900,122600" +st "addressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*128 (SaComponent +uid 18212,0 +optionalChildren [ +*129 (CptPort +uid 18188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18189,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,159625,78000,160375" +) +tg (CPTG +uid 18190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18191,0 +va (VaSet +) +xt "79000,159400,80900,160600" +st "en" +blo "79000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*130 (CptPort +uid 18192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,163625,78000,164375" +) +tg (CPTG +uid 18194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18195,0 +va (VaSet +) +xt "79000,163400,82400,164600" +st "clock" +blo "79000,164400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*131 (CptPort +uid 18196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,165625,78000,166375" +) +tg (CPTG +uid 18198,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18199,0 +va (VaSet +) +xt "79000,165400,82300,166600" +st "reset" +blo "79000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*132 (CptPort +uid 18200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,157625,78000,158375" +) +tg (CPTG +uid 18202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18203,0 +va (VaSet +) +xt "79000,157400,85500,158600" +st "updateMem" +blo "79000,158400" +) +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*133 (CptPort +uid 18204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,155625,94750,156375" +) +tg (CPTG +uid 18206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18207,0 +va (VaSet +) +xt "90100,155400,93000,156600" +st "addr" +ju 2 +blo "93000,156400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*134 (CptPort +uid 18208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18209,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,155625,78000,156375" +) +tg (CPTG +uid 18210,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18211,0 +va (VaSet +) +xt "79000,155400,86100,156600" +st "patternSize" +blo "79000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 18213,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,152000,94000,168000" +) +oxt "38000,13000,54000,29000" +ttg (MlTextGroup +uid 18214,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +uid 18215,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,167800,83000,169000" +st "Beamer" +blo "78600,168800" +tm "BdLibraryNameMgr" +) +*136 (Text +uid 18216,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,169000,93200,170200" +st "blockRAMAddressCounter" +blo "78600,170000" +tm "CptNameMgr" +) +*137 (Text +uid 18217,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,170200,80900,171400" +st "I30" +blo "78600,171200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18218,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18219,0 +text (MLText +uid 18220,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,171600,100900,172600" +st "addressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*138 (SaComponent +uid 18249,0 +optionalChildren [ +*139 (CptPort +uid 18221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18222,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,69625,94750,70375" +) +tg (CPTG +uid 18223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18224,0 +va (VaSet +) +xt "85000,69400,93000,70600" +st "updatePeriod" +ju 2 +blo "93000,70400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*140 (CptPort +uid 18225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,69625,78000,70375" +) +tg (CPTG +uid 18227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18228,0 +va (VaSet +) +xt "79000,69400,83000,70600" +st "dataIn" +blo "79000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*141 (CptPort +uid 18229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,71625,78000,72375" +) +tg (CPTG +uid 18231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18232,0 +va (VaSet +) +xt "79000,71400,83800,72600" +st "dataOut" +blo "79000,72400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*142 (CptPort +uid 18233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,77625,78000,78375" +) +tg (CPTG +uid 18235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18236,0 +va (VaSet +) +xt "79000,77400,80900,78600" +st "en" +blo "79000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*143 (CptPort +uid 18237,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18238,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,81625,78000,82375" +) +tg (CPTG +uid 18239,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18240,0 +va (VaSet +) +xt "79000,81400,82400,82600" +st "clock" +blo "79000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*144 (CptPort +uid 18241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,83625,78000,84375" +) +tg (CPTG +uid 18243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18244,0 +va (VaSet +) +xt "79000,83400,82300,84600" +st "reset" +blo "79000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +*145 (CptPort +uid 18245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,75625,78000,76375" +) +tg (CPTG +uid 18247,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18248,0 +va (VaSet +) +xt "79000,75400,82100,76600" +st "write" +blo "79000,76400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 18250,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,66000,94000,86000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 18251,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +uid 18252,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,85800,83000,87000" +st "Beamer" +blo "78600,86800" +tm "BdLibraryNameMgr" +) +*147 (Text +uid 18253,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,87000,87800,88200" +st "periphSpeedReg" +blo "78600,88000" +tm "CptNameMgr" +) +*148 (Text +uid 18254,0 +va (VaSet +font "Verdana,9,1" +) +xt "78600,88200,80300,89400" +st "I3" +blo "78600,89200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18255,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18256,0 +text (MLText +uid 18257,0 +va (VaSet +font "Verdana,8,0" +) +xt "78000,89600,102400,91600" +st "dataBitNb = dataBitNb ( positive ) +updatePeriodBitNb = updatePeriodBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "updatePeriodBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*149 (PortIoIn +uid 18266,0 +shape (CompositeShape +uid 18267,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18268,0 +sl 0 +ro 270 +xt "68000,135625,69500,136375" +) +(Line +uid 18269,0 +sl 0 +ro 270 +xt "69500,136000,70000,136000" +pts [ +"69500,136000" +"70000,136000" +] +) +] +) +tg (WTG +uid 18270,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18271,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "57400,135300,67000,136700" +st "newPolynom" +ju 2 +blo "67000,136500" +tm "WireNameMgr" +) +) +) +*150 (SaComponent +uid 19180,0 +optionalChildren [ +*151 (CptPort +uid 19152,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19153,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,153625,110000,154375" +) +tg (CPTG +uid 19154,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19155,0 +va (VaSet +) +xt "111000,153400,115000,154600" +st "dataIn" +blo "111000,154400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*152 (CptPort +uid 19156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "126000,153625,126750,154375" +) +tg (CPTG +uid 19158,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19159,0 +va (VaSet +) +xt "120200,153400,125000,154600" +st "dataOut" +ju 2 +blo "125000,154400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*153 (CptPort +uid 19160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19161,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,161625,110000,162375" +) +tg (CPTG +uid 19162,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19163,0 +va (VaSet +) +xt "111000,161400,112900,162600" +st "en" +blo "111000,162400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*154 (CptPort +uid 19164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,165625,110000,166375" +) +tg (CPTG +uid 19166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19167,0 +va (VaSet +) +xt "111000,165400,114400,166600" +st "clock" +blo "111000,166400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*155 (CptPort +uid 19168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,167625,110000,168375" +) +tg (CPTG +uid 19170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19171,0 +va (VaSet +) +xt "111000,167400,114300,168600" +st "reset" +blo "111000,168400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*156 (CptPort +uid 19172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,159625,110000,160375" +) +tg (CPTG +uid 19174,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19175,0 +va (VaSet +) +xt "111000,159400,114100,160600" +st "write" +blo "111000,160400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*157 (CptPort +uid 19176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,155625,110000,156375" +) +tg (CPTG +uid 19178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19179,0 +va (VaSet +) +xt "111000,155400,113900,156600" +st "addr" +blo "111000,156400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 19181,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "110000,150000,126000,170000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 19182,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +uid 19183,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,169800,115000,171000" +st "Beamer" +blo "110600,170800" +tm "BdLibraryNameMgr" +) +*159 (Text +uid 19184,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,171000,116100,172200" +st "blockRAM" +blo "110600,172000" +tm "CptNameMgr" +) +*160 (Text +uid 19185,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,172200,112900,173400" +st "I18" +blo "110600,173200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19186,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19187,0 +text (MLText +uid 19188,0 +va (VaSet +font "Verdana,8,0" +) +xt "110000,173600,137300,176600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = signalBitNb ( positive ) +initFileSpec = \"$SIMULATION_DIR\\ramYInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR\\ramYInit.txt\"" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*161 (SaComponent +uid 19217,0 +optionalChildren [ +*162 (CptPort +uid 19189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,115625,110000,116375" +) +tg (CPTG +uid 19191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19192,0 +va (VaSet +) +xt "111000,115400,115000,116600" +st "dataIn" +blo "111000,116400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*163 (CptPort +uid 19193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "126000,115625,126750,116375" +) +tg (CPTG +uid 19195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19196,0 +va (VaSet +) +xt "120200,115400,125000,116600" +st "dataOut" +ju 2 +blo "125000,116400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*164 (CptPort +uid 19197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19198,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,123625,110000,124375" +) +tg (CPTG +uid 19199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19200,0 +va (VaSet +) +xt "111000,123400,112900,124600" +st "en" +blo "111000,124400" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*165 (CptPort +uid 19201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,127625,110000,128375" +) +tg (CPTG +uid 19203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19204,0 +va (VaSet +) +xt "111000,127400,114400,128600" +st "clock" +blo "111000,128400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*166 (CptPort +uid 19205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,129625,110000,130375" +) +tg (CPTG +uid 19207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19208,0 +va (VaSet +) +xt "111000,129400,114300,130600" +st "reset" +blo "111000,130400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*167 (CptPort +uid 19209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,121625,110000,122375" +) +tg (CPTG +uid 19211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19212,0 +va (VaSet +) +xt "111000,121400,114100,122600" +st "write" +blo "111000,122400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*168 (CptPort +uid 19213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109250,117625,110000,118375" +) +tg (CPTG +uid 19215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19216,0 +va (VaSet +) +xt "111000,117400,113900,118600" +st "addr" +blo "111000,118400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 19218,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "110000,112000,126000,132000" +) +oxt "38000,9000,54000,29000" +ttg (MlTextGroup +uid 19219,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*169 (Text +uid 19220,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,131800,115000,133000" +st "Beamer" +blo "110600,132800" +tm "BdLibraryNameMgr" +) +*170 (Text +uid 19221,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,133000,116100,134200" +st "blockRAM" +blo "110600,134000" +tm "CptNameMgr" +) +*171 (Text +uid 19222,0 +va (VaSet +font "Verdana,9,1" +) +xt "110600,134200,112900,135400" +st "I17" +blo "110600,135200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19223,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19224,0 +text (MLText +uid 19225,0 +va (VaSet +font "Verdana,8,0" +) +xt "110000,135600,137300,138600" +st "addressBitNb = patternAddressBitNb ( positive ) +dataBitNb = signalBitNb ( positive ) +initFileSpec = \"$SIMULATION_DIR\\ramXInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "initFileSpec" +type "string" +value "\"$SIMULATION_DIR\\ramXInit.txt\"" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*172 (Wire +uid 73,0 +shape (OrthoPolyLine +uid 74,0 +va (VaSet +vasetType 3 +) +xt "74000,54000,77250,54000" +pts [ +"74000,54000" +"77250,54000" +] +) +start &12 +end &111 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 77,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 78,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,52600,77800,54000" +st "clock" +blo "74000,53800" +tm "WireNameMgr" +) +) +on &13 +) +*173 (Wire +uid 157,0 +shape (OrthoPolyLine +uid 158,0 +va (VaSet +vasetType 3 +) +xt "74000,56000,77250,56000" +pts [ +"74000,56000" +"77250,56000" +] +) +start &77 +end &112 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,54600,78100,56000" +st "reset" +blo "74000,55800" +tm "WireNameMgr" +) +) +on &14 +) +*174 (Wire +uid 1057,0 +shape (OrthoPolyLine +uid 1058,0 +va (VaSet +vasetType 3 +) +xt "46750,74000,77250,78000" +pts [ +"46750,74000" +"58000,74000" +"58000,78000" +"77250,78000" +] +) +start &93 +end &142 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1062,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,76600,76600,78000" +st "selSpeed" +blo "70000,77800" +tm "WireNameMgr" +) +) +on &17 +) +*175 (Wire +uid 1065,0 +shape (OrthoPolyLine +uid 1066,0 +va (VaSet +vasetType 3 +) +xt "46750,78000,77250,180000" +pts [ +"46750,78000" +"56000,78000" +"56000,180000" +"77250,180000" +] +) +start &94 +end &57 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,178600,73400,180000" +st "selX" +blo "70000,179800" +tm "WireNameMgr" +) +) +on &18 +) +*176 (Wire +uid 1073,0 +shape (OrthoPolyLine +uid 1074,0 +va (VaSet +vasetType 3 +) +xt "46750,80000,77250,130000" +pts [ +"46750,80000" +"54000,80000" +"54000,130000" +"77250,130000" +] +) +start &95 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1078,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,128600,73300,130000" +st "selY" +blo "70000,129800" +tm "WireNameMgr" +) +) +on &19 +) +*177 (Wire +uid 1332,0 +shape (OrthoPolyLine +uid 1333,0 +va (VaSet +vasetType 3 +) +xt "46750,50000,77250,72000" +pts [ +"46750,72000" +"58000,72000" +"58000,50000" +"77250,50000" +] +) +start &91 +end &110 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1339,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,48600,78000,50000" +st "selControl" +blo "70000,49800" +tm "WireNameMgr" +) +) +on &16 +) +*178 (Wire +uid 1340,0 +shape (OrthoPolyLine +uid 1341,0 +va (VaSet +vasetType 3 +) +xt "70000,48000,77250,48000" +pts [ +"70000,48000" +"77250,48000" +] +) +end &109 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1347,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,46600,74000,48000" +st "write" +blo "70000,47800" +tm "WireNameMgr" +) +) +on &89 +) +*179 (Wire +uid 1350,0 +shape (OrthoPolyLine +uid 1351,0 +va (VaSet +vasetType 3 +) +xt "94750,42000,109250,42000" +pts [ +"94750,42000" +"109250,42000" +] +) +start &105 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1355,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,40600,108900,42000" +st "run" +blo "106000,41800" +tm "WireNameMgr" +) +) +on &20 +) +*180 (Wire +uid 1358,0 +shape (OrthoPolyLine +uid 1359,0 +va (VaSet +vasetType 3 +) +xt "94750,48000,102000,48000" +pts [ +"94750,48000" +"102000,48000" +] +) +start &107 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1363,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,46600,107550,48000" +st "updatePattern" +blo "96750,47800" +tm "WireNameMgr" +) +) +on &21 +) +*181 (Wire +uid 1472,0 +shape (OrthoPolyLine +uid 1473,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,50000,102000,50000" +pts [ +"94750,50000" +"102000,50000" +] +) +start &114 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1477,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,48600,105650,50000" +st "patternSize" +blo "96750,49800" +tm "WireNameMgr" +) +) +on &22 +) +*182 (Wire +uid 1526,0 +optionalChildren [ +*183 (BdJunction +uid 1538,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1539,0 +va (VaSet +vasetType 1 +) +xt "61600,41600,62400,42400" +radius 400 +) +) +*184 (BdJunction +uid 1736,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1737,0 +va (VaSet +vasetType 1 +) +xt "61600,69600,62400,70400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1527,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,42000,62000,86000" +pts [ +"62000,86000" +"62000,42000" +"46000,42000" +] +) +start &84 +end &79 +sat 1 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1532,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1533,0 +va (VaSet +font "Verdana,12,0" +) +xt "46000,40600,51000,42000" +st "dataIn" +blo "46000,41800" +tm "WireNameMgr" +) +) +on &78 +) +*185 (Wire +uid 1534,0 +shape (OrthoPolyLine +uid 1535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,42000,77250,42000" +pts [ +"62000,42000" +"77250,42000" +] +) +start &183 +end &106 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1537,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,40600,76000,42000" +st "dataIn" +blo "71000,41800" +tm "WireNameMgr" +) +) +on &78 +) +*186 (Wire +uid 1583,0 +optionalChildren [ +*187 (BdJunction +uid 2856,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2857,0 +va (VaSet +vasetType 1 +) +xt "65600,71600,66400,72400" +radius 400 +) +) +*188 (BdJunction +uid 2864,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2865,0 +va (VaSet +vasetType 1 +) +xt "65600,43600,66400,44400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1584,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,26000,66000,76000" +pts [ +"66000,76000" +"66000,26000" +"46000,26000" +] +) +end &100 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1587,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,24600,55000,26000" +st "dataOut" +blo "49000,25800" +tm "WireNameMgr" +) +) +on &23 +) +*189 (Wire +uid 1732,0 +shape (OrthoPolyLine +uid 1733,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,70000,77250,70000" +pts [ +"62000,70000" +"77250,70000" +] +) +start &184 +end &140 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1735,0 +va (VaSet +font "Verdana,12,0" +) +xt "72250,68600,77250,70000" +st "dataIn" +blo "72250,69800" +tm "WireNameMgr" +) +) +on &78 +) +*190 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66000,72000,77250,72000" +pts [ +"66000,72000" +"77250,72000" +] +) +start &187 +end &141 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1741,0 +va (VaSet +font "Verdana,12,0" +) +xt "71250,70600,77250,72000" +st "dataOut" +blo "71250,71800" +tm "WireNameMgr" +) +) +on &23 +) +*191 (Wire +uid 1744,0 +shape (OrthoPolyLine +uid 1745,0 +va (VaSet +vasetType 3 +) +xt "74000,84000,77250,84000" +pts [ +"74000,84000" +"77250,84000" +] +) +end &144 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1751,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,82600,78100,84000" +st "reset" +blo "74000,83800" +tm "WireNameMgr" +) +) +on &14 +) +*192 (Wire +uid 1752,0 +shape (OrthoPolyLine +uid 1753,0 +va (VaSet +vasetType 3 +) +xt "74000,82000,77250,82000" +pts [ +"74000,82000" +"77250,82000" +] +) +end &143 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1758,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1759,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,80600,77800,82000" +st "clock" +blo "74000,81800" +tm "WireNameMgr" +) +) +on &13 +) +*193 (Wire +uid 1778,0 +shape (OrthoPolyLine +uid 1779,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,70000,109250,70000" +pts [ +"94750,70000" +"109250,70000" +] +) +start &139 +end &75 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1782,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1783,0 +va (VaSet +font "Verdana,12,0" +) +xt "96000,68600,106100,70000" +st "updatePeriod" +blo "96000,69800" +tm "WireNameMgr" +) +) +on &24 +) +*194 (Wire +uid 2478,0 +shape (OrthoPolyLine +uid 2479,0 +va (VaSet +vasetType 3 +) +xt "106000,166000,109250,166000" +pts [ +"106000,166000" +"109250,166000" +] +) +end &154 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2485,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,164600,109800,166000" +st "clock" +blo "106000,165800" +tm "WireNameMgr" +) +) +on &13 +) +*195 (Wire +uid 2486,0 +shape (OrthoPolyLine +uid 2487,0 +va (VaSet +vasetType 3 +) +xt "106000,168000,109250,168000" +pts [ +"106000,168000" +"109250,168000" +] +) +end &155 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2493,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,166600,110100,168000" +st "reset" +blo "106000,167800" +tm "WireNameMgr" +) +) +on &14 +) +*196 (Wire +uid 2638,0 +shape (OrthoPolyLine +uid 2639,0 +va (VaSet +vasetType 3 +) +xt "94750,160000,109250,184000" +pts [ +"94750,184000" +"102000,184000" +"102000,160000" +"109250,160000" +] +) +start &56 +end &156 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2640,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,158600,109400,160000" +st "memWrX" +blo "103000,159800" +tm "WireNameMgr" +) +) +on &27 +) +*197 (Wire +uid 2644,0 +shape (OrthoPolyLine +uid 2645,0 +va (VaSet +vasetType 3 +) +xt "94750,162000,109250,186000" +pts [ +"94750,186000" +"104000,186000" +"104000,162000" +"109250,162000" +] +) +start &58 +end &153 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2646,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2647,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,160600,109200,162000" +st "memEnX" +blo "103000,161800" +tm "WireNameMgr" +) +) +on &28 +) +*198 (Wire +uid 2648,0 +shape (OrthoPolyLine +uid 2649,0 +va (VaSet +vasetType 3 +) +xt "70000,184000,77250,184000" +pts [ +"70000,184000" +"77250,184000" +] +) +end &59 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2655,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,182600,79800,184000" +st "updatePattern" +blo "69000,183800" +tm "WireNameMgr" +) +) +on &21 +) +*199 (Wire +uid 2772,0 +shape (OrthoPolyLine +uid 2773,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,156000,109250,156000" +pts [ +"94750,156000" +"109250,156000" +] +) +start &133 +end &157 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2774,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2775,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,154600,109500,156000" +st "addrX" +blo "105000,155800" +tm "WireNameMgr" +) +) +on &25 +) +*200 (Wire +uid 2778,0 +shape (OrthoPolyLine +uid 2779,0 +va (VaSet +vasetType 3 +) +xt "74000,166000,77250,166000" +pts [ +"74000,166000" +"77250,166000" +] +) +end &131 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2785,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,164600,78100,166000" +st "reset" +blo "74000,165800" +tm "WireNameMgr" +) +) +on &14 +) +*201 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +) +xt "74000,164000,77250,164000" +pts [ +"74000,164000" +"77250,164000" +] +) +end &130 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2792,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2793,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,162600,77800,164000" +st "clock" +blo "74000,163800" +tm "WireNameMgr" +) +) +on &13 +) +*202 (Wire +uid 2844,0 +shape (OrthoPolyLine +uid 2845,0 +va (VaSet +vasetType 3 +) +xt "72000,160000,98000,180000" +pts [ +"94750,180000" +"98000,180000" +"98000,174000" +"72000,174000" +"72000,160000" +"77250,160000" +] +) +start &61 +end &129 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2846,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2847,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,158600,77900,160000" +st "cntIncrX" +blo "72000,159800" +tm "WireNameMgr" +) +) +on &26 +) +*203 (Wire +uid 2860,0 +shape (OrthoPolyLine +uid 2861,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66000,44000,77250,44000" +pts [ +"66000,44000" +"77250,44000" +] +) +start &188 +end &108 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2862,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2863,0 +va (VaSet +font "Verdana,12,0" +) +xt "71250,42600,77250,44000" +st "dataOut" +blo "71250,43800" +tm "WireNameMgr" +) +) +on &23 +) +*204 (Wire +uid 2866,0 +shape (OrthoPolyLine +uid 2867,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,156000,77250,156000" +pts [ +"70000,156000" +"77250,156000" +] +) +end &134 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2873,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,154600,77900,156000" +st "patternSize" +blo "69000,155800" +tm "WireNameMgr" +) +) +on &22 +) +*205 (Wire +uid 2919,0 +shape (OrthoPolyLine +uid 2920,0 +va (VaSet +vasetType 3 +) +xt "70000,186000,77250,186000" +pts [ +"77250,186000" +"70000,186000" +] +) +start &62 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2926,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,184600,78600,186000" +st "newPolynom" +blo "69000,185800" +tm "WireNameMgr" +) +) +on &15 +) +*206 (Wire +uid 2996,0 +shape (OrthoPolyLine +uid 2997,0 +va (VaSet +vasetType 3 +) +xt "74000,192000,77250,192000" +pts [ +"74000,192000" +"77250,192000" +] +) +end &64 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3003,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,190600,78100,192000" +st "reset" +blo "74000,191800" +tm "WireNameMgr" +) +) +on &14 +) +*207 (Wire +uid 3004,0 +shape (OrthoPolyLine +uid 3005,0 +va (VaSet +vasetType 3 +) +xt "74000,190000,77250,190000" +pts [ +"74000,190000" +"77250,190000" +] +) +end &63 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3010,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3011,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,188600,77800,190000" +st "clock" +blo "74000,189800" +tm "WireNameMgr" +) +) +on &13 +) +*208 (Wire +uid 3094,0 +shape (OrthoPolyLine +uid 3095,0 +va (VaSet +vasetType 3 +) +xt "70000,158000,77250,158000" +pts [ +"70000,158000" +"77250,158000" +] +) +end &132 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3101,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,156600,79800,158000" +st "updatePattern" +blo "69000,157800" +tm "WireNameMgr" +) +) +on &21 +) +*209 (Wire +uid 3146,0 +shape (OrthoPolyLine +uid 3147,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "126750,154000,134000,154000" +pts [ +"126750,154000" +"134000,154000" +] +) +start &152 +end &73 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3150,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3151,0 +va (VaSet +font "Verdana,12,0" +) +xt "128000,152600,132600,154000" +st "memX" +blo "128000,153800" +tm "WireNameMgr" +) +) +on &29 +) +*210 (Wire +uid 3751,0 +shape (OrthoPolyLine +uid 3752,0 +va (VaSet +vasetType 3 +) +xt "106000,130000,109250,130000" +pts [ +"106000,130000" +"109250,130000" +] +) +end &166 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3756,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,128600,110100,130000" +st "reset" +blo "106000,129800" +tm "WireNameMgr" +) +) +on &14 +) +*211 (Wire +uid 3757,0 +shape (OrthoPolyLine +uid 3758,0 +va (VaSet +vasetType 3 +) +xt "106000,128000,109250,128000" +pts [ +"106000,128000" +"109250,128000" +] +) +end &165 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3762,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,126600,109800,128000" +st "clock" +blo "106000,127800" +tm "WireNameMgr" +) +) +on &13 +) +*212 (Wire +uid 3763,0 +shape (OrthoPolyLine +uid 3764,0 +va (VaSet +vasetType 3 +) +xt "70000,134000,77250,134000" +pts [ +"70000,134000" +"77250,134000" +] +) +end &46 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3768,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,132600,79800,134000" +st "updatePattern" +blo "69000,133800" +tm "WireNameMgr" +) +) +on &21 +) +*213 (Wire +uid 3769,0 +shape (OrthoPolyLine +uid 3770,0 +va (VaSet +vasetType 3 +) +xt "94750,124000,109250,136000" +pts [ +"94750,136000" +"102000,136000" +"102000,124000" +"109250,124000" +] +) +start &45 +end &164 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3772,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,122600,109100,124000" +st "memEnY" +blo "103000,123800" +tm "WireNameMgr" +) +) +on &33 +) +*214 (Wire +uid 3773,0 +shape (OrthoPolyLine +uid 3774,0 +va (VaSet +vasetType 3 +) +xt "94750,122000,109250,134000" +pts [ +"94750,134000" +"100000,134000" +"100000,122000" +"109250,122000" +] +) +start &43 +end &167 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3776,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,120600,109300,122000" +st "memWrY" +blo "103000,121800" +tm "WireNameMgr" +) +) +on &32 +) +*215 (Wire +uid 3777,0 +shape (OrthoPolyLine +uid 3778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,106000,109250,118000" +pts [ +"94750,106000" +"98000,106000" +"98000,118000" +"109250,118000" +] +) +start &123 +end &168 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3779,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3780,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,116600,109400,118000" +st "addrY" +blo "105000,117800" +tm "WireNameMgr" +) +) +on &31 +) +*216 (Wire +uid 3793,0 +shape (OrthoPolyLine +uid 3794,0 +va (VaSet +vasetType 3 +) +xt "72000,110000,98000,130000" +pts [ +"94750,130000" +"98000,130000" +"98000,124000" +"72000,124000" +"72000,110000" +"77250,110000" +] +) +start &48 +end &119 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3796,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,108600,77800,110000" +st "cntIncrY" +blo "72000,109800" +tm "WireNameMgr" +) +) +on &30 +) +*217 (Wire +uid 3797,0 +shape (OrthoPolyLine +uid 3798,0 +va (VaSet +vasetType 3 +) +xt "70000,136000,77250,136000" +pts [ +"77250,136000" +"70000,136000" +] +) +start &49 +end &149 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3801,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3802,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,134600,78600,136000" +st "newPolynom" +blo "69000,135800" +tm "WireNameMgr" +) +) +on &15 +) +*218 (Wire +uid 3803,0 +shape (OrthoPolyLine +uid 3804,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,106000,77250,106000" +pts [ +"70000,106000" +"77250,106000" +] +) +end &124 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3808,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,104600,77900,106000" +st "patternSize" +blo "69000,105800" +tm "WireNameMgr" +) +) +on &22 +) +*219 (Wire +uid 3809,0 +shape (OrthoPolyLine +uid 3810,0 +va (VaSet +vasetType 3 +) +xt "70000,108000,77250,108000" +pts [ +"70000,108000" +"77250,108000" +] +) +end &122 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3813,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3814,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,106600,79800,108000" +st "updatePattern" +blo "69000,107800" +tm "WireNameMgr" +) +) +on &21 +) +*220 (Wire +uid 3815,0 +shape (OrthoPolyLine +uid 3816,0 +va (VaSet +vasetType 3 +) +xt "74000,140000,77250,140000" +pts [ +"74000,140000" +"77250,140000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3820,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,138600,77800,140000" +st "clock" +blo "74000,139800" +tm "WireNameMgr" +) +) +on &13 +) +*221 (Wire +uid 3866,0 +shape (OrthoPolyLine +uid 3867,0 +va (VaSet +vasetType 3 +) +xt "74000,114000,77250,114000" +pts [ +"74000,114000" +"77250,114000" +] +) +end &120 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3872,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3873,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,112600,77800,114000" +st "clock" +blo "74000,113800" +tm "WireNameMgr" +) +) +on &13 +) +*222 (Wire +uid 3874,0 +shape (OrthoPolyLine +uid 3875,0 +va (VaSet +vasetType 3 +) +xt "74000,116000,77250,116000" +pts [ +"74000,116000" +"77250,116000" +] +) +end &121 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3880,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3881,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,114600,78100,116000" +st "reset" +blo "74000,115800" +tm "WireNameMgr" +) +) +on &14 +) +*223 (Wire +uid 3882,0 +shape (OrthoPolyLine +uid 3883,0 +va (VaSet +vasetType 3 +) +xt "74000,142000,77250,142000" +pts [ +"74000,142000" +"77250,142000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3889,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,140600,78100,142000" +st "reset" +blo "74000,141800" +tm "WireNameMgr" +) +) +on &14 +) +*224 (Wire +uid 3907,0 +shape (OrthoPolyLine +uid 3908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "126750,116000,134000,116000" +pts [ +"126750,116000" +"134000,116000" +] +) +start &163 +end &72 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3912,0 +va (VaSet +font "Verdana,12,0" +) +xt "128750,114600,133250,116000" +st "memY" +blo "128750,115800" +tm "WireNameMgr" +) +) +on &34 +) +*225 (Wire +uid 4047,0 +shape (OrthoPolyLine +uid 4048,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "135000,4000,143000,4000" +pts [ +"135000,4000" +"143000,4000" +] +) +start &37 +end &35 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4051,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4052,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,2600,143600,4000" +st "testOut" +blo "138000,3800" +tm "WireNameMgr" +) +) +on &36 +) +*226 (Wire +uid 7907,0 +shape (OrthoPolyLine +uid 7908,0 +va (VaSet +vasetType 3 +) +xt "70000,132000,77250,132000" +pts [ +"70000,132000" +"77250,132000" +] +) +end &47 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7913,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7914,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,130600,74000,132000" +st "write" +blo "70000,131800" +tm "WireNameMgr" +) +) +on &89 +) +*227 (Wire +uid 7915,0 +shape (OrthoPolyLine +uid 7916,0 +va (VaSet +vasetType 3 +) +xt "70000,182000,77250,182000" +pts [ +"70000,182000" +"77250,182000" +] +) +end &60 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7921,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7922,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,180600,74000,182000" +st "write" +blo "70000,181800" +tm "WireNameMgr" +) +) +on &89 +) +*228 (Wire +uid 8150,0 +shape (OrthoPolyLine +uid 8151,0 +va (VaSet +vasetType 3 +) +xt "94750,44000,109000,44000" +pts [ +"94750,44000" +"109000,44000" +] +) +start &113 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8154,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8155,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,42600,111300,44000" +st "interpolateLin" +blo "101000,43800" +tm "WireNameMgr" +) +) +on &41 +) +*229 (Wire +uid 13134,0 +shape (OrthoPolyLine +uid 13135,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,42000,30000,42000" +pts [ +"22000,42000" +"30000,42000" +] +) +start &68 +end &79 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13138,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13139,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,40600,25500,42000" +st "apbi" +blo "22000,41800" +tm "WireNameMgr" +) +) +on &69 +) +*230 (Wire +uid 13379,0 +shape (OrthoPolyLine +uid 13380,0 +va (VaSet +vasetType 3 +) +xt "22000,26000,30000,26000" +pts [ +"30000,26000" +"22000,26000" +] +) +start &100 +end &70 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13383,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13384,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,24600,26000,26000" +st "apbo" +blo "22000,25800" +tm "WireNameMgr" +) +) +on &71 +) +*231 (Wire +uid 16718,0 +shape (OrthoPolyLine +uid 16719,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,44000,50000,72000" +pts [ +"29250,72000" +"26000,72000" +"26000,64000" +"50000,64000" +"50000,44000" +"46000,44000" +] +) +start &92 +end &79 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16722,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16723,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,42600,51700,44000" +st "addr" +blo "48000,43800" +tm "WireNameMgr" +) +) +on &83 +) +*232 (Wire +uid 17156,0 +optionalChildren [ +*233 (BdJunction +uid 18482,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18483,0 +va (VaSet +vasetType 1 +) +xt "61600,99600,62400,100400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17157,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,94000,109250,116000" +pts [ +"109250,116000" +"106000,116000" +"106000,100000" +"62000,100000" +"62000,94000" +] +) +start &162 +end &84 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17160,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17161,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,114600,110100,116000" +st "memDataIn" +blo "102000,115800" +tm "WireNameMgr" +) +) +on &88 +) +*234 (Wire +uid 17164,0 +shape (OrthoPolyLine +uid 17165,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62000,100000,109250,154000" +pts [ +"109250,154000" +"106000,154000" +"106000,150000" +"62000,150000" +"62000,100000" +] +) +start &151 +end &233 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17170,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17171,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,152600,109100,154000" +st "memDataIn" +blo "101000,153800" +tm "WireNameMgr" +) +) +on &88 +) +*235 (Wire +uid 17515,0 +shape (OrthoPolyLine +uid 17516,0 +va (VaSet +vasetType 3 +) +xt "46000,46000,54000,46000" +pts [ +"46000,46000" +"54000,46000" +] +) +start &79 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17521,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17522,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,44600,55000,46000" +st "write" +blo "51000,45800" +tm "WireNameMgr" +) +) +on &89 +) +*236 (Wire +uid 18258,0 +shape (OrthoPolyLine +uid 18259,0 +va (VaSet +vasetType 3 +) +xt "70000,76000,77250,76000" +pts [ +"70000,76000" +"77250,76000" +] +) +end &145 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18264,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18265,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,74600,74000,76000" +st "write" +blo "70000,75800" +tm "WireNameMgr" +) +) +on &89 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *237 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*238 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*239 (MLText +uid 44,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*240 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*241 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*242 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*243 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*244 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*245 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*246 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-2784,-2784,374267,202077" +cachedDiagramExtent "0,0,166900,198000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "ipp://ippsion.hevs.ch/ipp/PREA309_HPLJ3005DN" +toPrinter 1 +colour 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 50 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 19720,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*247 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*248 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*249 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*250 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*251 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*252 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*253 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*254 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*255 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*256 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*257 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*258 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*259 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*260 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*261 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*262 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*263 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*264 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*265 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*266 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*267 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,99400,7000,100400" +st "Declarations" +blo "0,100200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,100400,3400,101400" +st "Ports:" +blo "0,101200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,110200,4800,111200" +st "Pre User:" +blo "0,111000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,111200,25800,113200" +st "constant addressBitNb: positive := apbi.paddr'length; +constant dataBitNb : positive := apbi.pwdata'length;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,112800,9000,113800" +st "Diagram Signals:" +blo "0,113600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,99400,6000,100400" +st "Post User:" +blo "0,100200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,99400,0,99400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 78,0 +usingSuid 1 +emptyRow *268 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*269 (RefLabelRowHdr +) +*270 (TitleRowHdr +) +*271 (FilterRowHdr +) +*272 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*273 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*274 (GroupColHdr +tm "GroupColHdrMgr" +) +*275 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*276 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*277 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*278 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*279 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*280 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*281 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 10635,0 +) +*282 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 7,0 +) +) +uid 10645,0 +) +*283 (LeafLogPort +port (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 10,0 +) +) +uid 10651,0 +) +*284 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selControl" +t "std_ulogic" +o 24 +suid 33,0 +) +) +uid 10697,0 +) +*285 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 25 +suid 35,0 +) +) +uid 10701,0 +) +*286 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selX" +t "std_ulogic" +o 26 +suid 36,0 +) +) +uid 10703,0 +) +*287 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selY" +t "std_ulogic" +o 27 +suid 37,0 +) +) +uid 10705,0 +) +*288 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 10707,0 +) +*289 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 28 +suid 39,0 +) +) +uid 10709,0 +) +*290 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 23 +suid 40,0 +) +) +uid 10711,0 +) +*291 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 41,0 +) +) +uid 10713,0 +) +*292 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 42,0 +) +) +uid 10715,0 +) +*293 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrX" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 13 +suid 44,0 +) +) +uid 10719,0 +) +*294 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrX" +t "std_ulogic" +o 15 +suid 45,0 +) +) +uid 10721,0 +) +*295 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrX" +t "std_ulogic" +o 21 +suid 46,0 +) +) +uid 10723,0 +) +*296 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnX" +t "std_ulogic" +o 19 +suid 47,0 +) +) +uid 10725,0 +) +*297 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 48,0 +) +) +uid 10727,0 +) +*298 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cntIncrY" +t "std_ulogic" +o 16 +suid 49,0 +) +) +uid 10729,0 +) +*299 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrY" +t "unsigned" +b "(patternAddressBitNb-1 DOWNTO 0)" +o 14 +suid 50,0 +) +) +uid 10731,0 +) +*300 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrY" +t "std_ulogic" +o 22 +suid 51,0 +) +) +uid 10733,0 +) +*301 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memEnY" +t "std_ulogic" +o 20 +suid 52,0 +) +) +uid 10735,0 +) +*302 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 53,0 +) +) +uid 10737,0 +) +*303 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 4 +suid 54,0 +) +) +uid 10739,0 +) +*304 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 9 +suid 70,0 +) +) +uid 10771,0 +) +*305 (LeafLogPort +port (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 1 +suid 71,0 +) +) +uid 13127,0 +) +*306 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 3 +suid 72,0 +) +) +uid 13372,0 +) +*307 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 74,0 +) +) +uid 16726,0 +) +*308 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 12 +suid 75,0 +) +) +uid 16728,0 +) +*309 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 30 +suid 77,0 +) +) +uid 17172,0 +) +*310 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "write" +t "std_ulogic" +o 29 +suid 78,0 +) +) +uid 17556,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*311 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *312 (MRCItem +litem &268 +pos 30 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*313 (MRCItem +litem &269 +pos 0 +dimension 20 +uid 10790,0 +) +*314 (MRCItem +litem &270 +pos 1 +dimension 23 +uid 10791,0 +) +*315 (MRCItem +litem &271 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*316 (MRCItem +litem &281 +pos 1 +dimension 20 +uid 10636,0 +) +*317 (MRCItem +litem &282 +pos 9 +dimension 20 +uid 10646,0 +) +*318 (MRCItem +litem &283 +pos 10 +dimension 20 +uid 10652,0 +) +*319 (MRCItem +litem &284 +pos 12 +dimension 20 +uid 10698,0 +) +*320 (MRCItem +litem &285 +pos 13 +dimension 20 +uid 10702,0 +) +*321 (MRCItem +litem &286 +pos 14 +dimension 20 +uid 10704,0 +) +*322 (MRCItem +litem &287 +pos 15 +dimension 20 +uid 10706,0 +) +*323 (MRCItem +litem &288 +pos 4 +dimension 20 +uid 10708,0 +) +*324 (MRCItem +litem &289 +pos 16 +dimension 20 +uid 10710,0 +) +*325 (MRCItem +litem &290 +pos 17 +dimension 20 +uid 10712,0 +) +*326 (MRCItem +litem &291 +pos 11 +dimension 20 +uid 10714,0 +) +*327 (MRCItem +litem &292 +pos 5 +dimension 20 +uid 10716,0 +) +*328 (MRCItem +litem &293 +pos 18 +dimension 20 +uid 10720,0 +) +*329 (MRCItem +litem &294 +pos 19 +dimension 20 +uid 10722,0 +) +*330 (MRCItem +litem &295 +pos 20 +dimension 20 +uid 10724,0 +) +*331 (MRCItem +litem &296 +pos 21 +dimension 20 +uid 10726,0 +) +*332 (MRCItem +litem &297 +pos 6 +dimension 20 +uid 10728,0 +) +*333 (MRCItem +litem &298 +pos 22 +dimension 20 +uid 10730,0 +) +*334 (MRCItem +litem &299 +pos 23 +dimension 20 +uid 10732,0 +) +*335 (MRCItem +litem &300 +pos 24 +dimension 20 +uid 10734,0 +) +*336 (MRCItem +litem &301 +pos 25 +dimension 20 +uid 10736,0 +) +*337 (MRCItem +litem &302 +pos 7 +dimension 20 +uid 10738,0 +) +*338 (MRCItem +litem &303 +pos 3 +dimension 20 +uid 10740,0 +) +*339 (MRCItem +litem &304 +pos 8 +dimension 20 +uid 10772,0 +) +*340 (MRCItem +litem &305 +pos 0 +dimension 20 +uid 13126,0 +) +*341 (MRCItem +litem &306 +pos 2 +dimension 20 +uid 13371,0 +) +*342 (MRCItem +litem &307 +pos 26 +dimension 20 +uid 16727,0 +) +*343 (MRCItem +litem &308 +pos 27 +dimension 20 +uid 16729,0 +) +*344 (MRCItem +litem &309 +pos 28 +dimension 20 +uid 17173,0 +) +*345 (MRCItem +litem &310 +pos 29 +dimension 20 +uid 17557,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*346 (MRCItem +litem &272 +pos 0 +dimension 20 +uid 10794,0 +) +*347 (MRCItem +litem &274 +pos 1 +dimension 50 +uid 10795,0 +) +*348 (MRCItem +litem &275 +pos 2 +dimension 100 +uid 10796,0 +) +*349 (MRCItem +litem &276 +pos 3 +dimension 50 +uid 10797,0 +) +*350 (MRCItem +litem &277 +pos 4 +dimension 100 +uid 10798,0 +) +*351 (MRCItem +litem &278 +pos 5 +dimension 100 +uid 10799,0 +) +*352 (MRCItem +litem &279 +pos 6 +dimension 50 +uid 10800,0 +) +*353 (MRCItem +litem &280 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *354 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*355 (RefLabelRowHdr +) +*356 (TitleRowHdr +) +*357 (FilterRowHdr +) +*358 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*359 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*360 (GroupColHdr +tm "GroupColHdrMgr" +) +*361 (NameColHdr +tm "GenericNameColHdrMgr" +) +*362 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*363 (InitColHdr +tm "GenericValueColHdrMgr" +) +*364 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*365 (EolColHdr +tm "GenericEolColHdrMgr" +) +*366 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 12900,0 +) +*367 (LogGeneric +generic (GiElement +name "pindex" +type "natural" +value "" +) +uid 13874,0 +) +*368 (LogGeneric +generic (GiElement +name "paddr" +type "positive" +value "" +) +uid 14113,0 +) +*369 (LogGeneric +generic (GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +uid 14352,0 +) +*370 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 15331,0 +) +*371 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 16243,0 +) +*372 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +uid 17007,0 +) +] +) +pdm (PhysicalDM +uid 10815,0 +optionalChildren [ +*373 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *374 (MRCItem +litem &354 +pos 7 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*375 (MRCItem +litem &355 +pos 0 +dimension 20 +uid 10818,0 +) +*376 (MRCItem +litem &356 +pos 1 +dimension 23 +uid 10819,0 +) +*377 (MRCItem +litem &357 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*378 (MRCItem +litem &366 +pos 6 +dimension 20 +uid 12899,0 +) +*379 (MRCItem +litem &367 +pos 0 +dimension 20 +uid 13873,0 +) +*380 (MRCItem +litem &368 +pos 1 +dimension 20 +uid 14112,0 +) +*381 (MRCItem +litem &369 +pos 2 +dimension 20 +uid 14351,0 +) +*382 (MRCItem +litem &370 +pos 3 +dimension 20 +uid 15330,0 +) +*383 (MRCItem +litem &371 +pos 4 +dimension 20 +uid 16242,0 +) +*384 (MRCItem +litem &372 +pos 5 +dimension 20 +uid 17006,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*385 (MRCItem +litem &358 +pos 0 +dimension 20 +uid 10822,0 +) +*386 (MRCItem +litem &360 +pos 1 +dimension 50 +uid 10823,0 +) +*387 (MRCItem +litem &361 +pos 2 +dimension 100 +uid 10824,0 +) +*388 (MRCItem +litem &362 +pos 3 +dimension 100 +uid 10825,0 +) +*389 (MRCItem +litem &363 +pos 4 +dimension 50 +uid 10826,0 +) +*390 (MRCItem +litem &364 +pos 5 +dimension 50 +uid 10827,0 +) +*391 (MRCItem +litem &365 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/symbol.sb new file mode 100644 index 0000000..a8f837d --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@periph@registers/symbol.sb @@ -0,0 +1,2182 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "grlib" +unitName "amba" +) +] +libraryRefs [ +"ieee" +"grlib" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2022,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 3 +suid 11,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 1 +suid 2,0 +) +) +uid 209,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 4 +suid 12,0 +) +) +uid 214,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +uid 525,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +uid 527,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 2016,0 +) +) +uid 529,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 2017,0 +) +) +uid 531,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 9 +suid 2018,0 +) +) +uid 533,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 2021,0 +) +) +uid 766,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2022,0 +) +) +uid 840,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 132,0 +optionalChildren [ +*27 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 137,0 +) +*29 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*30 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 162,0 +) +*31 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 163,0 +) +*32 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 165,0 +) +*33 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 170,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 524,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 526,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 528,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 530,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 532,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 767,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 839,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*41 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 141,0 +) +*42 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 145,0 +) +*43 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 149,0 +) +*45 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 151,0 +) +*46 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 153,0 +) +*47 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 155,0 +) +*48 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "pindex" +type "natural" +value "" +) +uid 196,0 +) +*62 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 197,0 +) +*63 (LogGeneric +generic (GiElement +name "paddr" +type "positive" +value "" +) +uid 474,0 +) +*64 (LogGeneric +generic (GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +uid 476,0 +) +*65 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 651,0 +) +*66 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 736,0 +) +*67 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +uid 814,0 +) +] +) +pdm (PhysicalDM +uid 218,0 +optionalChildren [ +*68 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *69 (MRCItem +litem &49 +pos 7 +dimension 20 +) +uid 172,0 +optionalChildren [ +*70 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 175,0 +) +*71 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 177,0 +) +*72 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*73 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 198,0 +) +*74 (MRCItem +litem &62 +pos 6 +dimension 20 +uid 199,0 +) +*75 (MRCItem +litem &63 +pos 1 +dimension 20 +uid 475,0 +) +*76 (MRCItem +litem &64 +pos 2 +dimension 20 +uid 477,0 +) +*77 (MRCItem +litem &65 +pos 3 +dimension 20 +uid 652,0 +) +*78 (MRCItem +litem &66 +pos 4 +dimension 20 +uid 737,0 +) +*79 (MRCItem +litem &67 +pos 5 +dimension 20 +uid 815,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*80 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*81 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 185,0 +) +*82 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 187,0 +) +*83 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 189,0 +) +*84 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 191,0 +) +*85 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 193,0 +) +*86 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@registers/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@registers/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@registers" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphRegisters" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphRegisters" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:21" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerPeriphRegisters" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@periph@registers/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerPeriphRegisters/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:21" +) +(vvPair +variable "unit" +value "beamerPeriphRegisters" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*87 (SymbolBody +uid 8,0 +optionalChildren [ +*88 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,25625,36000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "37000,25400,39500,26300" +st "clock" +blo "37000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12700,21000,13600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*89 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,15625,36000,16375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "37000,15400,39000,16300" +st "apbi" +blo "37000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11800,23500,12700" +st "apbi : IN apb_slv_in_type ;" +) +thePort (LogicalPort +decl (Decl +n "apbi" +t "apb_slv_in_type" +o 1 +suid 2,0 +) +) +) +*90 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +font "courier,9,0" +) +xt "37000,17400,39000,18300" +st "apbo" +blo "37000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13600,24000,14500" +st "apbo : OUT apb_slv_out_type ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "apbo" +t "apb_slv_out_type" +o 3 +suid 11,0 +) +) +) +*91 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,11250,44375,12000" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "42000,13000,45500,13900" +st "testOut" +blo "42000,13700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14500,34000,15400" +st "testOut : OUT std_ulogic_vector (1 TO testOutBitNb) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 4 +suid 12,0 +) +) +) +*92 (CptPort +uid 534,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 535,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,15625,52750,16375" +) +tg (CPTG +uid 536,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 537,0 +va (VaSet +font "courier,9,0" +) +xt "49500,15400,51000,16300" +st "run" +ju 2 +blo "51000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17200,21000,18100" +st "run : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 7 +suid 2014,0 +) +) +) +*93 (CptPort +uid 539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,19625,52750,20375" +) +tg (CPTG +uid 541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 542,0 +va (VaSet +font "courier,9,0" +) +xt "44500,19400,51000,20300" +st "updatePeriod" +ju 2 +blo "51000,20100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 543,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18100,35000,19000" +st "updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 8 +suid 2015,0 +) +) +) +*94 (CptPort +uid 544,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 545,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 546,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 547,0 +va (VaSet +font "courier,9,0" +) +xt "49000,23400,51000,24300" +st "memX" +ju 2 +blo "51000,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 548,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15400,36500,16300" +st "memX : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memX" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +suid 2016,0 +) +) +) +*95 (CptPort +uid 549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,25625,52750,26375" +) +tg (CPTG +uid 551,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 552,0 +va (VaSet +font "courier,9,0" +) +xt "49000,25400,51000,26300" +st "memY" +ju 2 +blo "51000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 553,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16300,36500,17200" +st "memY : OUT std_ulogic_vector (signalBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memY" +t "std_ulogic_vector" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +suid 2017,0 +) +) +) +*96 (CptPort +uid 554,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 555,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 556,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 557,0 +va (VaSet +font "courier,9,0" +) +xt "43500,17400,51000,18300" +st "interpolateLin" +ju 2 +blo "51000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 558,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19000,21000,19900" +st "interpolateLin : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLin" +t "std_ulogic" +o 9 +suid 2018,0 +) +) +) +*97 (CptPort +uid 761,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 762,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,27625,36000,28375" +) +tg (CPTG +uid 763,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 764,0 +va (VaSet +font "courier,9,0" +) +xt "37000,27400,39500,28300" +st "reset" +blo "37000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 765,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19900,21000,20800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 2021,0 +) +) +) +*98 (CptPort +uid 841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 842,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,21625,52750,22375" +) +tg (CPTG +uid 843,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 844,0 +va (VaSet +font "courier,9,0" +) +xt "45500,21400,51000,22300" +st "newPolynom" +ju 2 +blo "51000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 845,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20800,20000,21700" +st "newPolynom : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "newPolynom" +t "std_ulogic" +o 11 +suid 2022,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,12000,52000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "36600,29800,43100,30700" +st "SystemOnChip" +blo "36600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "36600,30700,47600,31600" +st "beamerPeriphRegisters" +blo "36600,31400" +) +) +gi *99 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,33600,55500,41700" +st "Generic Declarations + +pindex natural +paddr positive +pmask positive 16#FFF# +updatePeriodBitNb positive 16 +signalBitNb positive 16 +patternAddressBitNb positive 8 +testOutBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "pindex" +type "natural" +value "" +) +(GiElement +name "paddr" +type "positive" +value "" +) +(GiElement +name "pmask" +type "positive" +value "16#FFF#" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "8" +) +(GiElement +name "testOutBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*100 (Grouping +uid 16,0 +optionalChildren [ +*101 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*106 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*107 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*109 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*110 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*111 (CommentGraphic +uid 869,0 +shape (PolyLine2D +pts [ +"36000,32000" +"52000,32000" +] +uid 870,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "36000,32000,52000,32000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*114 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY grlib; + USE grlib.amba.all;" +tm "PackageList" +) +] +) +windowSize "26,33,1269,883" +viewArea "-1100,-1100,75700,52000" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,6500,10900" +st "Declarations" +blo "0,10700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10900,3000,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,21700,2500,22600" +st "User:" +blo "0,22400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,10000,7500,10900" +st "Internal User:" +blo "0,10700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22600,2000,22600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,10000,0,10000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 939,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/struct.bd new file mode 100644 index 0000000..efb3d72 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/struct.bd @@ -0,0 +1,13205 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_mst" +duLibraryName "AhbLite" +duName "ahbMasterInterface" +elements [ +] +mwi 0 +uid 16717,0 +) +(Instance +name "I_mux" +duLibraryName "AhbLite" +duName "ahbMultiplexor" +elements [ +] +mwi 0 +uid 16806,0 +) +(Instance +name "I_dec" +duLibraryName "AhbLite" +duName "ahbDecoder" +elements [ +(GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "ahbMemoryLocation" +) +] +mwi 0 +uid 16843,0 +) +(Instance +name "I_connT" +duLibraryName "AhbLite" +duName "ahbMuxConnector" +elements [ +(GiElement +name "index" +type "positive" +value "gpioIndex" +) +] +mwi 0 +uid 16860,0 +) +(Instance +name "I_connUart" +duLibraryName "AhbLite" +duName "ahbMuxConnector" +elements [ +(GiElement +name "index" +type "positive" +value "uartIndex" +) +] +mwi 0 +uid 16901,0 +) +(Instance +name "I_UART" +duLibraryName "AhbLiteComponents" +duName "ahbUart" +elements [ +(GiElement +name "txFifoDepth" +type "positive" +value "8" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "1" +) +] +mwi 0 +uid 18307,0 +) +(Instance +name "I_connBeam" +duLibraryName "AhbLite" +duName "ahbMuxConnector" +elements [ +(GiElement +name "index" +type "positive" +value "beamerIndex" +) +] +mwi 0 +uid 18370,0 +) +(Instance +name "I_GPIO" +duLibraryName "AhbLiteComponents" +duName "ahbGpio" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +] +mwi 0 +uid 18666,0 +) +(Instance +name "I_up" +duLibraryName "NanoBlaze" +duName "nanoProcessor" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "ahbAddressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "ahbDataBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +mwi 0 +uid 19476,0 +) +(Instance +name "I_beamer" +duLibraryName "SystemOnChip" +duName "ahbBeamer" +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +mwi 0 +uid 20730,0 +) +(Instance +name "I_rom" +duLibraryName "SystemOnChip" +duName "programRom" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "instructionBitNb" +) +] +mwi 0 +uid 20759,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@soc\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@soc\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@soc" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerSoc" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerSoc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:02:29" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerSoc" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamer@soc\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip\\hds\\beamerSoc\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:02:29" +) +(vvPair +variable "unit" +value "beamerSoc" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "181000,122000,198000,123000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "181200,122500,181200,122500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "198000,118000,202000,119000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "198200,118500,198200,118500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "181000,120000,198000,121000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "181200,120500,181200,120500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "177000,120000,181000,121000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "177200,120500,177200,120500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "198000,119000,218000,123000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "198200,119200,212300,120400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "202000,118000,218000,119000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "202200,118500,202200,118500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "177000,118000,198000,120000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "182350,118400,192650,119600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "177000,121000,181000,122000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "177200,121500,177200,121500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "177000,122000,181000,123000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "177200,122500,177200,122500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "181000,121000,198000,122000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "181200,121500,181200,121500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "177000,118000,218000,123000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "213500,58625,215000,59375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "213000,59000,213500,59000" +pts [ +"213000,59000" +"213500,59000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,58300,219700,59700" +st "outX" +blo "216000,59500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 121,0 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 4,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,52600,13300,53600" +st "outX : std_ulogic" +) +) +*14 (PortIoOut +uid 123,0 +shape (CompositeShape +uid 124,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 125,0 +sl 0 +ro 270 +xt "213500,60625,215000,61375" +) +(Line +uid 126,0 +sl 0 +ro 270 +xt "213000,61000,213500,61000" +pts [ +"213000,61000" +"213500,61000" +] +) +] +) +tg (WTG +uid 127,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,60300,219600,61700" +st "outY" +blo "216000,61500" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 135,0 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,53500,13300,54500" +st "outY : std_ulogic" +) +) +*16 (PortIoIn +uid 5080,0 +shape (CompositeShape +uid 5081,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5082,0 +sl 0 +ro 90 +xt "213500,64625,215000,65375" +) +(Line +uid 5083,0 +sl 0 +ro 90 +xt "213000,65000,213500,65000" +pts [ +"213500,65000" +"213000,65000" +] +) +] +) +tg (WTG +uid 5084,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5085,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,64300,222900,65700" +st "selSinCos" +blo "216000,65500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 6503,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 62,0 +) +declText (MLText +uid 6504,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,54400,13800,55400" +st "selSinCos : std_ulogic" +) +) +*18 (PortIoIn +uid 16654,0 +shape (CompositeShape +uid 16655,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16656,0 +sl 0 +ro 270 +xt "34000,-3375,35500,-2625" +) +(Line +uid 16657,0 +sl 0 +ro 270 +xt "35500,-3000,36000,-3000" +pts [ +"35500,-3000" +"36000,-3000" +] +) +] +) +tg (WTG +uid 16658,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16659,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "28900,-3700,33000,-2300" +st "reset" +ju 2 +blo "33000,-2500" +tm "WireNameMgr" +) +s (Text +uid 16660,0 +va (VaSet +font "Verdana,12,0" +) +xt "28900,-2300,28900,-2300" +ju 2 +blo "28900,-2300" +tm "SignalTypeMgr" +) +) +) +*19 (PortIoIn +uid 16661,0 +shape (CompositeShape +uid 16662,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16663,0 +sl 0 +ro 270 +xt "34000,-5375,35500,-4625" +) +(Line +uid 16664,0 +sl 0 +ro 270 +xt "35500,-5000,36000,-5000" +pts [ +"35500,-5000" +"36000,-5000" +] +) +] +) +tg (WTG +uid 16665,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16666,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "29200,-5700,33000,-4300" +st "clock" +ju 2 +blo "33000,-4500" +tm "WireNameMgr" +) +s (Text +uid 16667,0 +va (VaSet +font "Verdana,12,0" +) +xt "29200,-4300,29200,-4300" +ju 2 +blo "29200,-4300" +tm "SignalTypeMgr" +) +) +) +*20 (SaComponent +uid 16717,0 +optionalChildren [ +*21 (CptPort +uid 16726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16727,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,8625,85000,9375" +) +tg (CPTG +uid 16728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16729,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,8300,89800,9700" +st "clock" +blo "86000,9500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*22 (CptPort +uid 16730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16731,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,10625,85000,11375" +) +tg (CPTG +uid 16732,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16733,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,10300,90100,11700" +st "reset" +blo "86000,11500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*23 (CptPort +uid 16734,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16735,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,-7375,85000,-6625" +) +tg (CPTG +uid 16736,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16737,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,-7700,95800,-6300" +st "pReadStrobe" +blo "86000,-6500" +) +) +thePort (LogicalPort +decl (Decl +n "pReadStrobe" +t "std_uLogic" +o 7 +) +) +) +*24 (CptPort +uid 16738,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,-5375,85000,-4625" +) +tg (CPTG +uid 16740,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16741,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,-5700,96000,-4300" +st "pWriteStrobe" +blo "86000,-4500" +) +) +thePort (LogicalPort +decl (Decl +n "pWriteStrobe" +t "std_uLogic" +o 9 +) +) +) +*25 (CptPort +uid 16742,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16743,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,-13375,85000,-12625" +) +tg (CPTG +uid 16744,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16745,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,-13700,92700,-12300" +st "pAddress" +blo "86000,-12500" +) +) +thePort (LogicalPort +decl (Decl +n "pAddress" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +) +) +) +*26 (CptPort +uid 16746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16747,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,-11375,85000,-10625" +) +tg (CPTG +uid 16748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16749,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,-11700,92900,-10300" +st "pDataOut" +blo "86000,-10500" +) +) +thePort (LogicalPort +decl (Decl +n "pDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*27 (CptPort +uid 16750,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16751,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84250,-9375,85000,-8625" +) +tg (CPTG +uid 16752,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16753,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,-9700,91900,-8300" +st "pDataIn" +blo "86000,-8500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +) +) +) +*28 (CptPort +uid 16754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16755,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-13375,101750,-12625" +) +tg (CPTG +uid 16756,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16757,0 +va (VaSet +font "Verdana,12,0" +) +xt "95500,-13700,100000,-12300" +st "hAddr" +ju 2 +blo "100000,-12500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 11 +) +) +) +*29 (CptPort +uid 16758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16759,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-11375,101750,-10625" +) +tg (CPTG +uid 16760,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16761,0 +va (VaSet +font "Verdana,12,0" +) +xt "94100,-11700,100000,-10300" +st "hWData" +ju 2 +blo "100000,-10500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 19 +) +) +) +*30 (CptPort +uid 16762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16763,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,2625,101750,3375" +) +tg (CPTG +uid 16764,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16765,0 +va (VaSet +font "Verdana,12,0" +) +xt "94600,2300,100000,3700" +st "hRData" +ju 2 +blo "100000,3500" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*31 (CptPort +uid 16766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16767,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-7375,101750,-6625" +) +tg (CPTG +uid 16768,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16769,0 +va (VaSet +font "Verdana,12,0" +) +xt "95000,-7700,100000,-6300" +st "hWrite" +ju 2 +blo "100000,-6500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 20 +) +) +) +*32 (CptPort +uid 16770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-5375,101750,-4625" +) +tg (CPTG +uid 16772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16773,0 +va (VaSet +font "Verdana,12,0" +) +xt "95800,-5700,100000,-4300" +st "hSize" +ju 2 +blo "100000,-4500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 17 +) +) +) +*33 (CptPort +uid 16774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-3375,101750,-2625" +) +tg (CPTG +uid 16776,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16777,0 +va (VaSet +font "Verdana,12,0" +) +xt "95100,-3700,100000,-2300" +st "hBurst" +ju 2 +blo "100000,-2500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 12 +) +) +) +*34 (CptPort +uid 16778,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16779,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-1375,101750,-625" +) +tg (CPTG +uid 16780,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16781,0 +va (VaSet +font "Verdana,12,0" +) +xt "95800,-1700,100000,-300" +st "hProt" +ju 2 +blo "100000,-500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 15 +) +) +) +*35 (CptPort +uid 16782,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16783,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,-9375,101750,-8625" +) +tg (CPTG +uid 16784,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16785,0 +va (VaSet +font "Verdana,12,0" +) +xt "94900,-9700,100000,-8300" +st "hTrans" +ju 2 +blo "100000,-8500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 18 +) +) +) +*36 (CptPort +uid 16786,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16787,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,625,101750,1375" +) +tg (CPTG +uid 16788,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16789,0 +va (VaSet +font "Verdana,12,0" +) +xt "92600,300,100000,1700" +st "hMastLock" +ju 2 +blo "100000,1500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 14 +) +) +) +*37 (CptPort +uid 16790,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16791,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,4625,101750,5375" +) +tg (CPTG +uid 16792,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16793,0 +va (VaSet +font "Verdana,12,0" +) +xt "94500,4300,100000,5700" +st "hReady" +ju 2 +blo "100000,5500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +) +) +) +*38 (CptPort +uid 16794,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16795,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,6625,101750,7375" +) +tg (CPTG +uid 16796,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16797,0 +va (VaSet +font "Verdana,12,0" +) +xt "95300,6300,100000,7700" +st "hResp" +ju 2 +blo "100000,7500" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +) +) +) +*39 (CptPort +uid 16798,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16799,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,8625,101750,9375" +) +tg (CPTG +uid 16800,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16801,0 +va (VaSet +font "Verdana,12,0" +) +xt "96500,8300,100000,9700" +st "hClk" +ju 2 +blo "100000,9500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 13 +) +) +) +*40 (CptPort +uid 16802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16803,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101000,10625,101750,11375" +) +tg (CPTG +uid 16804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16805,0 +va (VaSet +font "Verdana,12,0" +) +xt "93200,10300,100000,11700" +st "hReset_n" +ju 2 +blo "100000,11500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 16 +) +) +) +] +shape (Rectangle +uid 16718,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "85000,-17000,101000,13000" +) +oxt "47000,12000,63000,42000" +ttg (MlTextGroup +uid 16719,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 16720,0 +va (VaSet +) +xt "85100,12700,89700,13900" +st "AhbLite" +blo "85100,13700" +tm "BdLibraryNameMgr" +) +*42 (Text +uid 16721,0 +va (VaSet +) +xt "85100,13700,96400,14900" +st "ahbMasterInterface" +blo "85100,14700" +tm "CptNameMgr" +) +*43 (Text +uid 16722,0 +va (VaSet +) +xt "85100,14700,88800,15900" +st "I_mst" +blo "85100,15700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16723,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16724,0 +text (MLText +uid 16725,0 +va (VaSet +) +xt "85000,15400,85000,15400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*44 (SaComponent +uid 16806,0 +optionalChildren [ +*45 (CptPort +uid 16815,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16816,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "141000,22625,141750,23375" +) +tg (CPTG +uid 16817,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16818,0 +va (VaSet +font "Verdana,12,0" +) +xt "136500,22300,140000,23700" +st "hSel" +ju 2 +blo "140000,23500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*46 (CptPort +uid 16819,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16820,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "141000,26625,141750,27375" +) +tg (CPTG +uid 16821,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16822,0 +va (VaSet +font "Verdana,12,0" +) +xt "133800,26300,140000,27700" +st "hRDataV" +ju 2 +blo "140000,27500" +) +) +thePort (LogicalPort +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*47 (CptPort +uid 16823,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16824,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,28625,125000,29375" +) +tg (CPTG +uid 16825,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16826,0 +va (VaSet +font "Verdana,12,0" +) +xt "126000,28300,131500,29700" +st "hReady" +blo "126000,29500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*48 (CptPort +uid 16827,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16828,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,30625,125000,31375" +) +tg (CPTG +uid 16829,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16830,0 +va (VaSet +font "Verdana,12,0" +) +xt "126000,30300,130700,31700" +st "hResp" +blo "126000,31500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*49 (CptPort +uid 16831,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16832,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,26625,125000,27375" +) +tg (CPTG +uid 16833,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16834,0 +va (VaSet +font "Verdana,12,0" +) +xt "126000,26300,131400,27700" +st "hRData" +blo "126000,27500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*50 (CptPort +uid 16835,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16836,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "141000,28625,141750,29375" +) +tg (CPTG +uid 16837,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16838,0 +va (VaSet +font "Verdana,12,0" +) +xt "133700,28300,140000,29700" +st "hReadyV" +ju 2 +blo "140000,29500" +) +) +thePort (LogicalPort +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*51 (CptPort +uid 16839,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16840,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "141000,30625,141750,31375" +) +tg (CPTG +uid 16841,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16842,0 +va (VaSet +font "Verdana,12,0" +) +xt "134500,30300,140000,31700" +st "hRespV" +ju 2 +blo "140000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +] +shape (Rectangle +uid 16807,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "125000,19000,141000,35000" +) +oxt "40000,9000,56000,25000" +ttg (MlTextGroup +uid 16808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 16809,0 +va (VaSet +) +xt "125100,34700,129700,35900" +st "AhbLite" +blo "125100,35700" +tm "BdLibraryNameMgr" +) +*53 (Text +uid 16810,0 +va (VaSet +) +xt "125100,35700,133900,36900" +st "ahbMultiplexor" +blo "125100,36700" +tm "CptNameMgr" +) +*54 (Text +uid 16811,0 +va (VaSet +) +xt "125100,36700,129000,37900" +st "I_mux" +blo "125100,37700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16813,0 +text (MLText +uid 16814,0 +va (VaSet +) +xt "125000,37400,125000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*55 (SaComponent +uid 16843,0 +optionalChildren [ +*56 (CptPort +uid 16852,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16853,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,-1375,125000,-625" +) +tg (CPTG +uid 16854,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16855,0 +va (VaSet +font "Verdana,12,0" +) +xt "126000,-1700,130500,-300" +st "hAddr" +blo "126000,-500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +) +) +) +*57 (CptPort +uid 16856,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16857,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "141000,-1375,141750,-625" +) +tg (CPTG +uid 16858,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16859,0 +va (VaSet +font "Verdana,12,0" +) +xt "136500,-1700,140000,-300" +st "hSel" +ju 2 +blo "140000,-500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +] +shape (Rectangle +uid 16844,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "125000,-5000,141000,3000" +) +oxt "39000,14000,55000,22000" +ttg (MlTextGroup +uid 16845,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 16846,0 +va (VaSet +) +xt "125100,2700,129700,3900" +st "AhbLite" +blo "125100,3700" +tm "BdLibraryNameMgr" +) +*59 (Text +uid 16847,0 +va (VaSet +) +xt "125100,3700,132500,4900" +st "ahbDecoder" +blo "125100,4700" +tm "CptNameMgr" +) +*60 (Text +uid 16848,0 +va (VaSet +) +xt "125100,4700,128700,5900" +st "I_dec" +blo "125100,5700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16849,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16850,0 +text (MLText +uid 16851,0 +va (VaSet +) +xt "125000,5400,166000,6600" +st "ahbMemoryLocation = ahbMemoryLocation ( ahbMemoryLocationVector ) " +) +header "" +) +elements [ +(GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "ahbMemoryLocation" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*61 (SaComponent +uid 16860,0 +optionalChildren [ +*62 (CptPort +uid 16869,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16870,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,-1375,157000,-625" +) +tg (CPTG +uid 16871,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16872,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,-1700,162300,-300" +st "hSelV" +blo "158000,-500" +) +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*63 (CptPort +uid 16873,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16874,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,625,157000,1375" +) +tg (CPTG +uid 16875,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16876,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,300,164200,1700" +st "hRDataV" +blo "158000,1500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*64 (CptPort +uid 16877,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16878,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,2625,173750,3375" +) +tg (CPTG +uid 16879,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16880,0 +va (VaSet +font "Verdana,12,0" +) +xt "166500,2300,172000,3700" +st "hReady" +ju 2 +blo "172000,3500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*65 (CptPort +uid 16881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16882,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,4625,173750,5375" +) +tg (CPTG +uid 16883,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16884,0 +va (VaSet +font "Verdana,12,0" +) +xt "167300,4300,172000,5700" +st "hResp" +ju 2 +blo "172000,5500" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*66 (CptPort +uid 16885,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16886,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,625,173750,1375" +) +tg (CPTG +uid 16887,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16888,0 +va (VaSet +font "Verdana,12,0" +) +xt "166600,300,172000,1700" +st "hRData" +ju 2 +blo "172000,1500" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*67 (CptPort +uid 16889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16890,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,2625,157000,3375" +) +tg (CPTG +uid 16891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16892,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,2300,164300,3700" +st "hReadyV" +blo "158000,3500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*68 (CptPort +uid 16893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16894,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,4625,157000,5375" +) +tg (CPTG +uid 16895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16896,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,4300,163500,5700" +st "hRespV" +blo "158000,5500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +*69 (CptPort +uid 16897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,-1375,173750,-625" +) +tg (CPTG +uid 16899,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16900,0 +va (VaSet +font "Verdana,12,0" +) +xt "168500,-1700,172000,-300" +st "hSel" +ju 2 +blo "172000,-500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +) +) +) +] +shape (Rectangle +uid 16861,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "157000,-5000,173000,9000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 16862,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 16863,0 +va (VaSet +) +xt "157100,8700,161700,9900" +st "AhbLite" +blo "157100,9700" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 16864,0 +va (VaSet +) +xt "157100,9700,167600,10900" +st "ahbMuxConnector" +blo "157100,10700" +tm "CptNameMgr" +) +*72 (Text +uid 16865,0 +va (VaSet +) +xt "157100,10700,162000,11900" +st "I_connT" +blo "157100,11700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16866,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16867,0 +text (MLText +uid 16868,0 +va (VaSet +) +xt "157000,11400,175300,12600" +st "index = gpioIndex ( positive ) " +) +header "" +) +elements [ +(GiElement +name "index" +type "positive" +value "gpioIndex" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*73 (SaComponent +uid 16901,0 +optionalChildren [ +*74 (CptPort +uid 16910,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16911,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,32625,157000,33375" +) +tg (CPTG +uid 16912,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16913,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,32300,162300,33700" +st "hSelV" +blo "158000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*75 (CptPort +uid 16914,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16915,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,34625,157000,35375" +) +tg (CPTG +uid 16916,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16917,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,34300,164200,35700" +st "hRDataV" +blo "158000,35500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*76 (CptPort +uid 16918,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16919,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,36625,173750,37375" +) +tg (CPTG +uid 16920,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16921,0 +va (VaSet +font "Verdana,12,0" +) +xt "166500,36300,172000,37700" +st "hReady" +ju 2 +blo "172000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*77 (CptPort +uid 16922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16923,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,38625,173750,39375" +) +tg (CPTG +uid 16924,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16925,0 +va (VaSet +font "Verdana,12,0" +) +xt "167300,38300,172000,39700" +st "hResp" +ju 2 +blo "172000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*78 (CptPort +uid 16926,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16927,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,34625,173750,35375" +) +tg (CPTG +uid 16928,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16929,0 +va (VaSet +font "Verdana,12,0" +) +xt "166600,34300,172000,35700" +st "hRData" +ju 2 +blo "172000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*79 (CptPort +uid 16930,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16931,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,36625,157000,37375" +) +tg (CPTG +uid 16932,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16933,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,36300,164300,37700" +st "hReadyV" +blo "158000,37500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*80 (CptPort +uid 16934,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16935,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,38625,157000,39375" +) +tg (CPTG +uid 16936,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 16937,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,38300,163500,39700" +st "hRespV" +blo "158000,39500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +*81 (CptPort +uid 16938,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 16939,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,32625,173750,33375" +) +tg (CPTG +uid 16940,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 16941,0 +va (VaSet +font "Verdana,12,0" +) +xt "168500,32300,172000,33700" +st "hSel" +ju 2 +blo "172000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +) +) +) +] +shape (Rectangle +uid 16902,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "157000,29000,173000,43000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 16903,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 16904,0 +va (VaSet +) +xt "157100,42700,161700,43900" +st "AhbLite" +blo "157100,43700" +tm "BdLibraryNameMgr" +) +*83 (Text +uid 16905,0 +va (VaSet +) +xt "157100,43700,167600,44900" +st "ahbMuxConnector" +blo "157100,44700" +tm "CptNameMgr" +) +*84 (Text +uid 16906,0 +va (VaSet +) +xt "157100,44700,164200,45900" +st "I_connUart" +blo "157100,45700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 16907,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 16908,0 +text (MLText +uid 16909,0 +va (VaSet +) +xt "157000,45400,175200,46600" +st "index = uartIndex ( positive ) " +) +header "" +) +elements [ +(GiElement +name "index" +type "positive" +value "uartIndex" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*85 (Net +uid 17490,0 +decl (Decl +n "int" +t "std_uLogic" +o 13 +suid 87,0 +) +declText (MLText +uid 17491,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,91300,16200,92300" +st "SIGNAL int : std_uLogic" +) +) +*86 (Net +uid 17492,0 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 14 +suid 88,0 +) +declText (MLText +uid 17493,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,92200,30600,93200" +st "SIGNAL upAddress : unsigned(ahbAddressBitNb-1 DOWNTO 0)" +) +) +*87 (Net +uid 17494,0 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 15 +suid 89,0 +) +declText (MLText +uid 17495,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,93100,33000,94100" +st "SIGNAL upDataOut : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*88 (Net +uid 17496,0 +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 16 +suid 90,0 +) +declText (MLText +uid 17497,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,94000,32700,95000" +st "SIGNAL upDataIn : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*89 (Net +uid 17498,0 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 17 +suid 91,0 +) +declText (MLText +uid 17499,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,94900,18200,95900" +st "SIGNAL upReadStrobe : std_uLogic" +) +) +*90 (Net +uid 17500,0 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 18 +suid 92,0 +) +declText (MLText +uid 17501,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,95800,17900,96800" +st "SIGNAL upWriteStrobe : std_uLogic" +) +) +*91 (Net +uid 17502,0 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 19 +suid 93,0 +) +declText (MLText +uid 17503,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,96700,30000,97700" +st "SIGNAL hAddr : unsigned(ahbAddressBitNb-1 DOWNTO 0)" +) +) +*92 (Net +uid 17504,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 20 +suid 94,0 +) +declText (MLText +uid 17505,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,97600,32800,98600" +st "SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*93 (Net +uid 17506,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 21 +suid 95,0 +) +declText (MLText +uid 17507,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,98500,32600,99500" +st "SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*94 (Net +uid 17508,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 22 +suid 96,0 +) +declText (MLText +uid 17509,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,99400,32600,100400" +st "SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0)" +) +) +*95 (Net +uid 17510,0 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 23 +suid 97,0 +) +declText (MLText +uid 17511,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,100300,31700,101300" +st "SIGNAL hSize : std_ulogic_vector(ahbSizeBitNb-1 DOWNTO 0)" +) +) +*96 (Net +uid 17512,0 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 24 +suid 98,0 +) +declText (MLText +uid 17513,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,101200,32200,102200" +st "SIGNAL hBurst : std_ulogic_vector(ahbBurstBitNb-1 DOWNTO 0)" +) +) +*97 (Net +uid 17514,0 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 25 +suid 99,0 +) +declText (MLText +uid 17515,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,102100,31700,103100" +st "SIGNAL hProt : std_ulogic_vector(ahbProtBitNb-1 DOWNTO 0)" +) +) +*98 (Net +uid 17516,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 100,0 +) +declText (MLText +uid 17517,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103000,16900,104000" +st "SIGNAL hWrite : std_uLogic" +) +) +*99 (Net +uid 17518,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 27 +suid 101,0 +) +declText (MLText +uid 17519,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,103900,17400,104900" +st "SIGNAL hReady : std_uLogic" +) +) +*100 (Net +uid 17520,0 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 28 +suid 102,0 +) +declText (MLText +uid 17521,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,104800,17600,105800" +st "SIGNAL hMastLock : std_uLogic" +) +) +*101 (Net +uid 17522,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 29 +suid 103,0 +) +declText (MLText +uid 17523,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,105700,17100,106700" +st "SIGNAL hResp : std_uLogic" +) +) +*102 (Net +uid 17524,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 30 +suid 104,0 +) +declText (MLText +uid 17525,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,106600,16700,107600" +st "SIGNAL hClk : std_uLogic" +) +) +*103 (Net +uid 17526,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 31 +suid 105,0 +) +declText (MLText +uid 17527,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,107500,17500,108500" +st "SIGNAL hReset_n : std_uLogic" +) +) +*104 (Net +uid 17528,0 +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "(1 TO ahbSlaveNb)" +o 32 +suid 106,0 +) +declText (MLText +uid 17529,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,108400,27900,109400" +st "SIGNAL hSelV : std_ulogic_vector(1 TO ahbSlaveNb)" +) +) +*105 (Net +uid 17530,0 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 33 +suid 107,0 +) +declText (MLText +uid 17531,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,109300,19200,110300" +st "SIGNAL hRDataV : ahbDataVector" +) +) +*106 (Net +uid 17532,0 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 34 +suid 108,0 +) +declText (MLText +uid 17533,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,110200,28200,111200" +st "SIGNAL hReadyV : std_logic_vector(1 TO ahbSlaveNb)" +) +) +*107 (Net +uid 17534,0 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 35 +suid 109,0 +) +declText (MLText +uid 17535,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,111100,27900,112100" +st "SIGNAL hRespV : std_logic_vector(1 TO ahbSlaveNb)" +) +) +*108 (Net +uid 17751,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 126,0 +) +declText (MLText +uid 17752,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,56200,13200,57200" +st "clock : std_ulogic" +) +) +*109 (Net +uid 17753,0 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 127,0 +) +declText (MLText +uid 17754,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,55300,13200,56300" +st "reset : std_ulogic" +) +) +*110 (SaComponent +uid 18307,0 +optionalChildren [ +*111 (CptPort +uid 18259,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,24625,189000,25375" +) +tg (CPTG +uid 18261,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18262,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,24300,194500,25700" +st "hAddr" +blo "190000,25500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*112 (CptPort +uid 18263,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18264,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,26625,189000,27375" +) +tg (CPTG +uid 18265,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18266,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,26300,195900,27700" +st "hWData" +blo "190000,27500" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*113 (CptPort +uid 18267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18268,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,34625,189000,35375" +) +tg (CPTG +uid 18269,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18270,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,34300,195400,35700" +st "hRData" +blo "190000,35500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*114 (CptPort +uid 18271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18272,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,30625,189000,31375" +) +tg (CPTG +uid 18273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18274,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,30300,195000,31700" +st "hWrite" +blo "190000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*115 (CptPort +uid 18275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18276,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,28625,189000,29375" +) +tg (CPTG +uid 18277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18278,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,28300,195100,29700" +st "hTrans" +blo "190000,29500" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*116 (CptPort +uid 18279,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18280,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,36625,189000,37375" +) +tg (CPTG +uid 18281,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18282,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,36300,195500,37700" +st "hReady" +blo "190000,37500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*117 (CptPort +uid 18283,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18284,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,38625,189000,39375" +) +tg (CPTG +uid 18285,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18286,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,38300,194700,39700" +st "hResp" +blo "190000,39500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*118 (CptPort +uid 18287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,42625,189000,43375" +) +tg (CPTG +uid 18289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18290,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,42300,193500,43700" +st "hClk" +blo "190000,43500" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*119 (CptPort +uid 18291,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18292,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,44625,189000,45375" +) +tg (CPTG +uid 18293,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18294,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,44300,196800,45700" +st "hReset_n" +blo "190000,45500" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*120 (CptPort +uid 18295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18296,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,24625,205750,25375" +) +tg (CPTG +uid 18297,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18298,0 +va (VaSet +font "Verdana,12,0" +) +xt "200900,24300,204000,25700" +st "TxD" +ju 2 +blo "204000,25500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 10 +suid 2065,0 +) +) +) +*121 (CptPort +uid 18299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18300,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,32625,189000,33375" +) +tg (CPTG +uid 18301,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18302,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,32300,193500,33700" +st "hSel" +blo "190000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*122 (CptPort +uid 18303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18304,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,26625,205750,27375" +) +tg (CPTG +uid 18305,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18306,0 +va (VaSet +font "Verdana,12,0" +) +xt "200800,26300,204000,27700" +st "RxD" +ju 2 +blo "204000,27500" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 12 +suid 2067,0 +) +) +) +] +shape (Rectangle +uid 18308,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "189000,21000,205000,47000" +) +oxt "47000,16000,63000,42000" +ttg (MlTextGroup +uid 18309,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*123 (Text +uid 18310,0 +va (VaSet +) +xt "189100,46700,200700,47900" +st "AhbLiteComponents" +blo "189100,47700" +tm "BdLibraryNameMgr" +) +*124 (Text +uid 18311,0 +va (VaSet +) +xt "189100,47700,193800,48900" +st "ahbUart" +blo "189100,48700" +tm "CptNameMgr" +) +*125 (Text +uid 18312,0 +va (VaSet +) +xt "189100,48700,193900,49900" +st "I_UART" +blo "189100,49700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18313,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18314,0 +text (MLText +uid 18315,0 +va (VaSet +font "Verdana,8,0" +) +xt "189000,51000,203300,53000" +st "txFifoDepth = 8 ( positive ) +rxFifoDepth = 1 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "txFifoDepth" +type "positive" +value "8" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "1" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*126 (PortIoOut +uid 18316,0 +shape (CompositeShape +uid 18317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18318,0 +sl 0 +ro 270 +xt "213500,24625,215000,25375" +) +(Line +uid 18319,0 +sl 0 +ro 270 +xt "213000,25000,213500,25000" +pts [ +"213000,25000" +"213500,25000" +] +) +] +) +tg (WTG +uid 18320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18321,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,24300,219100,25700" +st "TxD" +blo "216000,25500" +tm "WireNameMgr" +) +) +) +*127 (PortIoIn +uid 18340,0 +shape (CompositeShape +uid 18341,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18342,0 +sl 0 +ro 90 +xt "213500,26625,215000,27375" +) +(Line +uid 18343,0 +sl 0 +ro 90 +xt "213000,27000,213500,27000" +pts [ +"213500,27000" +"213000,27000" +] +) +] +) +tg (WTG +uid 18344,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18345,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,26300,219200,27700" +st "RxD" +blo "216000,27500" +tm "WireNameMgr" +) +) +) +*128 (Net +uid 18346,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 128,0 +) +declText (MLText +uid 18347,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,50800,13400,51800" +st "TxD : std_ulogic" +) +) +*129 (Net +uid 18348,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 129,0 +) +declText (MLText +uid 18349,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,51700,13500,52700" +st "RxD : std_ulogic" +) +) +*130 (Net +uid 18350,0 +decl (Decl +n "hSelUart" +t "std_uLogic" +o 43 +suid 130,0 +) +declText (MLText +uid 18351,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,118300,17100,119300" +st "SIGNAL hSelUart : std_uLogic" +) +) +*131 (Net +uid 18352,0 +decl (Decl +n "hRDataUart" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 41 +suid 131,0 +) +declText (MLText +uid 18353,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,116500,33100,117500" +st "SIGNAL hRDataUart : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*132 (Net +uid 18354,0 +decl (Decl +n "hReadyUart" +t "std_uLogic" +o 47 +suid 132,0 +) +declText (MLText +uid 18355,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121900,17900,122900" +st "SIGNAL hReadyUart : std_uLogic" +) +) +*133 (Net +uid 18356,0 +decl (Decl +n "hRespUart" +t "std_uLogic" +o 44 +suid 133,0 +) +declText (MLText +uid 18357,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,119200,17600,120200" +st "SIGNAL hRespUart : std_uLogic" +) +) +*134 (SaComponent +uid 18370,0 +optionalChildren [ +*135 (CptPort +uid 18379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18380,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,66625,157000,67375" +) +tg (CPTG +uid 18381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18382,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,66300,162300,67700" +st "hSelV" +blo "158000,67500" +) +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*136 (CptPort +uid 18383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18384,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,68625,157000,69375" +) +tg (CPTG +uid 18385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18386,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,68300,164200,69700" +st "hRDataV" +blo "158000,69500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*137 (CptPort +uid 18387,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18388,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,70625,173750,71375" +) +tg (CPTG +uid 18389,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18390,0 +va (VaSet +font "Verdana,12,0" +) +xt "166500,70300,172000,71700" +st "hReady" +ju 2 +blo "172000,71500" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*138 (CptPort +uid 18391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18392,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,72625,173750,73375" +) +tg (CPTG +uid 18393,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18394,0 +va (VaSet +font "Verdana,12,0" +) +xt "167300,72300,172000,73700" +st "hResp" +ju 2 +blo "172000,73500" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*139 (CptPort +uid 18395,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18396,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,68625,173750,69375" +) +tg (CPTG +uid 18397,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18398,0 +va (VaSet +font "Verdana,12,0" +) +xt "166600,68300,172000,69700" +st "hRData" +ju 2 +blo "172000,69500" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*140 (CptPort +uid 18399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18400,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,70625,157000,71375" +) +tg (CPTG +uid 18401,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18402,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,70300,164300,71700" +st "hReadyV" +blo "158000,71500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*141 (CptPort +uid 18403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18404,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,72625,157000,73375" +) +tg (CPTG +uid 18405,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18406,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,72300,163500,73700" +st "hRespV" +blo "158000,73500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +*142 (CptPort +uid 18407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "173000,66625,173750,67375" +) +tg (CPTG +uid 18409,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18410,0 +va (VaSet +font "Verdana,12,0" +) +xt "168500,66300,172000,67700" +st "hSel" +ju 2 +blo "172000,67500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +) +) +) +] +shape (Rectangle +uid 18371,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "157000,63000,173000,77000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 18372,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +uid 18373,0 +va (VaSet +) +xt "157100,76700,161700,77900" +st "AhbLite" +blo "157100,77700" +tm "BdLibraryNameMgr" +) +*144 (Text +uid 18374,0 +va (VaSet +) +xt "157100,77700,167600,78900" +st "ahbMuxConnector" +blo "157100,78700" +tm "CptNameMgr" +) +*145 (Text +uid 18375,0 +va (VaSet +) +xt "157100,78700,164800,79900" +st "I_connBeam" +blo "157100,79700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18376,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18377,0 +text (MLText +uid 18378,0 +va (VaSet +) +xt "157000,79400,176900,80600" +st "index = beamerIndex ( positive ) " +) +header "" +) +elements [ +(GiElement +name "index" +type "positive" +value "beamerIndex" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*146 (Net +uid 18467,0 +decl (Decl +n "hSelBeamer" +t "std_uLogic" +o 42 +suid 134,0 +) +declText (MLText +uid 18468,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,117400,17900,118400" +st "SIGNAL hSelBeamer : std_uLogic" +) +) +*147 (Net +uid 18469,0 +decl (Decl +n "hRDataBeamer" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 40 +suid 135,0 +) +declText (MLText +uid 18470,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,115600,33900,116600" +st "SIGNAL hRDataBeamer : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*148 (Net +uid 18471,0 +decl (Decl +n "hReadyBeamer" +t "std_uLogic" +o 46 +suid 136,0 +) +declText (MLText +uid 18472,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,121000,18700,122000" +st "SIGNAL hReadyBeamer : std_uLogic" +) +) +*149 (Net +uid 18473,0 +decl (Decl +n "hRespBeamer" +t "std_uLogic" +o 45 +suid 137,0 +) +declText (MLText +uid 18474,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,120100,18400,121100" +st "SIGNAL hRespBeamer : std_uLogic" +) +) +*150 (SaComponent +uid 18666,0 +optionalChildren [ +*151 (CptPort +uid 18614,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18615,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,-9375,189000,-8625" +) +tg (CPTG +uid 18616,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18617,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,-9700,194500,-8300" +st "hAddr" +blo "190000,-8500" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*152 (CptPort +uid 18618,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18619,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,-7375,189000,-6625" +) +tg (CPTG +uid 18620,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18621,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,-7700,195900,-6300" +st "hWData" +blo "190000,-6500" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2053,0 +) +) +) +*153 (CptPort +uid 18622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18623,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,625,189000,1375" +) +tg (CPTG +uid 18624,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18625,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,300,195400,1700" +st "hRData" +blo "190000,1500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2054,0 +) +) +) +*154 (CptPort +uid 18626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18627,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,-3375,189000,-2625" +) +tg (CPTG +uid 18628,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18629,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,-3700,195000,-2300" +st "hWrite" +blo "190000,-2500" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 4 +suid 2055,0 +) +) +) +*155 (CptPort +uid 18630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18631,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,-5375,189000,-4625" +) +tg (CPTG +uid 18632,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18633,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,-5700,195100,-4300" +st "hTrans" +blo "190000,-4500" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 2 +suid 2059,0 +) +) +) +*156 (CptPort +uid 18634,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18635,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,2625,189000,3375" +) +tg (CPTG +uid 18636,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18637,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,2300,195500,3700" +st "hReady" +blo "190000,3500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*157 (CptPort +uid 18638,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18639,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,4625,189000,5375" +) +tg (CPTG +uid 18640,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18641,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,4300,194700,5700" +st "hResp" +blo "190000,5500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 9 +suid 2062,0 +) +) +) +*158 (CptPort +uid 18642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18643,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,8625,189000,9375" +) +tg (CPTG +uid 18644,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18645,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,8300,193500,9700" +st "hClk" +blo "190000,9500" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 5 +suid 2063,0 +) +) +) +*159 (CptPort +uid 18646,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18647,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,10625,189000,11375" +) +tg (CPTG +uid 18648,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18649,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,10300,196800,11700" +st "hReset_n" +blo "190000,11500" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 8 +suid 2064,0 +) +) +) +*160 (CptPort +uid 18650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18651,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,-7375,205750,-6625" +) +tg (CPTG +uid 18652,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18653,0 +va (VaSet +font "Verdana,12,0" +) +xt "199800,-7700,204000,-6300" +st "ioOut" +ju 2 +blo "204000,-6500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2065,0 +) +) +) +*161 (CptPort +uid 18654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18655,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,-1375,189000,-625" +) +tg (CPTG +uid 18656,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18657,0 +va (VaSet +font "Verdana,12,0" +) +xt "190000,-1700,193500,-300" +st "hSel" +blo "190000,-500" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_uLogic" +o 11 +suid 2066,0 +) +) +) +*162 (CptPort +uid 18658,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18659,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,-5375,205750,-4625" +) +tg (CPTG +uid 18660,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18661,0 +va (VaSet +font "Verdana,12,0" +) +xt "200800,-5700,204000,-4300" +st "ioIn" +ju 2 +blo "204000,-4500" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 12 +suid 2067,0 +) +) +) +*163 (CptPort +uid 18662,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18663,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,-9375,205750,-8625" +) +tg (CPTG +uid 18664,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18665,0 +va (VaSet +font "Verdana,12,0" +) +xt "200500,-9700,204000,-8300" +st "ioEn" +ju 2 +blo "204000,-8500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 13 +suid 2068,0 +) +) +) +] +shape (Rectangle +uid 18667,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "189000,-13000,205000,13000" +) +oxt "47000,16000,63000,42000" +ttg (MlTextGroup +uid 18668,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +uid 18669,0 +va (VaSet +) +xt "189100,12700,200700,13900" +st "AhbLiteComponents" +blo "189100,13700" +tm "BdLibraryNameMgr" +) +*165 (Text +uid 18670,0 +va (VaSet +) +xt "189100,13700,193900,14900" +st "ahbGpio" +blo "189100,14700" +tm "CptNameMgr" +) +*166 (Text +uid 18671,0 +va (VaSet +) +xt "189100,14700,193800,15900" +st "I_GPIO" +blo "189100,15700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 18672,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 18673,0 +text (MLText +uid 18674,0 +va (VaSet +font "Verdana,8,0" +) +xt "189000,17000,201700,18000" +st "ioNb = ioNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*167 (Net +uid 18675,0 +decl (Decl +n "hSelGpio" +t "std_uLogic" +o 36 +suid 138,0 +) +declText (MLText +uid 18676,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,112000,17200,113000" +st "SIGNAL hSelGpio : std_uLogic" +) +) +*168 (Net +uid 18677,0 +decl (Decl +n "hRDataGpio" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 39 +suid 139,0 +) +declText (MLText +uid 18678,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,114700,33200,115700" +st "SIGNAL hRDataGpio : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*169 (Net +uid 18679,0 +decl (Decl +n "hReadyGpio" +t "std_uLogic" +o 38 +suid 140,0 +) +declText (MLText +uid 18680,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,113800,18000,114800" +st "SIGNAL hReadyGpio : std_uLogic" +) +) +*170 (Net +uid 18681,0 +decl (Decl +n "hRespGpio" +t "std_uLogic" +o 37 +suid 141,0 +) +declText (MLText +uid 18682,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,112900,17700,113900" +st "SIGNAL hRespGpio : std_uLogic" +) +) +*171 (PortIoOut +uid 18683,0 +shape (CompositeShape +uid 18684,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18685,0 +sl 0 +ro 270 +xt "213500,-7375,215000,-6625" +) +(Line +uid 18686,0 +sl 0 +ro 270 +xt "213000,-7000,213500,-7000" +pts [ +"213000,-7000" +"213500,-7000" +] +) +] +) +tg (WTG +uid 18687,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18688,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,-7700,235600,-6300" +st "ioOut : (ioNb-1 DOWNTO 0)" +blo "216000,-6500" +tm "WireNameMgr" +) +) +) +*172 (PortIoIn +uid 18689,0 +shape (CompositeShape +uid 18690,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18691,0 +sl 0 +ro 90 +xt "213500,-5375,215000,-4625" +) +(Line +uid 18692,0 +sl 0 +ro 90 +xt "213000,-5000,213500,-5000" +pts [ +"213500,-5000" +"213000,-5000" +] +) +] +) +tg (WTG +uid 18693,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18694,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,-5700,234600,-4300" +st "ioIn : (ioNb-1 DOWNTO 0)" +blo "216000,-4500" +tm "WireNameMgr" +) +) +) +*173 (PortIoOut +uid 18707,0 +shape (CompositeShape +uid 18708,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 18709,0 +sl 0 +ro 270 +xt "213500,-9375,215000,-8625" +) +(Line +uid 18710,0 +sl 0 +ro 270 +xt "213000,-9000,213500,-9000" +pts [ +"213000,-9000" +"213500,-9000" +] +) +] +) +tg (WTG +uid 18711,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18712,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,-9700,234900,-8300" +st "ioEn : (ioNb-1 DOWNTO 0)" +blo "216000,-8500" +tm "WireNameMgr" +) +) +) +*174 (Net +uid 18713,0 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 142,0 +) +declText (MLText +uid 18714,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,57100,25000,58100" +st "ioEn : std_ulogic_vector(ioNb-1 DOWNTO 0)" +) +) +*175 (Net +uid 18719,0 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 143,0 +) +declText (MLText +uid 18720,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,58000,25100,59000" +st "ioOut : std_ulogic_vector(ioNb-1 DOWNTO 0)" +) +) +*176 (Net +uid 18725,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 144,0 +) +declText (MLText +uid 18726,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,58900,24800,59900" +st "ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0)" +) +) +*177 (Net +uid 19108,0 +decl (Decl +n "intAck" +t "std_ulogic" +o 48 +suid 145,0 +) +declText (MLText +uid 19109,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,122800,16500,123800" +st "SIGNAL intAck : std_ulogic" +) +) +*178 (Net +uid 19120,0 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 49 +suid 151,0 +) +declText (MLText +uid 19121,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,123700,32900,124700" +st "SIGNAL instruction : std_ulogic_vector(instructionBitNb-1 DOWNTO 0)" +) +) +*179 (Net +uid 19122,0 +decl (Decl +n "programCounter" +t "unsigned" +b "(programCounterBitNb-1 DOWNTO 0)" +o 50 +suid 152,0 +) +declText (MLText +uid 19123,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,124600,33600,125600" +st "SIGNAL programCounter : unsigned(programCounterBitNb-1 DOWNTO 0)" +) +) +*180 (Net +uid 19320,0 +decl (Decl +n "upEn" +t "std_ulogic" +o 12 +suid 160,0 +) +declText (MLText +uid 19321,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,90400,16700,91400" +st "SIGNAL upEn : std_ulogic" +) +) +*181 (HdlText +uid 19389,0 +optionalChildren [ +*182 (EmbeddedText +uid 19395,0 +commentText (CommentText +uid 19396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 19397,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "12000,-8000,28000,-6000" +) +oxt "0,0,18000,5000" +text (MLText +uid 19398,0 +va (VaSet +) +xt "12200,-7800,19800,-6600" +st " +upEn <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 19390,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "12000,-9000,28000,-5000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 19391,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*183 (Text +uid 19392,0 +va (VaSet +font "Verdana,8,1" +) +xt "11850,-5000,14150,-4000" +st "eb1" +blo "11850,-4200" +tm "HdlTextNameMgr" +) +*184 (Text +uid 19393,0 +va (VaSet +font "Verdana,8,1" +) +xt "11850,-4000,13050,-3000" +st "1" +blo "11850,-3200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 19394,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "12250,-6750,13750,-5250" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*185 (SaComponent +uid 19476,0 +optionalChildren [ +*186 (CptPort +uid 19428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,-5375,44000,-4625" +) +tg (CPTG +uid 19430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19431,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-5700,48800,-4300" +st "clock" +blo "45000,-4500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*187 (CptPort +uid 19432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,-3375,44000,-2625" +) +tg (CPTG +uid 19434,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19435,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-3700,49100,-2300" +st "reset" +blo "45000,-2500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*188 (CptPort +uid 19436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-7375,60750,-6625" +) +tg (CPTG +uid 19438,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19439,0 +va (VaSet +font "Verdana,12,0" +) +xt "50300,-7700,59000,-6300" +st "readStrobe" +ju 2 +blo "59000,-6500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 2024,0 +) +) +) +*189 (CptPort +uid 19440,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19441,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-5375,60750,-4625" +) +tg (CPTG +uid 19442,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19443,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,-5700,59000,-4300" +st "writeStrobe" +ju 2 +blo "59000,-4500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 2026,0 +) +) +) +*190 (CptPort +uid 19444,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19445,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,-7375,44000,-6625" +) +tg (CPTG +uid 19446,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19447,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-7700,47400,-6300" +st "en" +blo "45000,-6500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +) +*191 (CptPort +uid 19448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19449,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,-17375,44000,-16625" +) +tg (CPTG +uid 19450,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19451,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-17700,49500,-16300" +st "intAck" +blo "45000,-16500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 2042,0 +) +) +) +*192 (CptPort +uid 19452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19453,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,-19375,44000,-18625" +) +tg (CPTG +uid 19454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19455,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,-19700,47400,-18300" +st "int" +blo "45000,-18500" +) +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 2028,0 +) +) +) +*193 (CptPort +uid 19456,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19457,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-13375,60750,-12625" +) +tg (CPTG +uid 19458,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19459,0 +va (VaSet +font "Verdana,12,0" +) +xt "49400,-13700,59000,-12300" +st "dataAddress" +ju 2 +blo "59000,-12500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 2039,0 +) +) +) +*194 (CptPort +uid 19460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19461,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-11375,60750,-10625" +) +tg (CPTG +uid 19462,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19463,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,-11700,59000,-10300" +st "dataOut" +ju 2 +blo "59000,-10500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 2040,0 +) +) +) +*195 (CptPort +uid 19464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19465,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-9375,60750,-8625" +) +tg (CPTG +uid 19466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19467,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,-9700,59000,-8300" +st "dataIn" +ju 2 +blo "59000,-8500" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +) +*196 (CptPort +uid 19468,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19469,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-17375,60750,-16625" +) +tg (CPTG +uid 19470,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19471,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,-17700,59000,-16300" +st "instruction" +ju 2 +blo "59000,-16500" +) +) +thePort (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 2052,0 +) +) +) +*197 (CptPort +uid 19472,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19473,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,-19375,60750,-18625" +) +tg (CPTG +uid 19474,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19475,0 +va (VaSet +font "Verdana,12,0" +) +xt "49400,-19700,59000,-18300" +st "progCounter" +ju 2 +blo "59000,-18500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 2053,0 +) +) +) +] +shape (Rectangle +uid 19477,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,-23000,60000,-1000" +) +oxt "47000,10000,63000,32000" +ttg (MlTextGroup +uid 19478,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*198 (Text +uid 19479,0 +va (VaSet +) +xt "44100,-1300,50100,-100" +st "NanoBlaze" +blo "44100,-300" +tm "BdLibraryNameMgr" +) +*199 (Text +uid 19480,0 +va (VaSet +) +xt "44100,-300,53000,900" +st "nanoProcessor" +blo "44100,700" +tm "CptNameMgr" +) +*200 (Text +uid 19481,0 +va (VaSet +) +xt "44100,700,47100,1900" +st "I_up" +blo "44100,1700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19482,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19483,0 +text (MLText +uid 19484,0 +va (VaSet +) +xt "44000,1400,80300,9800" +st "addressBitNb = ahbAddressBitNb ( positive ) +registerBitNb = ahbDataBitNb ( positive ) +registerAddressBitNb = registerAddressBitNb ( positive ) +programCounterBitNb = programCounterBitNb ( positive ) +stackPointerBitNb = stackPointerBitNb ( positive ) +instructionBitNb = instructionBitNb ( positive ) +scratchpadAddressBitNb = scratchpadAddressBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "ahbAddressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "ahbDataBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*201 (PortIoOut +uid 19797,0 +shape (CompositeShape +uid 19798,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 19799,0 +sl 0 +ro 270 +xt "213500,76625,215000,77375" +) +(Line +uid 19800,0 +sl 0 +ro 270 +xt "213000,77000,213500,77000" +pts [ +"213000,77000" +"213500,77000" +] +) +] +) +tg (WTG +uid 19801,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19802,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "216000,76500,236900,77900" +st "testOut : (1 TO testOutBitNb)" +blo "216000,77700" +tm "WireNameMgr" +) +) +) +*202 (Net +uid 19811,0 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 162,0 +) +declText (MLText +uid 19812,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,59800,25000,60800" +st "testOut : std_ulogic_vector(1 TO testOutBitNb)" +) +) +*203 (SaComponent +uid 20730,0 +optionalChildren [ +*204 (CptPort +uid 20674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20675,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,76625,189000,77375" +) +tg (CPTG +uid 20676,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20677,0 +va (VaSet +) +xt "190000,76400,193000,77600" +st "hClk" +blo "190000,77400" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 1,0 +) +) +) +*205 (CptPort +uid 20678,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20679,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,58625,189000,59375" +) +tg (CPTG +uid 20680,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20681,0 +va (VaSet +) +xt "190000,58400,193700,59600" +st "hAddr" +blo "190000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 2,0 +) +) +) +*206 (CptPort +uid 20682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20683,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,58625,205750,59375" +) +tg (CPTG +uid 20684,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 20685,0 +va (VaSet +) +xt "201001,58400,204001,59600" +st "outX" +ju 2 +blo "204001,59400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*207 (CptPort +uid 20686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20687,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,78625,189000,79375" +) +tg (CPTG +uid 20688,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20689,0 +va (VaSet +) +xt "190000,78400,195400,79600" +st "hReset_n" +blo "190000,79400" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 4,0 +) +) +) +*208 (CptPort +uid 20690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,60625,205750,61375" +) +tg (CPTG +uid 20692,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 20693,0 +va (VaSet +) +xt "201001,60400,204001,61600" +st "outY" +ju 2 +blo "204001,61400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*209 (CptPort +uid 20694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,60625,189000,61375" +) +tg (CPTG +uid 20696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20697,0 +va (VaSet +) +xt "190000,60400,194600,61600" +st "hWData" +blo "190000,61400" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 11,0 +) +) +) +*210 (CptPort +uid 20698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20699,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,64625,205750,65375" +) +tg (CPTG +uid 20700,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 20701,0 +va (VaSet +) +xt "198201,64400,204001,65600" +st "selSinCos" +ju 2 +blo "204001,65400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*211 (CptPort +uid 20702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "205000,76625,205750,77375" +) +tg (CPTG +uid 20704,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 20705,0 +va (VaSet +) +xt "199400,76400,204000,77600" +st "testOut" +ju 2 +blo "204000,77400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 2014,0 +) +) +) +*212 (CptPort +uid 20706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20707,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,68625,189000,69375" +) +tg (CPTG +uid 20708,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20709,0 +va (VaSet +) +xt "190000,68400,194400,69600" +st "hRData" +blo "190000,69400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 2015,0 +) +) +) +*213 (CptPort +uid 20710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,62625,189000,63375" +) +tg (CPTG +uid 20712,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20713,0 +va (VaSet +) +xt "190000,62400,194200,63600" +st "hTrans" +blo "190000,63400" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 2016,0 +) +) +) +*214 (CptPort +uid 20714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,64625,189000,65375" +) +tg (CPTG +uid 20716,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20717,0 +va (VaSet +) +xt "190000,64400,193900,65600" +st "hWrite" +blo "190000,65400" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 2017,0 +) +) +) +*215 (CptPort +uid 20718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20719,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,66625,189000,67375" +) +tg (CPTG +uid 20720,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20721,0 +va (VaSet +) +xt "190000,66400,192900,67600" +st "hSel" +blo "190000,67400" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 2018,0 +) +) +) +*216 (CptPort +uid 20722,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20723,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,70625,189000,71375" +) +tg (CPTG +uid 20724,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20725,0 +va (VaSet +) +xt "190000,70400,194400,71600" +st "hReady" +blo "190000,71400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +*217 (CptPort +uid 20726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20727,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "188250,72625,189000,73375" +) +tg (CPTG +uid 20728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20729,0 +va (VaSet +) +xt "190000,72400,193800,73600" +st "hResp" +blo "190000,73400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 2020,0 +) +) +) +] +shape (Rectangle +uid 20731,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "189000,55000,205000,81000" +) +oxt "43000,6000,59000,32000" +ttg (MlTextGroup +uid 20732,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*218 (Text +uid 20733,0 +va (VaSet +font "Verdana,9,1" +) +xt "189600,80800,198000,82000" +st "SystemOnChip" +blo "189600,81800" +tm "BdLibraryNameMgr" +) +*219 (Text +uid 20734,0 +va (VaSet +font "Verdana,9,1" +) +xt "189600,81700,195800,82900" +st "ahbBeamer" +blo "189600,82700" +tm "CptNameMgr" +) +*220 (Text +uid 20735,0 +va (VaSet +font "Verdana,9,1" +) +xt "189600,82600,195000,83800" +st "I_beamer" +blo "189600,83600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 20736,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 20737,0 +text (MLText +uid 20738,0 +va (VaSet +font "Verdana,8,0" +) +xt "189000,84600,214800,86600" +st "patternAddressBitNb = patternAddressBitNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*221 (SaComponent +uid 20759,0 +optionalChildren [ +*222 (CptPort +uid 20739,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20740,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,10625,44000,11375" +) +tg (CPTG +uid 20741,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20742,0 +va (VaSet +) +xt "45000,10500,49700,11700" +st "address" +blo "45000,11500" +) +) +thePort (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*223 (CptPort +uid 20743,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,16625,44000,17375" +) +tg (CPTG +uid 20745,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20746,0 +va (VaSet +) +xt "45000,16500,48400,17700" +st "clock" +blo "45000,17500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*224 (CptPort +uid 20747,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,10625,60750,11375" +) +tg (CPTG +uid 20749,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 20750,0 +va (VaSet +) +xt "54200,10500,59000,11700" +st "dataOut" +ju 2 +blo "59000,11500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +) +*225 (CptPort +uid 20751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,14625,44000,15375" +) +tg (CPTG +uid 20753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20754,0 +va (VaSet +) +xt "45000,14500,46900,15700" +st "en" +blo "45000,15500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*226 (CptPort +uid 20755,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 20756,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,18625,44000,19375" +) +tg (CPTG +uid 20757,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 20758,0 +va (VaSet +) +xt "45000,18500,48300,19700" +st "reset" +blo "45000,19500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 20760,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,7000,60000,21000" +) +oxt "25000,17000,41000,31000" +ttg (MlTextGroup +uid 20761,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*227 (Text +uid 20762,0 +va (VaSet +font "Verdana,8,1" +) +xt "44500,21000,52400,22000" +st "SystemOnChip" +blo "44500,21800" +tm "BdLibraryNameMgr" +) +*228 (Text +uid 20763,0 +va (VaSet +font "Verdana,8,1" +) +xt "44500,21900,51600,22900" +st "programRom" +blo "44500,22700" +tm "CptNameMgr" +) +*229 (Text +uid 20764,0 +va (VaSet +font "Verdana,8,1" +) +xt "44500,22800,47900,23800" +st "I_rom" +blo "44500,23600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 20765,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 20766,0 +text (MLText +uid 20767,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,24200,67500,26200" +st "addressBitNb = programCounterBitNb ( positive ) +dataBitNb = instructionBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "instructionBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*230 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "205750,59000,213000,59000" +pts [ +"205750,59000" +"213000,59000" +] +) +start &206 +end &12 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,57600,213700,59000" +st "outX" +blo "210000,58800" +tm "WireNameMgr" +) +) +on &13 +) +*231 (Wire +uid 129,0 +shape (OrthoPolyLine +uid 130,0 +va (VaSet +vasetType 3 +) +xt "205750,61000,213000,61000" +pts [ +"205750,61000" +"213000,61000" +] +) +start &208 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,59600,213600,61000" +st "outY" +blo "210000,60800" +tm "WireNameMgr" +) +) +on &15 +) +*232 (Wire +uid 5086,0 +shape (OrthoPolyLine +uid 5087,0 +va (VaSet +vasetType 3 +) +xt "205750,65000,213000,65000" +pts [ +"213000,65000" +"205750,65000" +] +) +start &16 +end &210 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5090,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5091,0 +va (VaSet +font "Verdana,12,0" +) +xt "208000,63600,214900,65000" +st "selSinCos" +blo "208000,64800" +tm "WireNameMgr" +) +) +on &17 +) +*233 (Wire +uid 17076,0 +optionalChildren [ +*234 (BdJunction +uid 17082,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17083,0 +va (VaSet +vasetType 1 +) +xt "116600,-13399,117400,-12599" +radius 400 +) +) +*235 (BdJunction +uid 17084,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17085,0 +va (VaSet +vasetType 1 +) +xt "180600,-9397,181400,-8597" +radius 400 +) +) +*236 (BdJunction +uid 17086,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17087,0 +va (VaSet +vasetType 1 +) +xt "180600,24601,181400,25401" +radius 400 +) +) +*237 (BdJunction +uid 18513,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18514,0 +va (VaSet +vasetType 1 +) +xt "180600,58600,181400,59400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17077,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-13000,181000,115000" +pts [ +"101750,-13000" +"181000,-13000" +"181000,115000" +] +) +start &28 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17080,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17081,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-13000,108250,-11600" +st "hAddr" +blo "103750,-11800" +tm "WireNameMgr" +) +) +on &91 +) +*238 (Wire +uid 17092,0 +shape (OrthoPolyLine +uid 17093,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117000,-12996,124250,-1000" +pts [ +"117000,-12996" +"117000,-1000" +"124250,-1000" +] +) +start &234 +end &56 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17094,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17095,0 +va (VaSet +font "Verdana,12,0" +) +xt "118250,-2400,122750,-1000" +st "hAddr" +blo "118250,-1200" +tm "WireNameMgr" +) +) +on &91 +) +*239 (Wire +uid 17096,0 +shape (OrthoPolyLine +uid 17097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "181000,-9000,188250,-8997" +pts [ +"181000,-8997" +"185000,-8997" +"185000,-9000" +"188250,-9000" +] +) +start &235 +end &151 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17099,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,-10400,186750,-9000" +st "hAddr" +blo "182250,-9200" +tm "WireNameMgr" +) +) +on &91 +) +*240 (Wire +uid 17100,0 +shape (OrthoPolyLine +uid 17101,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "181000,25000,188250,25001" +pts [ +"181000,25001" +"185000,25001" +"185000,25000" +"188250,25000" +] +) +start &236 +end &111 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17102,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17103,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,23600,186750,25000" +st "hAddr" +blo "182250,24800" +tm "WireNameMgr" +) +) +on &91 +) +*241 (Wire +uid 17104,0 +optionalChildren [ +*242 (BdJunction +uid 17110,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17111,0 +va (VaSet +vasetType 1 +) +xt "178600,-7399,179400,-6599" +radius 400 +) +) +*243 (BdJunction +uid 17112,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17113,0 +va (VaSet +vasetType 1 +) +xt "178600,26600,179400,27400" +radius 400 +) +) +*244 (BdJunction +uid 18507,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18508,0 +va (VaSet +vasetType 1 +) +xt "178600,60600,179400,61400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17105,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-11000,179000,115000" +pts [ +"101750,-11000" +"179000,-11000" +"179000,115000" +] +) +start &29 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17108,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17109,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-11000,109650,-9600" +st "hWData" +blo "103750,-9800" +tm "WireNameMgr" +) +) +on &92 +) +*245 (Wire +uid 17118,0 +shape (OrthoPolyLine +uid 17119,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "179000,-7000,188250,-6999" +pts [ +"179000,-6999" +"184000,-6999" +"184000,-7000" +"188250,-7000" +] +) +start &242 +end &152 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17121,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,-8400,187150,-7000" +st "hWData" +blo "181250,-7200" +tm "WireNameMgr" +) +) +on &92 +) +*246 (Wire +uid 17122,0 +shape (OrthoPolyLine +uid 17123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "179000,27000,188250,27000" +pts [ +"179000,27000" +"188250,27000" +] +) +start &243 +end &112 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17124,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17125,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,25600,187150,27000" +st "hWData" +blo "181250,26800" +tm "WireNameMgr" +) +) +on &92 +) +*247 (Wire +uid 17126,0 +optionalChildren [ +*248 (BdJunction +uid 17132,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17133,0 +va (VaSet +vasetType 1 +) +xt "176600,-5399,177400,-4599" +radius 400 +) +) +*249 (BdJunction +uid 17134,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17135,0 +va (VaSet +vasetType 1 +) +xt "176600,28600,177400,29400" +radius 400 +) +) +*250 (BdJunction +uid 18501,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18502,0 +va (VaSet +vasetType 1 +) +xt "176600,62600,177400,63400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17127,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-9000,177000,115000" +pts [ +"101750,-9000" +"177000,-9000" +"177000,115000" +] +) +start &35 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17130,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17131,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-9000,108850,-7600" +st "hTrans" +blo "103750,-7800" +tm "WireNameMgr" +) +) +on &94 +) +*251 (Wire +uid 17140,0 +shape (OrthoPolyLine +uid 17141,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177000,-5000,188250,-4999" +pts [ +"177000,-4999" +"183000,-4999" +"183000,-5000" +"188250,-5000" +] +) +start &248 +end &155 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17142,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17143,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,-6400,187350,-5000" +st "hTrans" +blo "182250,-5200" +tm "WireNameMgr" +) +) +on &94 +) +*252 (Wire +uid 17144,0 +shape (OrthoPolyLine +uid 17145,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177000,29000,188250,29000" +pts [ +"177000,29000" +"188250,29000" +] +) +start &249 +end &115 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17146,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17147,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,27600,187350,29000" +st "hTrans" +blo "182250,28800" +tm "WireNameMgr" +) +) +on &94 +) +*253 (Wire +uid 17148,0 +optionalChildren [ +*254 (BdJunction +uid 17154,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17155,0 +va (VaSet +vasetType 1 +) +xt "174600,-3399,175400,-2599" +radius 400 +) +) +*255 (BdJunction +uid 17156,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17157,0 +va (VaSet +vasetType 1 +) +xt "174600,30600,175400,31400" +radius 400 +) +) +*256 (BdJunction +uid 18495,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18496,0 +va (VaSet +vasetType 1 +) +xt "174600,64600,175400,65400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17149,0 +va (VaSet +vasetType 3 +) +xt "101750,-7000,175000,115000" +pts [ +"101750,-7000" +"175000,-7000" +"175000,115000" +] +) +start &31 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17152,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17153,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-7000,108750,-5600" +st "hWrite" +blo "103750,-5800" +tm "WireNameMgr" +) +) +on &98 +) +*257 (Wire +uid 17162,0 +shape (OrthoPolyLine +uid 17163,0 +va (VaSet +vasetType 3 +) +xt "175000,-3000,188250,-2999" +pts [ +"175000,-2999" +"182000,-2999" +"182000,-3000" +"188250,-3000" +] +) +start &254 +end &154 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17164,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17165,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,-4400,187250,-3000" +st "hWrite" +blo "182250,-3200" +tm "WireNameMgr" +) +) +on &98 +) +*258 (Wire +uid 17166,0 +shape (OrthoPolyLine +uid 17167,0 +va (VaSet +vasetType 3 +) +xt "175000,31000,188250,31000" +pts [ +"175000,31000" +"188250,31000" +] +) +start &255 +end &114 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17168,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17169,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,29600,187250,31000" +st "hWrite" +blo "182250,30800" +tm "WireNameMgr" +) +) +on &98 +) +*259 (Wire +uid 17170,0 +optionalChildren [ +*260 (BdJunction +uid 17174,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17175,0 +va (VaSet +vasetType 1 +) +xt "146600,-1399,147400,-599" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17171,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "141750,-1000,156250,-1000" +pts [ +"141750,-1000" +"156250,-1000" +] +) +start &57 +end &62 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17172,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17173,0 +va (VaSet +font "Verdana,12,0" +) +xt "142000,-2400,146300,-1000" +st "hSelV" +blo "142000,-1200" +tm "WireNameMgr" +) +) +on &104 +) +*261 (Wire +uid 17176,0 +optionalChildren [ +*262 (BdJunction +uid 17180,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17181,0 +va (VaSet +vasetType 1 +) +xt "146600,22600,147400,23400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17177,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "141750,-999,147000,23000" +pts [ +"147000,-999" +"147000,23000" +"141750,23000" +] +) +start &260 +end &45 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17178,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17179,0 +va (VaSet +font "Verdana,12,0" +) +xt "142000,21600,146300,23000" +st "hSelV" +blo "142000,22800" +tm "WireNameMgr" +) +) +on &104 +) +*263 (Wire +uid 17182,0 +optionalChildren [ +*264 (BdJunction +uid 17188,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17189,0 +va (VaSet +vasetType 1 +) +xt "146600,32600,147400,33400" +radius 400 +) +) +*265 (BdJunction +uid 18459,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18460,0 +va (VaSet +vasetType 1 +) +xt "146600,66600,147400,67400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17183,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,23000,147000,115000" +pts [ +"147000,23000" +"147000,115000" +] +) +start &262 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17186,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17187,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "145600,110000,147000,114300" +st "hSelV" +blo "146800,114300" +tm "WireNameMgr" +) +) +on &104 +) +*266 (Wire +uid 17194,0 +shape (OrthoPolyLine +uid 17195,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,33000,156250,33000" +pts [ +"156250,33000" +"147000,33000" +] +) +start &74 +end &264 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17196,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17197,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "151250,31600,155550,33000" +st "hSelV" +blo "151250,32800" +tm "WireNameMgr" +) +) +on &104 +) +*267 (Wire +uid 17198,0 +optionalChildren [ +*268 (BdJunction +uid 17202,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17203,0 +va (VaSet +vasetType 1 +) +xt "148600,26600,149400,27400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17199,0 +va (VaSet +vasetType 3 +) +xt "141750,1000,156250,27000" +pts [ +"141750,27000" +"149000,27000" +"149000,1000" +"156250,1000" +] +) +start &46 +end &63 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17200,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17201,0 +va (VaSet +font "Verdana,12,0" +) +xt "143750,25600,149950,27000" +st "hRDataV" +blo "143750,26800" +tm "WireNameMgr" +) +) +on &105 +) +*269 (Wire +uid 17204,0 +optionalChildren [ +*270 (BdJunction +uid 17210,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17211,0 +va (VaSet +vasetType 1 +) +xt "148600,34600,149400,35400" +radius 400 +) +) +*271 (BdJunction +uid 18461,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18462,0 +va (VaSet +vasetType 1 +) +xt "148600,68600,149400,69400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17205,0 +va (VaSet +vasetType 3 +) +xt "149000,27000,149000,115000" +pts [ +"149000,27000" +"149000,115000" +] +) +start &268 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17208,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17209,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "147600,108000,149000,114200" +st "hRDataV" +blo "148800,114200" +tm "WireNameMgr" +) +) +on &105 +) +*272 (Wire +uid 17216,0 +shape (OrthoPolyLine +uid 17217,0 +va (VaSet +vasetType 3 +) +xt "149000,35000,156250,35000" +pts [ +"156250,35000" +"149000,35000" +] +) +start &75 +end &270 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17218,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17219,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,33600,155450,35000" +st "hRDataV" +blo "149250,34800" +tm "WireNameMgr" +) +) +on &105 +) +*273 (Wire +uid 17220,0 +optionalChildren [ +*274 (BdJunction +uid 17224,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17225,0 +va (VaSet +vasetType 1 +) +xt "150600,28600,151400,29400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17221,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "141750,3000,156250,29000" +pts [ +"141750,29000" +"151000,29000" +"151000,3000" +"156250,3000" +] +) +start &50 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17222,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17223,0 +va (VaSet +font "Verdana,12,0" +) +xt "143750,27600,150050,29000" +st "hReadyV" +blo "143750,28800" +tm "WireNameMgr" +) +) +on &106 +) +*275 (Wire +uid 17226,0 +optionalChildren [ +*276 (BdJunction +uid 17232,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17233,0 +va (VaSet +vasetType 1 +) +xt "150600,36600,151400,37400" +radius 400 +) +) +*277 (BdJunction +uid 18463,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18464,0 +va (VaSet +vasetType 1 +) +xt "150600,70600,151400,71400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17227,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "151000,29000,151000,115000" +pts [ +"151000,29000" +"151000,115000" +] +) +start &274 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17230,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17231,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "149600,108000,151000,114300" +st "hReadyV" +blo "150800,114300" +tm "WireNameMgr" +) +) +on &106 +) +*278 (Wire +uid 17238,0 +shape (OrthoPolyLine +uid 17239,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "151000,37000,156250,37000" +pts [ +"156250,37000" +"151000,37000" +] +) +start &79 +end &276 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17240,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17241,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,35600,155550,37000" +st "hReadyV" +blo "149250,36800" +tm "WireNameMgr" +) +) +on &106 +) +*279 (Wire +uid 17242,0 +optionalChildren [ +*280 (BdJunction +uid 17246,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17247,0 +va (VaSet +vasetType 1 +) +xt "152600,30600,153400,31400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17243,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "141750,5000,156250,31000" +pts [ +"141750,31000" +"153000,31000" +"153000,5000" +"156250,5000" +] +) +start &51 +end &68 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17244,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17245,0 +va (VaSet +font "Verdana,12,0" +) +xt "143750,29600,149250,31000" +st "hRespV" +blo "143750,30800" +tm "WireNameMgr" +) +) +on &107 +) +*281 (Wire +uid 17248,0 +optionalChildren [ +*282 (BdJunction +uid 17254,0 +ps "OnConnectorStrategy" +shape (Circle +uid 17255,0 +va (VaSet +vasetType 1 +) +xt "152600,38600,153400,39400" +radius 400 +) +) +*283 (BdJunction +uid 18465,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18466,0 +va (VaSet +vasetType 1 +) +xt "152600,72600,153400,73400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17249,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "153000,31000,153000,115000" +pts [ +"153000,31000" +"153000,115000" +] +) +start &280 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17253,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "151600,108000,153000,113500" +st "hRespV" +blo "152800,113500" +tm "WireNameMgr" +) +) +on &107 +) +*284 (Wire +uid 17260,0 +shape (OrthoPolyLine +uid 17261,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "153000,39000,156250,39000" +pts [ +"156250,39000" +"153000,39000" +] +) +start &80 +end &282 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17262,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17263,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,37600,154750,39000" +st "hRespV" +blo "149250,38800" +tm "WireNameMgr" +) +) +on &107 +) +*285 (Wire +uid 17286,0 +shape (OrthoPolyLine +uid 17287,0 +va (VaSet +vasetType 3 +) +xt "36000,-3000,43250,-3000" +pts [ +"36000,-3000" +"43250,-3000" +] +) +start &18 +end &187 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 17288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17289,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,-4400,40100,-3000" +st "reset" +blo "36000,-3200" +tm "WireNameMgr" +) +) +on &109 +) +*286 (Wire +uid 17290,0 +shape (OrthoPolyLine +uid 17291,0 +va (VaSet +vasetType 3 +) +xt "36000,-5000,43250,-5000" +pts [ +"36000,-5000" +"43250,-5000" +] +) +start &19 +end &186 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17293,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,-6400,39800,-5000" +st "clock" +blo "36000,-5200" +tm "WireNameMgr" +) +) +on &108 +) +*287 (Wire +uid 17294,0 +optionalChildren [ +*288 (BdJunction +uid 19318,0 +ps "OnConnectorStrategy" +shape (Circle +uid 19319,0 +va (VaSet +vasetType 1 +) +xt "37600,-7400,38400,-6600" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17295,0 +va (VaSet +vasetType 3 +) +xt "28000,-7000,43250,-7000" +pts [ +"28000,-7000" +"43250,-7000" +] +) +start &181 +end &190 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17299,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,-8400,32000,-7000" +st "upEn" +blo "28000,-7200" +tm "WireNameMgr" +) +) +on &180 +) +*289 (Wire +uid 17300,0 +shape (OrthoPolyLine +uid 17301,0 +va (VaSet +vasetType 3 +) +xt "81000,11000,84250,11000" +pts [ +"81000,11000" +"84250,11000" +] +) +end &22 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 17304,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17305,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,9600,84100,11000" +st "reset" +blo "80000,10800" +tm "WireNameMgr" +) +) +on &109 +) +*290 (Wire +uid 17306,0 +shape (OrthoPolyLine +uid 17307,0 +va (VaSet +vasetType 3 +) +xt "81000,9000,84250,9000" +pts [ +"81000,9000" +"84250,9000" +] +) +end &21 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17310,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17311,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,7600,83800,9000" +st "clock" +blo "80000,8800" +tm "WireNameMgr" +) +) +on &108 +) +*291 (Wire +uid 17318,0 +shape (OrthoPolyLine +uid 17319,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,-13000,84250,-13000" +pts [ +"60750,-13000" +"84250,-13000" +] +) +start &193 +end &25 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17320,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17321,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,-14400,70500,-13000" +st "upAddress" +blo "63000,-13200" +tm "WireNameMgr" +) +) +on &86 +) +*292 (Wire +uid 17322,0 +shape (OrthoPolyLine +uid 17323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,-11000,84250,-11000" +pts [ +"60750,-11000" +"84250,-11000" +] +) +start &194 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17324,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17325,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,-12400,70700,-11000" +st "upDataOut" +blo "63000,-11200" +tm "WireNameMgr" +) +) +on &87 +) +*293 (Wire +uid 17326,0 +shape (OrthoPolyLine +uid 17327,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,-9000,84250,-9000" +pts [ +"60750,-9000" +"84250,-9000" +] +) +start &195 +end &27 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17329,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,-10400,69700,-9000" +st "upDataIn" +blo "63000,-9200" +tm "WireNameMgr" +) +) +on &88 +) +*294 (Wire +uid 17330,0 +shape (OrthoPolyLine +uid 17331,0 +va (VaSet +vasetType 3 +) +xt "60750,-7000,84250,-7000" +pts [ +"60750,-7000" +"84250,-7000" +] +) +start &188 +end &23 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17332,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17333,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,-8400,73600,-7000" +st "upReadStrobe" +blo "63000,-7200" +tm "WireNameMgr" +) +) +on &89 +) +*295 (Wire +uid 17334,0 +shape (OrthoPolyLine +uid 17335,0 +va (VaSet +vasetType 3 +) +xt "60750,-5000,84250,-5000" +pts [ +"60750,-5000" +"84250,-5000" +] +) +start &189 +end &24 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17336,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17337,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,-6400,73800,-5000" +st "upWriteStrobe" +blo "63000,-5200" +tm "WireNameMgr" +) +) +on &90 +) +*296 (Wire +uid 17338,0 +shape (OrthoPolyLine +uid 17339,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,3000,124250,27000" +pts [ +"101750,3000" +"115000,3000" +"115000,27000" +"124250,27000" +] +) +start &30 +end &49 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17341,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,1600,109150,3000" +st "hRData" +blo "103750,2800" +tm "WireNameMgr" +) +) +on &93 +) +*297 (Wire +uid 17342,0 +shape (OrthoPolyLine +uid 17343,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-5000,113000,-5000" +pts [ +"101750,-5000" +"113000,-5000" +] +) +start &32 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17347,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-6400,107950,-5000" +st "hSize" +blo "103750,-5200" +tm "WireNameMgr" +) +) +on &95 +) +*298 (Wire +uid 17348,0 +shape (OrthoPolyLine +uid 17349,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-3000,113000,-3000" +pts [ +"101750,-3000" +"113000,-3000" +] +) +start &33 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17352,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17353,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-4400,108650,-3000" +st "hBurst" +blo "103750,-3200" +tm "WireNameMgr" +) +) +on &96 +) +*299 (Wire +uid 17354,0 +shape (OrthoPolyLine +uid 17355,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101750,-1000,113000,-1000" +pts [ +"101750,-1000" +"113000,-1000" +] +) +start &34 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17358,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17359,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-2400,107950,-1000" +st "hProt" +blo "103750,-1200" +tm "WireNameMgr" +) +) +on &97 +) +*300 (Wire +uid 17360,0 +shape (OrthoPolyLine +uid 17361,0 +va (VaSet +vasetType 3 +) +xt "101750,5000,124250,29000" +pts [ +"101750,5000" +"113000,5000" +"113000,29000" +"124250,29000" +] +) +start &37 +end &47 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17363,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,3600,109250,5000" +st "hReady" +blo "103750,4800" +tm "WireNameMgr" +) +) +on &99 +) +*301 (Wire +uid 17364,0 +shape (OrthoPolyLine +uid 17365,0 +va (VaSet +vasetType 3 +) +xt "101750,1000,113000,1000" +pts [ +"101750,1000" +"113000,1000" +] +) +start &36 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17368,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17369,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,-400,111150,1000" +st "hMastLock" +blo "103750,800" +tm "WireNameMgr" +) +) +on &100 +) +*302 (Wire +uid 17370,0 +shape (OrthoPolyLine +uid 17371,0 +va (VaSet +vasetType 3 +) +xt "101750,7000,124250,31000" +pts [ +"101750,7000" +"111000,7000" +"111000,31000" +"124250,31000" +] +) +start &38 +end &48 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17372,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17373,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,5600,108450,7000" +st "hResp" +blo "103750,6800" +tm "WireNameMgr" +) +) +on &101 +) +*303 (Wire +uid 17374,0 +shape (OrthoPolyLine +uid 17375,0 +va (VaSet +vasetType 3 +) +xt "101750,9000,109000,9000" +pts [ +"101750,9000" +"109000,9000" +] +) +start &39 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17378,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17379,0 +va (VaSet +font "Verdana,12,0" +) +xt "104000,7600,107500,9000" +st "hClk" +blo "104000,8800" +tm "WireNameMgr" +) +) +on &102 +) +*304 (Wire +uid 17380,0 +shape (OrthoPolyLine +uid 17381,0 +va (VaSet +vasetType 3 +) +xt "101750,11000,109000,11000" +pts [ +"101750,11000" +"109000,11000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17384,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17385,0 +va (VaSet +font "Verdana,12,0" +) +xt "103750,9600,110550,11000" +st "hReset_n" +blo "103750,10800" +tm "WireNameMgr" +) +) +on &103 +) +*305 (Wire +uid 17386,0 +shape (OrthoPolyLine +uid 17387,0 +va (VaSet +vasetType 3 +) +xt "185000,9000,188250,9000" +pts [ +"188250,9000" +"185000,9000" +] +) +start &158 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17390,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17391,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,7600,186500,9000" +st "hClk" +blo "183000,8800" +tm "WireNameMgr" +) +) +on &102 +) +*306 (Wire +uid 17392,0 +shape (OrthoPolyLine +uid 17393,0 +va (VaSet +vasetType 3 +) +xt "185000,11000,188250,11000" +pts [ +"188250,11000" +"185000,11000" +] +) +start &159 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17396,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17397,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,9600,189800,11000" +st "hReset_n" +blo "183000,10800" +tm "WireNameMgr" +) +) +on &103 +) +*307 (Wire +uid 17398,0 +shape (OrthoPolyLine +uid 17399,0 +va (VaSet +vasetType 3 +) +xt "173750,-1000,188250,-1000" +pts [ +"173750,-1000" +"188250,-1000" +] +) +start &69 +end &161 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17400,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17401,0 +va (VaSet +font "Verdana,12,0" +) +xt "182000,-2400,188300,-1000" +st "hSelGpio" +blo "182000,-1200" +tm "WireNameMgr" +) +) +on &167 +) +*308 (Wire +uid 17402,0 +shape (OrthoPolyLine +uid 17403,0 +va (VaSet +vasetType 3 +) +xt "173750,5000,188250,5000" +pts [ +"188250,5000" +"173750,5000" +] +) +start &157 +end &65 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17404,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17405,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,3600,188750,5000" +st "hRespGpio" +blo "181250,4800" +tm "WireNameMgr" +) +) +on &170 +) +*309 (Wire +uid 17406,0 +shape (OrthoPolyLine +uid 17407,0 +va (VaSet +vasetType 3 +) +xt "173750,3000,188250,3000" +pts [ +"188250,3000" +"173750,3000" +] +) +start &156 +end &64 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17408,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17409,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,1600,190350,3000" +st "hReadyGpio" +blo "181250,2800" +tm "WireNameMgr" +) +) +on &169 +) +*310 (Wire +uid 17410,0 +shape (OrthoPolyLine +uid 17411,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "173750,1000,188250,1000" +pts [ +"188250,1000" +"173750,1000" +] +) +start &153 +end &66 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17412,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17413,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,-400,190250,1000" +st "hRDataGpio" +blo "181250,800" +tm "WireNameMgr" +) +) +on &168 +) +*311 (Wire +uid 17456,0 +shape (OrthoPolyLine +uid 17457,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "173750,35000,188250,35000" +pts [ +"188250,35000" +"173750,35000" +] +) +start &113 +end &78 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17459,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,33600,190150,35000" +st "hRDataUart" +blo "181250,34800" +tm "WireNameMgr" +) +) +on &131 +) +*312 (Wire +uid 17460,0 +shape (OrthoPolyLine +uid 17461,0 +va (VaSet +vasetType 3 +) +xt "173750,33000,188250,33000" +pts [ +"173750,33000" +"188250,33000" +] +) +start &81 +end &121 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17463,0 +va (VaSet +font "Verdana,12,0" +) +xt "182000,31600,188200,33000" +st "hSelUart" +blo "182000,32800" +tm "WireNameMgr" +) +) +on &130 +) +*313 (Wire +uid 17464,0 +shape (OrthoPolyLine +uid 17465,0 +va (VaSet +vasetType 3 +) +xt "173750,39000,188250,39000" +pts [ +"188250,39000" +"173750,39000" +] +) +start &117 +end &77 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17467,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,37600,188650,39000" +st "hRespUart" +blo "181250,38800" +tm "WireNameMgr" +) +) +on &133 +) +*314 (Wire +uid 17468,0 +shape (OrthoPolyLine +uid 17469,0 +va (VaSet +vasetType 3 +) +xt "173750,37000,188250,37000" +pts [ +"188250,37000" +"173750,37000" +] +) +start &116 +end &76 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17471,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,35600,190250,37000" +st "hReadyUart" +blo "181250,36800" +tm "WireNameMgr" +) +) +on &132 +) +*315 (Wire +uid 17472,0 +shape (OrthoPolyLine +uid 17473,0 +va (VaSet +vasetType 3 +) +xt "185000,45000,188250,45000" +pts [ +"188250,45000" +"185000,45000" +] +) +start &119 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17477,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,43600,189800,45000" +st "hReset_n" +blo "183000,44800" +tm "WireNameMgr" +) +) +on &103 +) +*316 (Wire +uid 17478,0 +shape (OrthoPolyLine +uid 17479,0 +va (VaSet +vasetType 3 +) +xt "185000,43000,188250,43000" +pts [ +"188250,43000" +"185000,43000" +] +) +start &118 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17482,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17483,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,41600,186500,43000" +st "hClk" +blo "183000,42800" +tm "WireNameMgr" +) +) +on &102 +) +*317 (Wire +uid 18322,0 +shape (OrthoPolyLine +uid 18323,0 +va (VaSet +vasetType 3 +) +xt "205750,25000,213000,25000" +pts [ +"205750,25000" +"213000,25000" +] +) +start &120 +end &126 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18327,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,23600,213100,25000" +st "TxD" +blo "210000,24800" +tm "WireNameMgr" +) +) +on &128 +) +*318 (Wire +uid 18334,0 +shape (OrthoPolyLine +uid 18335,0 +va (VaSet +vasetType 3 +) +xt "205750,27000,213000,27000" +pts [ +"205750,27000" +"213000,27000" +] +) +start &122 +end &127 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18339,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,25600,213200,27000" +st "RxD" +blo "210000,26800" +tm "WireNameMgr" +) +) +on &129 +) +*319 (Wire +uid 18411,0 +shape (OrthoPolyLine +uid 18412,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,67000,156250,67000" +pts [ +"156250,67000" +"147000,67000" +] +) +start &135 +end &265 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18415,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18416,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "151250,65600,155550,67000" +st "hSelV" +blo "151250,66800" +tm "WireNameMgr" +) +) +on &104 +) +*320 (Wire +uid 18417,0 +shape (OrthoPolyLine +uid 18418,0 +va (VaSet +vasetType 3 +) +xt "149000,69000,156250,69000" +pts [ +"156250,69000" +"149000,69000" +] +) +start &136 +end &271 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18422,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,67600,155450,69000" +st "hRDataV" +blo "149250,68800" +tm "WireNameMgr" +) +) +on &105 +) +*321 (Wire +uid 18423,0 +shape (OrthoPolyLine +uid 18424,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "151000,71000,156250,71000" +pts [ +"156250,71000" +"151000,71000" +] +) +start &140 +end &277 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18428,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,69600,155550,71000" +st "hReadyV" +blo "149250,70800" +tm "WireNameMgr" +) +) +on &106 +) +*322 (Wire +uid 18429,0 +shape (OrthoPolyLine +uid 18430,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "153000,73000,156250,73000" +pts [ +"156250,73000" +"153000,73000" +] +) +start &141 +end &283 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18433,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "149250,71600,154750,73000" +st "hRespV" +blo "149250,72800" +tm "WireNameMgr" +) +) +on &107 +) +*323 (Wire +uid 18435,0 +shape (OrthoPolyLine +uid 18436,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "173750,69000,188250,69000" +pts [ +"188250,69000" +"173750,69000" +] +) +start &212 +end &139 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18439,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18440,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,67600,192250,69000" +st "hRDataBeamer" +blo "181250,68800" +tm "WireNameMgr" +) +) +on &147 +) +*324 (Wire +uid 18441,0 +shape (OrthoPolyLine +uid 18442,0 +va (VaSet +vasetType 3 +) +xt "173750,67000,188250,67000" +pts [ +"173750,67000" +"188250,67000" +] +) +start &142 +end &215 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18445,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18446,0 +va (VaSet +font "Verdana,12,0" +) +xt "182000,65600,191100,67000" +st "hSelBeamer" +blo "182000,66800" +tm "WireNameMgr" +) +) +on &146 +) +*325 (Wire +uid 18447,0 +shape (OrthoPolyLine +uid 18448,0 +va (VaSet +vasetType 3 +) +xt "173750,73000,188250,73000" +pts [ +"188250,73000" +"173750,73000" +] +) +start &217 +end &138 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18451,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18452,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,71600,191550,73000" +st "hRespBeamer" +blo "181250,72800" +tm "WireNameMgr" +) +) +on &149 +) +*326 (Wire +uid 18453,0 +shape (OrthoPolyLine +uid 18454,0 +va (VaSet +vasetType 3 +) +xt "173750,71000,188250,71000" +pts [ +"188250,71000" +"173750,71000" +] +) +start &216 +end &137 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18457,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18458,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,69600,192350,71000" +st "hReadyBeamer" +blo "181250,70800" +tm "WireNameMgr" +) +) +on &148 +) +*327 (Wire +uid 18475,0 +shape (OrthoPolyLine +uid 18476,0 +va (VaSet +vasetType 3 +) +xt "185000,79000,188250,79000" +pts [ +"188250,79000" +"185000,79000" +] +) +start &207 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18481,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18482,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,77600,189800,79000" +st "hReset_n" +blo "183000,78800" +tm "WireNameMgr" +) +) +on &103 +) +*328 (Wire +uid 18483,0 +shape (OrthoPolyLine +uid 18484,0 +va (VaSet +vasetType 3 +) +xt "185000,77000,188250,77000" +pts [ +"188250,77000" +"185000,77000" +] +) +start &204 +sat 32 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18489,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18490,0 +va (VaSet +font "Verdana,12,0" +) +xt "183000,75600,186500,77000" +st "hClk" +blo "183000,76800" +tm "WireNameMgr" +) +) +on &102 +) +*329 (Wire +uid 18491,0 +shape (OrthoPolyLine +uid 18492,0 +va (VaSet +vasetType 3 +) +xt "175000,65000,188250,65000" +pts [ +"175000,65000" +"188250,65000" +] +) +start &256 +end &214 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18493,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18494,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,63600,187250,65000" +st "hWrite" +blo "182250,64800" +tm "WireNameMgr" +) +) +on &98 +) +*330 (Wire +uid 18497,0 +shape (OrthoPolyLine +uid 18498,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "177000,63000,188250,63000" +pts [ +"177000,63000" +"188250,63000" +] +) +start &250 +end &213 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18499,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18500,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,61600,187350,63000" +st "hTrans" +blo "182250,62800" +tm "WireNameMgr" +) +) +on &94 +) +*331 (Wire +uid 18503,0 +shape (OrthoPolyLine +uid 18504,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "179000,61000,188250,61000" +pts [ +"179000,61000" +"188250,61000" +] +) +start &244 +end &209 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18506,0 +va (VaSet +font "Verdana,12,0" +) +xt "181250,59600,187150,61000" +st "hWData" +blo "181250,60800" +tm "WireNameMgr" +) +) +on &92 +) +*332 (Wire +uid 18509,0 +shape (OrthoPolyLine +uid 18510,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "181000,59000,188250,59000" +pts [ +"181000,59000" +"188250,59000" +] +) +start &237 +end &205 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18512,0 +va (VaSet +font "Verdana,12,0" +) +xt "182250,57600,186750,59000" +st "hAddr" +blo "182250,58800" +tm "WireNameMgr" +) +) +on &91 +) +*333 (Wire +uid 18715,0 +shape (OrthoPolyLine +uid 18716,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "205750,-9000,213000,-9000" +pts [ +"213000,-9000" +"205750,-9000" +] +) +start &173 +end &163 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18717,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18718,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,-10400,213500,-9000" +st "ioEn" +blo "210000,-9200" +tm "WireNameMgr" +) +) +on &174 +) +*334 (Wire +uid 18721,0 +shape (OrthoPolyLine +uid 18722,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "205750,-7000,213000,-7000" +pts [ +"213000,-7000" +"205750,-7000" +] +) +start &171 +end &160 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18724,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,-8400,214200,-7000" +st "ioOut" +blo "210000,-7200" +tm "WireNameMgr" +) +) +on &175 +) +*335 (Wire +uid 18727,0 +shape (OrthoPolyLine +uid 18728,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "205750,-5000,213000,-5000" +pts [ +"213000,-5000" +"205750,-5000" +] +) +start &172 +end &162 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 18729,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18730,0 +va (VaSet +font "Verdana,12,0" +) +xt "210000,-6400,213200,-5000" +st "ioIn" +blo "210000,-5200" +tm "WireNameMgr" +) +) +on &176 +) +*336 (Wire +uid 19070,0 +shape (OrthoPolyLine +uid 19071,0 +va (VaSet +vasetType 3 +) +xt "36000,-17000,43250,-17000" +pts [ +"43250,-17000" +"36000,-17000" +] +) +start &191 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19074,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19075,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,-18400,40500,-17000" +st "intAck" +blo "36000,-17200" +tm "WireNameMgr" +) +) +on &177 +) +*337 (Wire +uid 19076,0 +shape (OrthoPolyLine +uid 19077,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,-17000,69000,11000" +pts [ +"60750,-17000" +"69000,-17000" +"69000,11000" +"60750,11000" +] +) +start &196 +end &224 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19078,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19079,0 +va (VaSet +font "Verdana,12,0" +) +xt "62750,-18400,70950,-17000" +st "instruction" +blo "62750,-17200" +tm "WireNameMgr" +) +) +on &178 +) +*338 (Wire +uid 19080,0 +shape (OrthoPolyLine +uid 19081,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,-19000,65000,11000" +pts [ +"60750,-19000" +"65000,-19000" +"65000,3000" +"40000,3000" +"40000,11000" +"43250,11000" +] +) +start &197 +end &222 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 19082,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19083,0 +va (VaSet +font "Verdana,12,0" +) +xt "62000,-20400,74000,-19000" +st "programCounter" +blo "62000,-19200" +tm "WireNameMgr" +) +) +on &179 +) +*339 (Wire +uid 19084,0 +shape (OrthoPolyLine +uid 19085,0 +va (VaSet +vasetType 3 +) +xt "36000,-19000,43250,-19000" +pts [ +"36000,-19000" +"43250,-19000" +] +) +end &192 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19088,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19089,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,-20400,38400,-19000" +st "int" +blo "36000,-19200" +tm "WireNameMgr" +) +) +on &85 +) +*340 (Wire +uid 19298,0 +shape (OrthoPolyLine +uid 19299,0 +va (VaSet +vasetType 3 +) +xt "40000,19000,43250,19000" +pts [ +"40000,19000" +"43250,19000" +] +) +end &226 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19304,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19305,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,17600,43100,19000" +st "reset" +blo "39000,18800" +tm "WireNameMgr" +) +) +on &109 +) +*341 (Wire +uid 19306,0 +shape (OrthoPolyLine +uid 19307,0 +va (VaSet +vasetType 3 +) +xt "40000,17000,43250,17000" +pts [ +"40000,17000" +"43250,17000" +] +) +end &223 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19312,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19313,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,15600,42800,17000" +st "clock" +blo "39000,16800" +tm "WireNameMgr" +) +) +on &108 +) +*342 (Wire +uid 19314,0 +shape (OrthoPolyLine +uid 19315,0 +va (VaSet +vasetType 3 +) +xt "38000,-6997,43250,15000" +pts [ +"38000,-6997" +"38000,15000" +"43250,15000" +] +) +start &288 +end &225 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19316,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19317,0 +va (VaSet +font "Verdana,12,0" +) +xt "40250,13600,44250,15000" +st "upEn" +blo "40250,14800" +tm "WireNameMgr" +) +) +on &180 +) +*343 (Wire +uid 19803,0 +shape (OrthoPolyLine +uid 19804,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "205750,77000,213000,77000" +pts [ +"205750,77000" +"213000,77000" +] +) +start &211 +end &201 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19808,0 +va (VaSet +font "Verdana,12,0" +) +xt "208000,75600,213600,77000" +st "testOut" +blo "208000,76800" +tm "WireNameMgr" +) +) +on &202 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *344 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*345 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-25000,6900,-24000" +st "Package List" +blo "0,-24200" +) +*346 (MLText +uid 44,0 +va (VaSet +) +xt "0,-24000,17500,-18000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*347 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*348 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*349 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*350 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*351 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*352 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*353 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-3252,-28293,286043,128889" +cachedDiagramExtent "0,-25000,237000,125500" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 67 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-25000" +lastUid 20844,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*354 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*355 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*356 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*357 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*358 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*359 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*360 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*361 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*362 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*363 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*364 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*365 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*366 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*367 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*368 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*369 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*370 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*371 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*372 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*373 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*374 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,49000,7000,50000" +st "Declarations" +blo "0,49800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,49900,3400,50900" +st "Ports:" +blo "0,50700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,60700,4800,61700" +st "Pre User:" +blo "0,61500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,61600,29500,92600" +st "constant programCounterBitNb: positive := 10; +constant instructionBitNb: positive := 26; +constant stackPointerBitNb: positive := 4; +constant registerAddressBitNb: positive := 4; +constant scratchpadAddressBitNb: natural := 0; + +constant signalBitNb: positive := 16; +constant updatePeriodBitNb : positive := 16; + +constant gpioIndex: positive := 1; +constant uartIndex: positive := gpioIndex+1; +constant beamerIndex: positive := uartIndex+1; + +constant ahbMemoryLocation : ahbMemoryLocationVector := ( + gpioIndex => ( + baseAddress => 16#0000#, + addressMask => 16#10000# - 16#0002# + ), + uartIndex => ( + baseAddress => 16#0010#, + addressMask => 16#10000# - 16#0004# + ), + beamerIndex => ( + baseAddress => 16#0020#, + addressMask => 16#10000# - 16#0004# + ), + others => ( + baseAddress => 16#FFFF#, + addressMask => 16#0000# + ) +);" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,89500,9000,90500" +st "Diagram Signals:" +blo "0,90300" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,49000,6000,50000" +st "Post User:" +blo "0,49800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,49000,0,49000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 162,0 +usingSuid 1 +emptyRow *375 (LEmptyRow +) +uid 10774,0 +optionalChildren [ +*376 (RefLabelRowHdr +) +*377 (TitleRowHdr +) +*378 (FilterRowHdr +) +*379 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*380 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*381 (GroupColHdr +tm "GroupColHdrMgr" +) +*382 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*383 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*384 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*385 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*386 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*387 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*388 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 10639,0 +) +*389 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 10641,0 +) +*390 (LeafLogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 62,0 +) +) +uid 10755,0 +) +*391 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "int" +t "std_uLogic" +o 13 +suid 87,0 +) +) +uid 17574,0 +) +*392 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 14 +suid 88,0 +) +) +uid 17576,0 +) +*393 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 15 +suid 89,0 +) +) +uid 17578,0 +) +*394 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 16 +suid 90,0 +) +) +uid 17580,0 +) +*395 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 17 +suid 91,0 +) +) +uid 17582,0 +) +*396 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 18 +suid 92,0 +) +) +uid 17584,0 +) +*397 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 19 +suid 93,0 +) +) +uid 17586,0 +) +*398 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 20 +suid 94,0 +) +) +uid 17588,0 +) +*399 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 21 +suid 95,0 +) +) +uid 17590,0 +) +*400 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 22 +suid 96,0 +) +) +uid 17592,0 +) +*401 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 23 +suid 97,0 +) +) +uid 17594,0 +) +*402 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 24 +suid 98,0 +) +) +uid 17596,0 +) +*403 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 25 +suid 99,0 +) +) +uid 17598,0 +) +*404 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 100,0 +) +) +uid 17600,0 +) +*405 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReady" +t "std_uLogic" +o 27 +suid 101,0 +) +) +uid 17602,0 +) +*406 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 28 +suid 102,0 +) +) +uid 17604,0 +) +*407 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hResp" +t "std_uLogic" +o 29 +suid 103,0 +) +) +uid 17606,0 +) +*408 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hClk" +t "std_uLogic" +o 30 +suid 104,0 +) +) +uid 17608,0 +) +*409 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 31 +suid 105,0 +) +) +uid 17610,0 +) +*410 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "(1 TO ahbSlaveNb)" +o 32 +suid 106,0 +) +) +uid 17612,0 +) +*411 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 33 +suid 107,0 +) +) +uid 17614,0 +) +*412 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 34 +suid 108,0 +) +) +uid 17616,0 +) +*413 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 35 +suid 109,0 +) +) +uid 17618,0 +) +*414 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 126,0 +) +) +uid 17755,0 +) +*415 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 127,0 +) +) +uid 17757,0 +) +*416 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 128,0 +) +) +uid 18358,0 +) +*417 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 129,0 +) +) +uid 18360,0 +) +*418 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelUart" +t "std_uLogic" +o 43 +suid 130,0 +) +) +uid 18362,0 +) +*419 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataUart" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 41 +suid 131,0 +) +) +uid 18364,0 +) +*420 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyUart" +t "std_uLogic" +o 47 +suid 132,0 +) +) +uid 18366,0 +) +*421 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespUart" +t "std_uLogic" +o 44 +suid 133,0 +) +) +uid 18368,0 +) +*422 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelBeamer" +t "std_uLogic" +o 42 +suid 134,0 +) +) +uid 18515,0 +) +*423 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataBeamer" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 40 +suid 135,0 +) +) +uid 18517,0 +) +*424 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyBeamer" +t "std_uLogic" +o 46 +suid 136,0 +) +) +uid 18519,0 +) +*425 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespBeamer" +t "std_uLogic" +o 45 +suid 137,0 +) +) +uid 18521,0 +) +*426 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelGpio" +t "std_uLogic" +o 36 +suid 138,0 +) +) +uid 18731,0 +) +*427 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataGpio" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 39 +suid 139,0 +) +) +uid 18733,0 +) +*428 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyGpio" +t "std_uLogic" +o 38 +suid 140,0 +) +) +uid 18735,0 +) +*429 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespGpio" +t "std_uLogic" +o 37 +suid 141,0 +) +) +uid 18737,0 +) +*430 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 142,0 +) +) +uid 18739,0 +) +*431 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 143,0 +) +) +uid 18741,0 +) +*432 (LeafLogPort +port (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 144,0 +) +) +uid 18743,0 +) +*433 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "intAck" +t "std_ulogic" +o 48 +suid 145,0 +) +) +uid 19124,0 +) +*434 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 49 +suid 151,0 +) +) +uid 19126,0 +) +*435 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "programCounter" +t "unsigned" +b "(programCounterBitNb-1 DOWNTO 0)" +o 50 +suid 152,0 +) +) +uid 19128,0 +) +*436 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upEn" +t "std_ulogic" +o 12 +suid 160,0 +) +) +uid 19387,0 +) +*437 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 162,0 +) +) +uid 19813,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 10787,0 +optionalChildren [ +*438 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *439 (MRCItem +litem &375 +pos 50 +dimension 20 +) +uid 10789,0 +optionalChildren [ +*440 (MRCItem +litem &376 +pos 0 +dimension 20 +uid 10790,0 +) +*441 (MRCItem +litem &377 +pos 1 +dimension 23 +uid 10791,0 +) +*442 (MRCItem +litem &378 +pos 2 +hidden 1 +dimension 20 +uid 10792,0 +) +*443 (MRCItem +litem &388 +pos 0 +dimension 20 +uid 10640,0 +) +*444 (MRCItem +litem &389 +pos 1 +dimension 20 +uid 10642,0 +) +*445 (MRCItem +litem &390 +pos 2 +dimension 20 +uid 10756,0 +) +*446 (MRCItem +litem &391 +pos 11 +dimension 20 +uid 17575,0 +) +*447 (MRCItem +litem &392 +pos 12 +dimension 20 +uid 17577,0 +) +*448 (MRCItem +litem &393 +pos 13 +dimension 20 +uid 17579,0 +) +*449 (MRCItem +litem &394 +pos 14 +dimension 20 +uid 17581,0 +) +*450 (MRCItem +litem &395 +pos 15 +dimension 20 +uid 17583,0 +) +*451 (MRCItem +litem &396 +pos 16 +dimension 20 +uid 17585,0 +) +*452 (MRCItem +litem &397 +pos 17 +dimension 20 +uid 17587,0 +) +*453 (MRCItem +litem &398 +pos 18 +dimension 20 +uid 17589,0 +) +*454 (MRCItem +litem &399 +pos 19 +dimension 20 +uid 17591,0 +) +*455 (MRCItem +litem &400 +pos 20 +dimension 20 +uid 17593,0 +) +*456 (MRCItem +litem &401 +pos 21 +dimension 20 +uid 17595,0 +) +*457 (MRCItem +litem &402 +pos 22 +dimension 20 +uid 17597,0 +) +*458 (MRCItem +litem &403 +pos 23 +dimension 20 +uid 17599,0 +) +*459 (MRCItem +litem &404 +pos 24 +dimension 20 +uid 17601,0 +) +*460 (MRCItem +litem &405 +pos 25 +dimension 20 +uid 17603,0 +) +*461 (MRCItem +litem &406 +pos 26 +dimension 20 +uid 17605,0 +) +*462 (MRCItem +litem &407 +pos 27 +dimension 20 +uid 17607,0 +) +*463 (MRCItem +litem &408 +pos 28 +dimension 20 +uid 17609,0 +) +*464 (MRCItem +litem &409 +pos 29 +dimension 20 +uid 17611,0 +) +*465 (MRCItem +litem &410 +pos 30 +dimension 20 +uid 17613,0 +) +*466 (MRCItem +litem &411 +pos 31 +dimension 20 +uid 17615,0 +) +*467 (MRCItem +litem &412 +pos 32 +dimension 20 +uid 17617,0 +) +*468 (MRCItem +litem &413 +pos 33 +dimension 20 +uid 17619,0 +) +*469 (MRCItem +litem &414 +pos 3 +dimension 20 +uid 17756,0 +) +*470 (MRCItem +litem &415 +pos 4 +dimension 20 +uid 17758,0 +) +*471 (MRCItem +litem &416 +pos 5 +dimension 20 +uid 18359,0 +) +*472 (MRCItem +litem &417 +pos 6 +dimension 20 +uid 18361,0 +) +*473 (MRCItem +litem &418 +pos 34 +dimension 20 +uid 18363,0 +) +*474 (MRCItem +litem &419 +pos 35 +dimension 20 +uid 18365,0 +) +*475 (MRCItem +litem &420 +pos 36 +dimension 20 +uid 18367,0 +) +*476 (MRCItem +litem &421 +pos 37 +dimension 20 +uid 18369,0 +) +*477 (MRCItem +litem &422 +pos 38 +dimension 20 +uid 18516,0 +) +*478 (MRCItem +litem &423 +pos 39 +dimension 20 +uid 18518,0 +) +*479 (MRCItem +litem &424 +pos 40 +dimension 20 +uid 18520,0 +) +*480 (MRCItem +litem &425 +pos 41 +dimension 20 +uid 18522,0 +) +*481 (MRCItem +litem &426 +pos 42 +dimension 20 +uid 18732,0 +) +*482 (MRCItem +litem &427 +pos 43 +dimension 20 +uid 18734,0 +) +*483 (MRCItem +litem &428 +pos 44 +dimension 20 +uid 18736,0 +) +*484 (MRCItem +litem &429 +pos 45 +dimension 20 +uid 18738,0 +) +*485 (MRCItem +litem &430 +pos 7 +dimension 20 +uid 18740,0 +) +*486 (MRCItem +litem &431 +pos 8 +dimension 20 +uid 18742,0 +) +*487 (MRCItem +litem &432 +pos 9 +dimension 20 +uid 18744,0 +) +*488 (MRCItem +litem &433 +pos 46 +dimension 20 +uid 19125,0 +) +*489 (MRCItem +litem &434 +pos 47 +dimension 20 +uid 19127,0 +) +*490 (MRCItem +litem &435 +pos 48 +dimension 20 +uid 19129,0 +) +*491 (MRCItem +litem &436 +pos 49 +dimension 20 +uid 19388,0 +) +*492 (MRCItem +litem &437 +pos 10 +dimension 20 +uid 19814,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10793,0 +optionalChildren [ +*493 (MRCItem +litem &379 +pos 0 +dimension 20 +uid 10794,0 +) +*494 (MRCItem +litem &381 +pos 1 +dimension 50 +uid 10795,0 +) +*495 (MRCItem +litem &382 +pos 2 +dimension 100 +uid 10796,0 +) +*496 (MRCItem +litem &383 +pos 3 +dimension 50 +uid 10797,0 +) +*497 (MRCItem +litem &384 +pos 4 +dimension 100 +uid 10798,0 +) +*498 (MRCItem +litem &385 +pos 5 +dimension 100 +uid 10799,0 +) +*499 (MRCItem +litem &386 +pos 6 +dimension 50 +uid 10800,0 +) +*500 (MRCItem +litem &387 +pos 7 +dimension 80 +uid 10801,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 10788,0 +vaOverrides [ +] +) +] +) +uid 10773,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *501 (LEmptyRow +) +uid 10803,0 +optionalChildren [ +*502 (RefLabelRowHdr +) +*503 (TitleRowHdr +) +*504 (FilterRowHdr +) +*505 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*506 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*507 (GroupColHdr +tm "GroupColHdrMgr" +) +*508 (NameColHdr +tm "GenericNameColHdrMgr" +) +*509 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*510 (InitColHdr +tm "GenericValueColHdrMgr" +) +*511 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*512 (EolColHdr +tm "GenericEolColHdrMgr" +) +*513 (LogGeneric +generic (GiElement +name "ioNb" +type "positive" +value "8" +) +uid 18746,0 +) +*514 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 19794,0 +) +*515 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +uid 20442,0 +) +] +) +pdm (PhysicalDM +uid 10815,0 +optionalChildren [ +*516 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *517 (MRCItem +litem &501 +pos 3 +dimension 20 +) +uid 10817,0 +optionalChildren [ +*518 (MRCItem +litem &502 +pos 0 +dimension 20 +uid 10818,0 +) +*519 (MRCItem +litem &503 +pos 1 +dimension 23 +uid 10819,0 +) +*520 (MRCItem +litem &504 +pos 2 +hidden 1 +dimension 20 +uid 10820,0 +) +*521 (MRCItem +litem &513 +pos 0 +dimension 20 +uid 18745,0 +) +*522 (MRCItem +litem &514 +pos 1 +dimension 20 +uid 19793,0 +) +*523 (MRCItem +litem &515 +pos 2 +dimension 20 +uid 20441,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 10821,0 +optionalChildren [ +*524 (MRCItem +litem &505 +pos 0 +dimension 20 +uid 10822,0 +) +*525 (MRCItem +litem &507 +pos 1 +dimension 50 +uid 10823,0 +) +*526 (MRCItem +litem &508 +pos 2 +dimension 100 +uid 10824,0 +) +*527 (MRCItem +litem &509 +pos 3 +dimension 100 +uid 10825,0 +) +*528 (MRCItem +litem &510 +pos 4 +dimension 50 +uid 10826,0 +) +*529 (MRCItem +litem &511 +pos 5 +dimension 50 +uid 10827,0 +) +*530 (MRCItem +litem &512 +pos 6 +dimension 80 +uid 10828,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 10816,0 +vaOverrides [ +] +) +] +) +uid 10802,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/symbol.sb new file mode 100644 index 0000000..f1fbb8c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/beamer@soc/symbol.sb @@ -0,0 +1,2077 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2024,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 203,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +uid 204,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 210,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 2017,0 +) +) +uid 799,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 2018,0 +) +) +uid 898,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 2019,0 +) +) +uid 900,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 2020,0 +) +) +uid 1004,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 2021,0 +) +) +uid 1006,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2022,0 +) +) +uid 1008,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 2024,0 +) +) +uid 1126,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 215,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 132,0 +optionalChildren [ +*27 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 137,0 +) +*29 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*30 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 159,0 +) +*31 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 160,0 +) +*32 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 162,0 +) +*33 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 166,0 +) +*34 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 798,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 897,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 899,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1003,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1005,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1007,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 1125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*41 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 141,0 +) +*42 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 145,0 +) +*43 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 149,0 +) +*45 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 151,0 +) +*46 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 153,0 +) +*47 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 155,0 +) +*48 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 157,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "ioNb" +type "positive" +value "8" +) +uid 197,0 +) +*62 (LogGeneric +generic (GiElement +name "testOutBitNb" +type "positive" +value "16" +) +uid 1093,0 +) +*63 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +uid 1178,0 +) +] +) +pdm (PhysicalDM +uid 218,0 +optionalChildren [ +*64 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *65 (MRCItem +litem &49 +pos 3 +dimension 20 +) +uid 172,0 +optionalChildren [ +*66 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 175,0 +) +*67 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 177,0 +) +*68 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*69 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 199,0 +) +*70 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 1094,0 +) +*71 (MRCItem +litem &63 +pos 2 +dimension 20 +uid 1179,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*72 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*73 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 185,0 +) +*74 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 187,0 +) +*75 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 189,0 +) +*76 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 191,0 +) +*77 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 193,0 +) +*78 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@soc/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@soc/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@soc" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerSoc" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerSoc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerSoc" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamer@soc/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/beamerSoc/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "beamerSoc" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,25625,36000,26375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "37000,25400,39500,26300" +st "clock" +blo "37000,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,18500,15700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*81 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,21625,52750,22375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +font "courier,9,0" +) +xt "49001,21400,51001,22300" +st "outX" +ju 2 +blo "51001,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,18500,12100" +st "outX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*82 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,23625,52750,24375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +font "courier,9,0" +) +xt "49001,23400,51001,24300" +st "outY" +ju 2 +blo "51001,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,18500,13000" +st "outY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*83 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,25625,52750,26375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "46501,25400,51001,26300" +st "selSinCos" +ju 2 +blo "51001,26100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,18500,13900" +st "selSinCos : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*84 (CptPort +uid 800,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 801,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,27625,36000,28375" +) +tg (CPTG +uid 802,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 803,0 +va (VaSet +font "courier,9,0" +) +xt "37000,27400,39500,28300" +st "reset" +blo "37000,28100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 804,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,18500,14800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 2017,0 +) +) +) +*85 (CptPort +uid 901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 902,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,13625,36000,14375" +) +tg (CPTG +uid 903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 904,0 +va (VaSet +font "courier,9,0" +) +xt "37000,13400,38500,14300" +st "TxD" +blo "37000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 905,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,18500,10300" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 2018,0 +) +) +) +*86 (CptPort +uid 906,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 907,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,15625,36000,16375" +) +tg (CPTG +uid 908,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 909,0 +va (VaSet +font "courier,9,0" +) +xt "37000,15400,38500,16300" +st "RxD" +blo "37000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 910,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,18500,11200" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 2019,0 +) +) +) +*87 (CptPort +uid 1009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,13625,52750,14375" +) +tg (CPTG +uid 1011,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1012,0 +va (VaSet +font "courier,9,0" +) +xt "49000,13400,51000,14300" +st "ioEn" +ju 2 +blo "51000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1013,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,30500,16600" +st "ioEn : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 2020,0 +) +) +) +*88 (CptPort +uid 1014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1015,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,15625,52750,16375" +) +tg (CPTG +uid 1016,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1017,0 +va (VaSet +font "courier,9,0" +) +xt "48500,15400,51000,16300" +st "ioOut" +ju 2 +blo "51000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1018,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16600,30500,17500" +st "ioOut : OUT std_ulogic_vector (ioNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 2021,0 +) +) +) +*89 (CptPort +uid 1019,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1020,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 1021,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1022,0 +va (VaSet +font "courier,9,0" +) +xt "49000,17400,51000,18300" +st "ioIn" +ju 2 +blo "51000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1023,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17500,30500,18400" +st "ioIn : IN std_ulogic_vector (ioNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2022,0 +) +) +) +*90 (CptPort +uid 1127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1128,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,9250,44375,10000" +) +tg (CPTG +uid 1129,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1130,0 +va (VaSet +font "courier,9,0" +) +xt "43100,11000,46600,11900" +st "testOut" +ju 2 +blo "46600,11700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1131,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18400,30500,19300" +st "testOut : OUT std_ulogic_vector (1 TO testOutBitNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 2024,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,10000,52000,30000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "36600,29800,43100,30700" +st "SystemOnChip" +blo "36600,30500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "36600,30700,41100,31600" +st "beamerSoc" +blo "36600,31400" +) +) +gi *91 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,33600,53000,38100" +st "Generic Declarations + +ioNb positive 8 +testOutBitNb positive 16 +patternAddressBitNb positive 9 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "8" +) +(GiElement +name "testOutBitNb" +type "positive" +value "16" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "9" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*92 (Grouping +uid 16,0 +optionalChildren [ +*93 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*98 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *103 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*105 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "91,33,1411,880" +viewArea "-1100,-1100,75970,48250" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *106 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *107 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7600,6500,8500" +st "Declarations" +blo "0,8300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8500,3000,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19300,2500,20200" +st "User:" +blo "0,20000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7600,7500,8500" +st "Internal User:" +blo "0,8300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20200,2000,20200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7600,0,7600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1202,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m/symbol.sb new file mode 100644 index 0000000..83d411c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m/symbol.sb @@ -0,0 +1,1812 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 209,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 210,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 211,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 212,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 213,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 214,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 215,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +uid 216,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 217,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 146,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 149,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 151,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 153,0 +) +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 175,0 +) +*30 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 176,0 +) +*31 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 177,0 +) +*32 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 178,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 147,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 155,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 159,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 161,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 163,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 165,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 167,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 169,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 171,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 145,0 +vaOverrides [ +] +) +] +) +uid 208,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 219,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 204,0 +) +*54 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 205,0 +) +*55 (LogGeneric +generic (GiElement +name "initFileSpec" +type "string" +value "\"ramInit.txt\"" +) +uid 221,0 +) +] +) +pdm (PhysicalDM +uid 220,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 180,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 183,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 185,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 187,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 206,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 207,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 222,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 181,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 189,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 193,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 195,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 197,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 199,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 201,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 203,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 218,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAM" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blockRAM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "blockRAM" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAM/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "blockRAM" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,42000,13300" +st "dataIn" +blo "39000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,32000,11700" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "49500,12400,53000,13300" +st "dataOut" +ju 2 +blo "53000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,32000,12600" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,40000,21300" +st "en" +blo "39000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,17500,13500" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "39000,24400,41500,25300" +st "clock" +blo "39000,25100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,17500,14400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "39000,26400,41500,27300" +st "reset" +blo "39000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,17500,15300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "39000,18400,41500,19300" +st "write" +blo "39000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,17500,16200" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,41000,15300" +st "addr" +blo "39000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,28000,17100" +st "addr : IN unsigned (addressBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,29000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "38600,28800,45100,29700" +st "SystemOnChip" +blo "38600,29500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "38600,29700,42600,30600" +st "blockRAM" +blo "38600,30400" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,57000,37100" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 16 +initFileSpec string \"ramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "initFileSpec" +type "string" +value "\"ramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "104,48,1340,870" +viewArea "-1090,-1090,75899,50388" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17100,2500,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 245,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb new file mode 100644 index 0000000..600eb18 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb @@ -0,0 +1,1709 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 225,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 226,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 227,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 228,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 229,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 230,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 231,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 232,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 163,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 166,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 170,0 +) +*25 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 189,0 +) +*26 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 190,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 191,0 +) +*28 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 192,0 +) +*29 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 193,0 +) +*30 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 194,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 164,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 172,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 176,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 178,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 180,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 182,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 184,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 186,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 188,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 162,0 +vaOverrides [ +] +) +] +) +uid 224,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 234,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 220,0 +) +] +) +pdm (PhysicalDM +uid 235,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 196,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 199,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 201,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 203,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 222,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 205,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 209,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 211,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 213,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 215,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 217,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 219,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 195,0 +vaOverrides [ +] +) +] +) +uid 233,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@address@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAMAddressCounter" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blockRAMAddressCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "blockRAMAddressCounter" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@address@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAMAddressCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "blockRAMAddressCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,40000,21300" +st "en" +blo "39000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,19500,11700" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "39000,24400,41500,25300" +st "clock" +blo "39000,25100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,19500,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "39000,26400,41500,27300" +st "reset" +blo "39000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,19500,13500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "39000,18400,43500,19300" +st "updateMem" +blo "39000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,19500,14400" +st "updateMem : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "updateMem" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,16625,54750,17375" +) +tg (CPTG +uid 142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 143,0 +va (VaSet +font "courier,9,0" +) +xt "51000,16400,53000,17300" +st "addr" +ju 2 +blo "53000,17100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 144,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,31000,15300" +st "addr : OUT unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 161,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,16625,38000,17375" +) +tg (CPTG +uid 157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 158,0 +va (VaSet +font "courier,9,0" +) +xt "39000,16400,45000,17300" +st "patternSize" +blo "39000,17100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 159,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,30000,16200" +st "patternSize : IN unsigned (addressBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +decl (Decl +n "patternSize" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,13000,54000,29000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "38600,28800,45100,29700" +st "SystemOnChip" +blo "38600,29500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "38600,29700,50100,30600" +st "blockRAMAddressCounter" +blo "38600,30400" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,51000,35300" +st "Generic Declarations + +addressBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "119,45,1392,900" +viewArea "-1038,-1038,74942,50360" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@control/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@control/symbol.sb new file mode 100644 index 0000000..49228a1 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/block@r@a@m@control/symbol.sb @@ -0,0 +1,1874 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 202,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 203,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 204,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 205,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 206,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 208,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 209,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 210,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 211,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 140,0 +optionalChildren [ +*25 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 145,0 +) +*27 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 147,0 +) +*28 (MRCItem +litem &2 +pos 6 +dimension 20 +uid 166,0 +) +*29 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 167,0 +) +*30 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 168,0 +) +*31 (MRCItem +litem &5 +pos 8 +dimension 20 +uid 169,0 +) +*32 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 170,0 +) +*33 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 171,0 +) +*34 (MRCItem +litem &8 +pos 7 +dimension 20 +uid 172,0 +) +*35 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 173,0 +) +*36 (MRCItem +litem &10 +pos 4 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 141,0 +optionalChildren [ +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 149,0 +) +*38 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 153,0 +) +*39 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 155,0 +) +*40 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 157,0 +) +*41 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 159,0 +) +*42 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 161,0 +) +*43 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 163,0 +) +*44 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 165,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 139,0 +vaOverrides [ +] +) +] +) +uid 200,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 213,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &45 +pos 0 +dimension 20 +) +uid 176,0 +optionalChildren [ +*59 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 179,0 +) +*60 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 181,0 +) +*61 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*62 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 185,0 +) +*63 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 189,0 +) +*64 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 191,0 +) +*65 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 193,0 +) +*66 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 195,0 +) +*67 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 197,0 +) +*68 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 212,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@control/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@control/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@control" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAMControl" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blockRAMControl" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "blockRAMControl" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/block@r@a@m@control/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/blockRAMControl/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "blockRAMControl" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "44500,15400,47000,16300" +st "memWr" +ju 2 +blo "47000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,18500,11700" +st "memWr : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,11400,34500,12300" +st "sel" +blo "33000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,18500,12600" +st "sel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "44500,17400,47000,18300" +st "memEn" +ju 2 +blo "47000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,18500,13500" +st "memEn : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memEn" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "33000,15400,36000,16300" +st "update" +blo "33000,16100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,18500,14400" +st "update : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "update" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "33000,13400,34000,14300" +st "wr" +blo "33000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,18500,15300" +st "wr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "wr" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 110,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "43500,11400,47000,12300" +st "cntIncr" +ju 2 +blo "47000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,18500,16200" +st "cntIncr : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cntIncr" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,37500,18300" +st "newSample" +blo "33000,18100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,18500,17100" +st "newSample : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "newSample" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +font "courier,9,0" +) +xt "33000,21400,35500,22300" +st "clock" +blo "33000,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17100,18500,18000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*78 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,9,0" +) +xt "33000,23400,35500,24300" +st "reset" +blo "33000,24100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,17500,18900" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,8000,48000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,25800,39100,26700" +st "SystemOnChip" +blo "32600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,26700,40600,27600" +st "blockRAMControl" +blo "32600,27400" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,21800,42500,22700" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "4,53,1392,1002" +viewArea "-1067,-1067,74586,51159" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,18900,2500,19800" +st "User:" +blo "0,19600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19800,2000,19800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 237,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@address@decoder/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@address@decoder/symbol.sb new file mode 100644 index 0000000..05d7b69 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@address@decoder/symbol.sb @@ -0,0 +1,1719 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 182,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 183,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 184,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 185,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 6 +suid 7,0 +) +) +uid 186,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 189,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 120,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 123,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 125,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 146,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 147,0 +) +*27 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 148,0 +) +*28 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 149,0 +) +*29 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 150,0 +) +*30 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 129,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 137,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 139,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 141,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 143,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 145,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 180,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 178,0 +) +] +) +pdm (PhysicalDM +uid 192,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 154,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 157,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 159,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 161,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 179,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 155,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 167,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 169,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 171,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 173,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 175,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 177,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 153,0 +vaOverrides [ +] +) +] +) +uid 190,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@address@decoder/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@address@decoder/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@address@decoder" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphAddressDecoder" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "periphAddressDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "periphAddressDecoder" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@address@decoder/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphAddressDecoder/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "periphAddressDecoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,12625,50750,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "43500,12400,49000,13300" +st "selControl" +ju 2 +blo "49000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,19000,11700" +st "selControl : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selControl" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,12625,34000,13375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "35000,12400,37000,13300" +st "addr" +blo "35000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,30500,12600" +st "addr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,14625,50750,15375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "45000,14400,49000,15300" +st "selSpeed" +ju 2 +blo "49000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,19000,13500" +st "selSpeed : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSpeed" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*69 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,18625,50750,19375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,9,0" +) +xt "47000,18400,49000,19300" +st "selX" +ju 2 +blo "49000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,19000,14400" +st "selX : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selX" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*70 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,20625,50750,21375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +font "courier,9,0" +) +xt "47000,20400,49000,21300" +st "selY" +ju 2 +blo "49000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,19000,15300" +st "selY : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selY" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*71 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,22625,50750,23375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "47000,22400,49000,23300" +st "selZ" +ju 2 +blo "49000,23100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,18000,16200" +st "selZ : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selZ" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,9000,50000,27000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "34600,26800,41100,27700" +st "SystemOnChip" +blo "34600,27500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "34600,27700,45100,28600" +st "periphAddressDecoder" +blo "34600,28400" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,30600,47500,33300" +st "Generic Declarations + +addressBitNb positive 24 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,0,1400,900" +viewArea "-1100,-1100,74420,47412" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 238,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@control@reg/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@control@reg/symbol.sb new file mode 100644 index 0000000..360ff17 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@control@reg/symbol.sb @@ -0,0 +1,2003 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2011,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 205,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 206,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 207,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 209,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 210,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 211,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 212,0 +) +*10 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 213,0 +) +*11 (RefLabelRowHdr +) +*12 (TitleRowHdr +) +*13 (FilterRowHdr +) +*14 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*15 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*16 (GroupColHdr +tm "GroupColHdrMgr" +) +*17 (NameColHdr +tm "NameColHdrMgr" +) +*18 (ModeColHdr +tm "ModeColHdrMgr" +) +*19 (TypeColHdr +tm "TypeColHdrMgr" +) +*20 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*21 (InitColHdr +tm "InitColHdrMgr" +) +*22 (EolColHdr +tm "EolColHdrMgr" +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 downto 0)" +o 10 +suid 2011,0 +) +) +uid 253,0 +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 141,0 +optionalChildren [ +*26 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &12 +pos 1 +dimension 23 +uid 146,0 +) +*28 (MRCItem +litem &13 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*29 (MRCItem +litem &2 +pos 8 +dimension 20 +uid 167,0 +) +*30 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 168,0 +) +*31 (MRCItem +litem &4 +pos 6 +dimension 20 +uid 169,0 +) +*32 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 170,0 +) +*33 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 171,0 +) +*34 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 172,0 +) +*35 (MRCItem +litem &8 +pos 7 +dimension 20 +uid 173,0 +) +*36 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 174,0 +) +*37 (MRCItem +litem &10 +pos 3 +dimension 20 +uid 175,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 254,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*39 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 150,0 +) +*40 (MRCItem +litem &16 +pos 1 +dimension 50 +uid 154,0 +) +*41 (MRCItem +litem &17 +pos 2 +dimension 100 +uid 156,0 +) +*42 (MRCItem +litem &18 +pos 3 +dimension 50 +uid 158,0 +) +*43 (MRCItem +litem &19 +pos 4 +dimension 100 +uid 160,0 +) +*44 (MRCItem +litem &20 +pos 5 +dimension 100 +uid 162,0 +) +*45 (MRCItem +litem &21 +pos 6 +dimension 50 +uid 164,0 +) +*46 (MRCItem +litem &22 +pos 7 +dimension 80 +uid 166,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 140,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 216,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 201,0 +) +*60 (LogGeneric +generic (GiElement +name "patternSizeBitNb" +type "positive" +value "8" +) +uid 255,0 +) +] +) +pdm (PhysicalDM +uid 217,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 177,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 180,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 182,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 202,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 256,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 178,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 186,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 190,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 192,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 194,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 196,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 198,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 200,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 215,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@control@reg/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@control@reg/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@control@reg" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphControlReg" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "periphControlReg" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "periphControlReg" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@control@reg/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphControlReg/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "periphControlReg" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "51500,12400,53000,13300" +st "run" +ju 2 +blo "53000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,22500,11700" +st "run : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "run" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*77 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,42000,13300" +st "dataIn" +blo "39000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,37000,12600" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,18625,54750,19375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "46000,18400,53000,19300" +st "updatePattern" +ju 2 +blo "53000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,22500,13500" +st "updatePattern : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePattern" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*79 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42500,15300" +st "dataOut" +blo "39000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,36500,14400" +st "dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*80 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "39000,18400,41500,19300" +st "write" +blo "39000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,22500,15300" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*81 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,40000,21300" +st "en" +blo "39000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,22500,16200" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*82 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "39000,24400,41500,25300" +st "clock" +blo "39000,25100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,22500,17100" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*83 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "39000,26400,41500,27300" +st "reset" +blo "39000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17100,22500,18000" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +*84 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,14625,54750,15375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "44000,14400,53000,15300" +st "interpolateLinear" +ju 2 +blo "53000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,22500,18900" +st "interpolateLinear : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "interpolateLinear" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*85 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,20625,54750,21375" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 251,0 +va (VaSet +font "courier,9,0" +) +xt "47000,20400,53000,21300" +st "patternSize" +ju 2 +blo "53000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18900,35000,19800" +st "patternSize : OUT unsigned (patternSizeBitNb-1 downto 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(patternSizeBitNb-1 downto 0)" +o 10 +suid 2011,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,29000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "38600,28800,45100,29700" +st "SystemOnChip" +blo "38600,29500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "38600,29700,47100,30600" +st "periphControlReg" +blo "38600,30400" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,53500,36200" +st "Generic Declarations + +dataBitNb positive 16 +patternSizeBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "patternSizeBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*98 (CommentGraphic +uid 246,0 +shape (PolyLine2D +pts [ +"38000,31000" +"54000,31000" +] +uid 247,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "38000,31000,54000,31000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *99 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*101 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "14,43,1304,894" +viewArea "-1045,-1045,76699,50421" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *102 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *103 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,19800,2500,20700" +st "User:" +blo "0,20500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20700,2000,20700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 325,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@size@reg/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@size@reg/symbol.sb new file mode 100644 index 0000000..b6f90cf --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@size@reg/symbol.sb @@ -0,0 +1,1774 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 197,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 198,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 199,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 200,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 201,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 202,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 203,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 204,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 136,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 139,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 141,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 162,0 +) +*27 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 163,0 +) +*28 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 164,0 +) +*29 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 165,0 +) +*30 (MRCItem +litem &6 +pos 6 +dimension 20 +uid 166,0 +) +*31 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 167,0 +) +*32 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 168,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 145,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 149,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 151,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 153,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 155,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 157,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 159,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 161,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 196,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 207,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +uid 208,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *55 (MRCItem +litem &41 +pos 1 +dimension 20 +) +uid 170,0 +optionalChildren [ +*56 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 173,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 175,0 +) +*58 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 177,0 +) +*59 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 195,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 171,0 +optionalChildren [ +*60 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 179,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 183,0 +) +*62 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 185,0 +) +*63 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 187,0 +) +*64 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 189,0 +) +*65 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 191,0 +) +*66 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 193,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 169,0 +vaOverrides [ +] +) +] +) +uid 206,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@size@reg/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@size@reg/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@size@reg" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSizeReg" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "periphSizeReg" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "periphSizeReg" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@size@reg/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSizeReg/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "periphSizeReg" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "47000,12400,53000,13300" +st "patternSize" +ju 2 +blo "53000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,30500,11700" +st "patternSize : OUT unsigned (dataBitNb/2-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "patternSize" +t "unsigned" +b "(dataBitNb/2-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,42000,13300" +st "dataIn" +blo "39000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,34000,12600" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*70 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42500,15300" +st "dataOut" +blo "39000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,33500,13500" +st "dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*71 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,9,0" +) +xt "39000,18400,41500,19300" +st "write" +blo "39000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,19500,14400" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,40000,21300" +st "en" +blo "39000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,19500,15300" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*73 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "39000,24400,41500,25300" +st "clock" +blo "39000,25100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,19500,16200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*74 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "39000,26400,41500,27300" +st "reset" +blo "39000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,18500,17100" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,29000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "38600,28800,45100,29700" +st "SystemOnChip" +blo "38600,29500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "38600,29700,45600,30600" +st "periphSizeReg" +blo "38600,30400" +) +) +gi *75 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,50000,35300" +st "Generic Declarations + +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*76 (Grouping +uid 16,0 +optionalChildren [ +*77 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*82 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*89 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "3,55,1398,1005" +viewArea "-1061,-1061,74571,50904" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17100,2500,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@controller/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@controller/symbol.sb new file mode 100644 index 0000000..488f93a --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@controller/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 170,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 171,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 172,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 173,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 174,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 175,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 176,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 111,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 114,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 116,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 137,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 138,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 139,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 140,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 112,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 120,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 124,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 126,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 128,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 130,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 132,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 134,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 110,0 +vaOverrides [ +] +) +] +) +uid 169,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 178,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 167,0 +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 143,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 146,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 148,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 150,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 168,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 144,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 152,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 156,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 158,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 160,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 162,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 164,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 166,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 142,0 +vaOverrides [ +] +) +] +) +uid 177,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSpeedController" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "periphSpeedController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "periphSpeedController" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSpeedController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "periphSpeedController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,14625,56750,15375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "50500,14400,55000,15300" +st "enableOut" +ju 2 +blo "55000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,20000,11700" +st "enableOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "enableOut" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "41000,20400,43500,21300" +st "clock" +blo "41000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,20000,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "41000,22400,43500,23300" +st "reset" +blo "41000,23100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,20000,13500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +font "courier,9,0" +) +xt "41000,16400,47500,17300" +st "updatePeriod" +blo "41000,17100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,34000,14400" +st "updatePeriod : IN unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "41000,14400,45000,15300" +st "enableIn" +blo "41000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 109,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,19000,15300" +st "enableIn : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "enableIn" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,11000,56000,25000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,24800,47100,25700" +st "SystemOnChip" +blo "40600,25500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,25700,51600,26600" +st "periphSpeedController" +blo "40600,26400" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,28600,56000,31300" +st "Generic Declarations + +updatePeriodBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "130,43,1399,900" +viewArea "-1049,-1049,74649,50497" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 225,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@reg/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@reg/symbol.sb new file mode 100644 index 0000000..438b263 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/periph@speed@reg/symbol.sb @@ -0,0 +1,1794 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 203,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 204,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 205,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 206,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 207,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +) +uid 208,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 209,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 210,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 212,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 141,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 146,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*27 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 168,0 +) +*28 (MRCItem +litem &4 +pos 6 +dimension 20 +uid 169,0 +) +*29 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 170,0 +) +*30 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 171,0 +) +*31 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 172,0 +) +*32 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 150,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 154,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 156,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 158,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 160,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 162,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 164,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 166,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 140,0 +vaOverrides [ +] +) +] +) +uid 202,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 214,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 200,0 +) +*54 (LogGeneric +generic (GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +uid 239,0 +) +] +) +pdm (PhysicalDM +uid 215,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 179,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 181,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 201,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 240,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 185,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 189,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 191,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 193,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 195,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 197,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 213,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@reg/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@reg/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@reg" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSpeedReg" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "periphSpeedReg" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "periphSpeedReg" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periph@speed@reg/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/periphSpeedReg/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "periphSpeedReg" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "46500,12400,53000,13300" +st "updatePeriod" +ju 2 +blo "53000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,34000,11700" +st "updatePeriod : OUT unsigned (updatePeriodBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "updatePeriod" +t "unsigned" +b "(updatePeriodBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,42000,13300" +st "dataIn" +blo "39000,13100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,34500,12600" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42500,15300" +st "dataOut" +blo "39000,15100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,34000,13500" +st "dataOut : OUT std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,40000,21300" +st "en" +blo "39000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 124,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13500,20000,14400" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 125,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 126,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 128,0 +va (VaSet +font "courier,9,0" +) +xt "39000,24400,41500,25300" +st "clock" +blo "39000,25100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 129,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,20000,15300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 130,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 132,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 133,0 +va (VaSet +font "courier,9,0" +) +xt "39000,26400,41500,27300" +st "reset" +blo "39000,27100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 134,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,20000,16200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +) +) +*76 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,9,0" +) +xt "39000,18400,41500,19300" +st "write" +blo "39000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16200,19000,17100" +st "write : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,29000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "38600,28800,45100,29700" +st "SystemOnChip" +blo "38600,29500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "38600,29700,46100,30600" +st "periphSpeedReg" +blo "38600,30400" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,54000,36200" +st "Generic Declarations + +dataBitNb positive 16 +updatePeriodBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "updatePeriodBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1399,900" +viewArea "-1100,-1100,74420,47412" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,17100,2500,18000" +st "User:" +blo "0,17800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18000,2000,18000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 286,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/program@rom/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/program@rom/symbol.sb new file mode 100644 index 0000000..681378e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/program@rom/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 89,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 110,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 82,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 84,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 86,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 88,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 90,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 196,0 +) +*50 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 198,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 138,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 140,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 197,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 199,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 143,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 145,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 146,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 147,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 148,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/program@rom/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/program@rom/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/program@rom" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/programRom" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "programRom" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "programRom" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/program@rom/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/programRom/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "programRom" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,20625,25000,21375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "26000,20500,30200,21500" +st "address" +blo "26000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "18000,2000,45000,2900" +st "address : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,26625,25000,27375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "26000,26500,29000,27500" +st "clock" +blo "26000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "18000,2900,33500,3800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,20625,41750,21375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "35800,20500,40000,21500" +st "dataOut" +ju 2 +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "18000,5600,48000,6500" +st "dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,24625,25000,25375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "26000,24500,27200,25500" +st "en" +blo "26000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "18000,3800,33500,4700" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,28625,25000,29375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "26000,28500,29000,29500" +st "reset" +blo "26000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "18000,4700,33500,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,17000,41000,31000" +) +oxt "15000,6000,32000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "25500,31000,32000,31900" +st "SystemOnChip" +blo "25500,31700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "25500,31900,31000,32800" +st "programRom" +blo "25500,32600" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "25000,34200,38000,37800" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,48000,52000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,48000,52000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,44000,56000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "52200,44000,55800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,46000,52000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,46000,51400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,46000,35000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,46000,34800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,45000,72000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "52200,45200,65400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,44000,72000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,44000,62800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,44000,52000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37000,44500,46000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,47000,35000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,47000,34200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,48000,35000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,48000,34800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,47000,52000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,47000,50200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "31000,44000,72000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-1000,0,5500,900" +st "Package List" +blo "-1000,700" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "-1000,1000,17600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "149,44,1412,875" +viewArea "-2039,-1055,73649,50927" +cachedDiagramExtent "-1000,0,72000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "16000,200,22500,1100" +st "Declarations" +blo "16000,900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "16000,1100,19000,2000" +st "Ports:" +blo "16000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "16000,6500,18500,7400" +st "User:" +blo "16000,7200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "16000,200,23500,1100" +st "Internal User:" +blo "16000,900" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "18000,7400,18000,7400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "16000,200,16000,200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 337,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip/hds/sin@cos@table/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip/hds/sin@cos@table/symbol.sb new file mode 100644 index 0000000..577ee5e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip/hds/sin@cos@table/symbol.sb @@ -0,0 +1,1571 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 156,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 157,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 158,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 159,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 95,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 98,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 100,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 121,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 122,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 123,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 104,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 108,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 110,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 112,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 114,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 116,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 118,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 155,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "16" +) +uid 149,0 +) +*46 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "16" +) +uid 150,0 +) +*47 (LogGeneric +generic (GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &33 +pos 3 +dimension 20 +) +uid 125,0 +optionalChildren [ +*50 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 130,0 +) +*52 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 152,0 +) +*54 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 153,0 +) +*55 (MRCItem +litem &47 +pos 2 +dimension 20 +uid 154,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*56 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 134,0 +) +*57 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 138,0 +) +*58 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 140,0 +) +*59 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 142,0 +) +*60 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 144,0 +) +*61 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 146,0 +) +*62 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sin@cos@table/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sin@cos@table/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sin@cos@table" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sinCosTable" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sinCosTable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:40:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "sinCosTable" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sin@cos@table/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip/hds/sinCosTable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:40:20" +) +(vvPair +variable "unit" +value "sinCosTable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "45000,11400,47000,12300" +st "sine" +ju 2 +blo "47000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,27000,11700" +st "sine : OUT signed (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,11400,35500,12300" +st "phase" +blo "33000,12100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,27500,12600" +st "phase : IN unsigned (inputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "phase" +t "unsigned" +b "(inputBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "44000,13400,47000,14300" +st "cosine" +ju 2 +blo "47000,14100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,26000,13500" +st "cosine : OUT signed (outputBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cosine" +t "signed" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,8000,48000,18000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,17800,39100,18700" +st "SystemOnChip" +blo "32600,18500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,18700,38600,19600" +st "sinCosTable" +blo "32600,19400" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,21000,48000,25500" +st "Generic Declarations + +inputBitNb positive 16 +outputBitNb positive 16 +tableAddressBitNb positive 3 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "16" +) +(GiElement +name "outputBitNb" +type "positive" +value "16" +) +(GiElement +name "tableAddressBitNb" +type "positive" +value "3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "3,46,1390,979" +viewArea "-1068,-1068,74568,50155" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 186,0 +activeModelName "Symbol" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/ahbBeamer_tester_test.vhd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/ahbBeamer_tester_test.vhd new file mode 100644 index 0000000..516688e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/ahbBeamer_tester_test.vhd @@ -0,0 +1,479 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF ahbBeamer_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + signal reset_int: std_uLogic; + -- test information + signal testSeparator : string(1 to 80) := (others => '-'); + signal errorTopSeparator : string(1 to 80) := (others => '#'); + signal bottomSeparator : string(1 to 80) := (others => '.'); + signal indentation : string(1 to 2) := (others => ' '); + signal noteInformation : string(1 to 9) := (others => ' '); + signal errorInformation : string(1 to 10) := (others => ' '); + signal failureInformation : string(1 to 12) := (others => ' '); + signal testInformation : string(1 to 50) := (others => ' '); + -- register definition + constant controlRegisterAddress: natural := 0; + constant controlRun: natural := 2#001#; + constant controlUpdatePattern: natural := 2#010#; + constant controlInterpolateLinear: natural := 2#100#; + constant speedRegisterAddress: natural := 1; + constant xFifoRegisterAddress: natural := 2; + constant yFifoRegisterAddress: natural := 3; + signal updatePeriod: natural := 1; + signal patternLength: natural := 32; + -- AMBA bus access + constant registerWriteDelay: time := 4*clockPeriod; + signal registerAddress: natural; + signal registerDataOut, registerDataIn: integer; + signal registerWrite: std_uLogic; + signal registerRead: std_uLogic; + -- UART access + constant baudPeriodNb: positive := 4; + signal uartData: integer; + signal uartSend: std_uLogic; + -- functions + function clearBits (word, bits : natural) return natural is + variable andMask: unsigned(hRData'range); + begin + andMask := not(to_unsigned(bits, hRData'length)); + return to_integer(to_unsigned(word, hRData'length) and andMask); + end clearBits; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset_int <= '1', '0' after 2*clockPeriod; + hReset_n <= not(reset_int); + reset <= reset_int; + + clock_int <= not clock_int after clockPeriod/2; + hClk <= transport clock_int after clockPeriod*9.0/10.0; + clock <= transport clock_int after clockPeriod*9.0/10.0; + + ------------------------------------------------------------------------------ + -- test sequence + testSequence: process + begin + selSinCos <= '0'; + registerAddress <= 0; + registerDataOut <= 0; + registerWrite <= '0'; + registerRead <= '0'; + uartSend <= '0'; + wait for 100 ns; + print(cr & cr & cr & cr); + + ---------------------------------------------------------------------------- + -- test control register + wait for 1 us - now; + testInformation <= pad("Testing control register", testInformation'length); + wait for 0 ns; + print(testSeparator & cr & testInformation); + -- set control register bits + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= controlRun + controlUpdatePattern + controlInterpolateLinear; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- readback control register + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + wait for 3*clockPeriod; + assert(registerDataIn = controlRun + controlUpdatePattern + controlInterpolateLinear) + report "Control register write / readback error" + severity error; + wait for registerWriteDelay; + -- stop running and pattern update + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + wait for 3*clockPeriod; + registerDataOut <= clearBits(registerDataIn, controlRun + controlUpdatePattern); + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + + ---------------------------------------------------------------------------- + -- test speed register + wait for 2 us - now; + testInformation <= pad("Testing speed register", testInformation'length); + wait for 0 ns; + print(testSeparator & cr & testInformation); + -- set speed count value + wait until rising_edge(clock_int); + registerAddress <= speedRegisterAddress; + registerDataOut <= updatePeriod; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- readback speed count + wait until rising_edge(clock_int); + registerAddress <= speedRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + wait for 3*clockPeriod; + assert(registerDataIn = updatePeriod) + report "Speed register write / readback error" + severity error; + wait for registerWriteDelay; + + ---------------------------------------------------------------------------- + -- write sinewave data points to RAM + wait for 3 us - now; + testInformation <= pad("Writing sinewaves to RAM", testInformation'length); + wait for 0 ns; + print(testSeparator & cr & testInformation); + -- start pattern update + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= controlUpdatePattern; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- write X FIFO values + wait until rising_edge(clock_int); + registerAddress <= xFifoRegisterAddress; + registerDataOut <= 16#0000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7FFF#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#0000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7FFF#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for 10*registerWriteDelay; + -- write Y FIFO values + wait until rising_edge(clock_int); + registerAddress <= yFifoRegisterAddress; + registerDataOut <= 16#7FFF#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#0000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7FFF#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#0000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#18F9#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#30FB#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#471C#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#5A82#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#6A6D#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7641#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7D89#; + registerWrite <= '1', '0' after clockPeriod; + wait for 10*registerWriteDelay; + -- end pattern update + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= 0; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + + ---------------------------------------------------------------------------- + -- playing waveforms + wait for 7 us - now; + testInformation <= pad("Playing waveforms", testInformation'length); + wait for 0 ns; + print(testSeparator & cr & testInformation); + -- start run + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= controlRun + patternLength * 2**(hWData'length-patternAddressBitNb); + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- run for some time + wait for 250 us - now; + -- stop run + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= 0; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + + ---------------------------------------------------------------------------- + -- play data points to RAM for overflow + wait for 300 us - now; + testInformation <= pad( + "Writing waveform to RAM for overflow", testInformation'length + ); + wait for 0 ns; + print(testSeparator & cr & testInformation); + -- start pattern update + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= controlUpdatePattern; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- write X FIFO values + wait until rising_edge(clock_int); + registerAddress <= xFifoRegisterAddress; + registerDataOut <= 16#4000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for 10*registerWriteDelay; + -- write Y FIFO values + wait until rising_edge(clock_int); + registerAddress <= yFifoRegisterAddress; + registerDataOut <= -16#4000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= 16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + registerDataOut <= -16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for 10*registerWriteDelay; + -- end pattern update and start run + patternLength <= 4; + wait until rising_edge(clock_int); + registerAddress <= controlRegisterAddress; + registerDataOut <= controlRun + patternLength * 2**(hWData'length-patternAddressBitNb); + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + -- set lower speed execution + updatePeriod <= 9; + wait until rising_edge(clock_int); + registerAddress <= speedRegisterAddress; + registerDataOut <= updatePeriod; + registerWrite <= '1', '0' after clockPeriod; + wait for registerWriteDelay; + ---------------------------------------------------------------------------- + -- sin/cos debug mode + wait for 700 us - now; + testInformation <= pad("Drawing debug mode circle", testInformation'length); + wait for 0 ns; + print(testSeparator & cr & testInformation); + selSinCos <= '1'; + ---------------------------------------------------------------------------- + -- stop simulation + wait for 1 ms - now; + assert false + report "End" & cr & " --> " & + "End of simulation" + severity failure; + end process testSequence; + + ------------------------------------------------------------------------------ + -- AMBA bus access + busAccess: process + variable writeAccess: boolean; + variable hRData01: std_ulogic_vector(hRData'range); + begin + hAddr <= (others => '-'); + hWData <= (others => '-'); + hTrans <= transIdle; + hSel <= '0'; + hWrite <= '0'; + wait on registerWrite, registerRead; + writeAccess := false; + if rising_edge(registerWrite) then + writeAccess := true; + end if; + -- phase 1: address and controls + wait until rising_edge(clock_int); + hAddr <= to_unsigned(registerAddress, hAddr'length); + hTrans <= transNonSeq; + hSel <= '1'; + if writeAccess then + hWrite <= '1'; + end if; + -- phase 2: data + wait until rising_edge(clock_int); + hAddr <= (others => '-'); + hTrans <= transIdle; + hSel <= '0'; + hWrite <= '0'; + if writeAccess then + hWData <= std_uLogic_vector(to_signed(registerDataOut, hWData'length)); + else + wait until falling_edge(clock_int); + hRData01 := hRData; + for index in hRData01'range loop + if (hRData01(index) /= '0') and (hRData01(index) /= '1') then + hRData01(index) := '0'; + end if; + end loop; + registerDataIn <= to_integer(unsigned(hRData01)); + end if; + wait until rising_edge(clock_int); + end process; + +END ARCHITECTURE test; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerSoc_tester_test.vhd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerSoc_tester_test.vhd new file mode 100644 index 0000000..050ff65 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerSoc_tester_test.vhd @@ -0,0 +1,294 @@ +ARCHITECTURE test OF beamerSoc_tester IS + -- clock and reset + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + -- register definition + constant beamerBaseAddress: natural := 16#20#; + constant beamerControlRegisterAddress: natural := beamerBaseAddress + 0; + constant beamerControlRun: natural := 2#001#; + constant beamerControlUpdatePattern: natural := 2#010#; + constant beamerControlInterpolateLinear: natural := 2#100#; + constant beamerControlsizeBase: natural := 16#80#; + constant beamerSpeedRegisterAddress: natural := beamerBaseAddress + 1; + constant beamerXFifoRegisterAddress: natural := beamerBaseAddress + 2; + constant beamerYFifoRegisterAddress: natural := beamerBaseAddress + 3; + -- microprocessor bus access + constant registerWriteDelay: time := 4*clockPeriod; + signal registerAddress: natural; + signal registerDataOut, registerDataIn: integer; + signal registerWrite, registerRead, registerDone: std_uLogic; + -- UART access +-- constant uartFrequency: real := 115200.0; + constant uartDataBitNb: positive := 8; + constant uartFrequency: real := 1.0E6; + constant uartPeriod: time := (1.0/uartFrequency) * 1 sec; + constant uartDataSpan: time := 10*uartPeriod; + constant uartWriteReplySpan: time := 5*uartDataSpan; + constant uartReadReplySpan: time := 10*uartDataSpan; + signal uartRxData, uartTxData: integer; + signal uartSend, uartDone: std_uLogic; + signal uartTxShiftRegister: unsigned(2*uartDataBitNb-1 downto 0); + signal uartTxDataWord: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + reset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + io <= (others => 'Z'); + selSinCos <= '0'; + wait for 1 ns; + assert false + report cr & cr & cr & cr & + "----------------------------------------" & + "----------------------------------------" & + "----------------------------------------" + severity note; + ---------------------------------------------------------------------------- + -- initialization by microprocessor + wait for 100 ns - now; + assert false + report "Init" & cr & " --> " & + "Letting the microprocessor initialize the peripherals" + severity note; + ---------------------------------------------------------------------------- + -- test GPIOs + wait for 400 ns - now; + assert false + report "GPIOs" & cr & " --> " & + "Testing the GPIOs" + severity note; + io(7 downto 4) <= x"5"; + wait for 1 ns; + assert io = x"5A" + report "GPIO error" + severity error; + ---------------------------------------------------------------------------- + -- set speed count value + wait for 3*uartPeriod - now; + assert false + report "Beamer init" & cr & " --> " & + "Setting drawing speed" + severity note; + registerAddress <= beamerSpeedRegisterAddress; + registerDataOut <= 2; +--registerAddress <= 16#1234#; +--registerDataOut <= 16#5678#; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + ---------------------------------------------------------------------------- + -- start updating pattern + assert false + report "Beamer init" & cr & " --> " & + "Writing y-pattern to beamer RAM" + severity note; + registerAddress <= beamerControlRegisterAddress; + registerDataOut <= beamerControlUpdatePattern; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + ---------------------------------------------------------------------------- + -- write y-FIFO + registerAddress <= beamerYFifoRegisterAddress; + registerDataOut <= -16#4000# + 16#10000#; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + registerDataOut <= 16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + registerDataOut <= 16#7000#; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + registerDataOut <= -16#7000# + 16#10000#; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + ---------------------------------------------------------------------------- + -- start run + assert false + report "Beamer play" & cr & " --> " & + "Launching pattern drawing (setting pattern size and run flag)" + severity note; + registerAddress <= beamerControlRegisterAddress; + registerDataOut <= beamerControlRun + beamerControlsizeBase * 4; + registerWrite <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartWriteReplySpan; + ---------------------------------------------------------------------------- + -- readback control register + assert false + report "Beamer test" & cr & " --> " & + "Reading back control register" + severity note; + registerAddress <= beamerControlRegisterAddress; + registerRead <= '1', '0' after clockPeriod; + wait for uartPeriod; + wait until registerDone = '1'; + wait for uartReadReplySpan; + assert uartTxDataWord = beamerControlRun + beamerControlsizeBase * 4 + report "Beamer register readback error" + severity error; + ---------------------------------------------------------------------------- + -- stop simulation + wait for 1.5 ms - now; + assert false + report "End" & cr & " --> " & + "End of simulation" + severity failure; + end process; + + --============================================================================ + -- microprocessor bus access + busAccess: process + variable writeAccess: boolean; +-- variable packetId: natural := 0; +variable packetId: natural := 16#1D#; + variable checksum: natural; + begin + registerDone <= '1'; + uartSend <= '0'; + uartRxData <= 16#AA#; + wait on registerWrite, registerRead; + registerDone <= '0'; + writeAccess := false; + if registerWrite = '1' then + writeAccess := true; + end if; + -- send header + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := uartRxData; + -- send packet id + uartRxData <= packetId; + packetId := (packetId + 1) mod 2**8; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send command + if writeAccess then + uartRxData <= 16#03#; + else + uartRxData <= 16#04#; + end if; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send data length + if writeAccess then + uartRxData <= 4; + else + uartRxData <= 2; + end if; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send addresss low + uartRxData <= registerAddress mod 2**8; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send addresss high + uartRxData <= registerAddress / 2**8; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send data low + if writeAccess then + uartRxData <= registerDataOut mod 2**8; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + -- send data high + uartRxData <= registerDataOut / 2**8; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + checksum := (checksum + uartRxData) mod 2**8; + end if; + -- send checksum + uartRxData <= checksum; + uartSend <= '1', '0' after uartPeriod; + wait for uartPeriod; + wait until uartDone = '1'; + end process; + + ------------------------------------------------------------------------------ + -- UART access + sendByte: process + variable serialData: unsigned(7 downto 0); + begin + -- send stop bit + uartDone <= '1'; + RxD <= '1'; + -- get new word + wait until rising_edge(uartSend); + uartDone <= '0'; + serialData := to_unsigned(uartRxData, serialData'length); + -- send start bit + RxD <= '0'; + wait for uartPeriod; + -- send data bits + for index in serialData'reverse_range loop + RxD <= serialData(index); + wait for uartPeriod; + end loop; + -- send stop bits + RxD <= '1'; + wait for 4*uartPeriod; + end process sendByte; + + ------------------------------------------------------------------------------ + -- UART access + receiveByte: process + variable serialData: unsigned(uartDataBitNb-1 downto 0); + begin + -- wait for stat bit + wait until falling_edge(TxD); + -- jump to middle of first data bit + wait for 1.5 * uartPeriod; + -- read data bits + for index in serialData'reverse_range loop + if Is_X(TxD) then + serialData(index) := '0'; + else + serialData(index) := TxD; + end if; + wait for uartPeriod; + end loop; + -- write data to signal + uartTxData <= to_integer(serialData); + uartTxDataWord <= to_integer(uartTxShiftRegister); + uartTxShiftRegister <= shift_right(uartTxShiftRegister, serialData'length); + uartTxShiftRegister( + uartTxShiftRegister'high downto + uartTxShiftRegister'high-serialData'length+1 + ) <= serialData; + end process receiveByte; + +END ARCHITECTURE test; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg.vhd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg.vhd new file mode 100644 index 0000000..490ad4e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg.vhd @@ -0,0 +1,9 @@ +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +PACKAGE beamerTest_pck IS + + function trim_X (arg : signed) return signed; + +END beamerTest_pck; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg_body.vhd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg_body.vhd new file mode 100644 index 0000000..2c83ab7 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hdl/beamerTest_pck_pkg_body.vhd @@ -0,0 +1,16 @@ +PACKAGE BODY beamerTest_pck IS + + function trim_X (arg : signed) return signed is + variable returnVal : signed(arg'range); + begin + for i in arg'range loop + case arg(i) is + when '0' | 'L' => returnVal(i) := '0'; + when '1' | 'H' => returnVal(i) := '1'; + when others => returnVal(i) := '0'; + end case; + end loop; + return returnVal; + end trim_X; + +END beamerTest_pck; diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbBeamer_tester_test.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbBeamer_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbBeamer_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_ahbbeamer_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerSoc_tester_test.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerSoc_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerSoc_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg_body.vhd._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg_body.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamerTest_pck_pkg_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_struct.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tester_entity.vhg._fpf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/.hdlsidedata/_beamersoc_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tb._epf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tb._epf new file mode 100644 index 0000000..50e0e8c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom ahb@beamer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tester._epf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tester._epf new file mode 100644 index 0000000..036f8ec --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_ahbbeamer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom ahbBeamer_tester_test.vhd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamerperiphblanking_tb._epf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamerperiphblanking_tb._epf new file mode 100644 index 0000000..9cb6082 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamerperiphblanking_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom beamer@periph@blanking_tb/struct.bd diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tb._epf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tb._epf new file mode 100644 index 0000000..1de05b2 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom beamer@soc_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tester._epf b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tester._epf new file mode 100644 index 0000000..d07423e --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/_beamersoc_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom beamerSoc_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/struct.bd new file mode 100644 index 0000000..d1a24ef --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/struct.bd @@ -0,0 +1,4498 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "SystemOnChip_test" +duName "ahbBeamer_tester" +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 12657,0 +) +(Instance +name "I_filt" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 13849,0 +) +(Instance +name "I_DUT" +duLibraryName "SystemOnChip" +duName "ahbBeamer" +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +mwi 0 +uid 14527,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahbBeamer_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:04:15" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamer_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahbBeamer_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:04:15" +) +(vvPair +variable "unit" +value "ahbBeamer_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "119000,85000,138000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "119200,85400,134600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,85000,113000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "93150,85300,106850,86700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,91000,113000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,91400,110000,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,85000,119000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,85400,117900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,87000,113000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,87400,107400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,87000,92000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,87400,90600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,89000,92000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,89400,90600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,87000,138000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,87200,127300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,89000,113000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,89400,112500,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,91000,92000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,91400,91500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "87000,85000,138000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Net +uid 12555,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 108,0 +) +declText (MLText +uid 12556,0 +va (VaSet +isHidden 1 +) +xt "0,0,18700,1200" +st "SIGNAL hReset_n : std_uLogic" +) +) +*13 (Net +uid 12563,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 109,0 +) +declText (MLText +uid 12564,0 +va (VaSet +isHidden 1 +) +xt "0,0,17500,1200" +st "SIGNAL hClk : std_uLogic" +) +) +*14 (Net +uid 12571,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 110,0 +) +declText (MLText +uid 12572,0 +va (VaSet +isHidden 1 +) +xt "0,0,18000,1200" +st "SIGNAL hResp : std_uLogic" +) +) +*15 (Net +uid 12579,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 111,0 +) +declText (MLText +uid 12580,0 +va (VaSet +isHidden 1 +) +xt "0,0,18300,1200" +st "SIGNAL hReady : std_uLogic" +) +) +*16 (Net +uid 12587,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 112,0 +) +declText (MLText +uid 12588,0 +va (VaSet +isHidden 1 +) +xt "0,0,37800,1200" +st "SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*17 (Net +uid 12595,0 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 113,0 +) +declText (MLText +uid 12596,0 +va (VaSet +isHidden 1 +) +xt "0,0,17400,1200" +st "SIGNAL hSel : std_uLogic" +) +) +*18 (Net +uid 12603,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 114,0 +) +declText (MLText +uid 12604,0 +va (VaSet +isHidden 1 +) +xt "0,0,17800,1200" +st "SIGNAL hWrite : std_uLogic" +) +) +*19 (Net +uid 12611,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 115,0 +) +declText (MLText +uid 12612,0 +va (VaSet +isHidden 1 +) +xt "0,0,38100,1200" +st "SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 12619,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 116,0 +) +declText (MLText +uid 12620,0 +va (VaSet +isHidden 1 +) +xt "0,0,38000,1200" +st "SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 12627,0 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 117,0 +) +declText (MLText +uid 12628,0 +va (VaSet +isHidden 1 +) +xt "0,0,35300,1200" +st "SIGNAL hAddr : unsigned( ahbAddressBitNb-1 DOWNTO 0 )" +) +) +*22 (Blk +uid 12657,0 +shape (Rectangle +uid 12658,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "39000,69000,129000,77000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 12659,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 12660,0 +va (VaSet +font "Verdana,12,0" +) +xt "39400,76900,53500,78300" +st "SystemOnChip_test" +blo "39400,78100" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 12661,0 +va (VaSet +font "Verdana,12,0" +) +xt "39400,78300,52800,79700" +st "ahbBeamer_tester" +blo "39400,79500" +tm "BlkNameMgr" +) +*25 (Text +uid 12662,0 +va (VaSet +font "Verdana,12,0" +) +xt "39400,79700,45300,81100" +st "I_tester" +blo "39400,80900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12663,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12664,0 +text (MLText +uid 12665,0 +va (VaSet +font "Verdana,8,0" +) +xt "39400,82300,65200,85300" +st "patternAddressBitNb = patternAddressBitNb ( positive ) +signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +viewicon (ZoomableIcon +uid 12742,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,75250,40750,76750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*26 (Net +uid 13629,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 120,0 +) +declText (MLText +uid 13630,0 +va (VaSet +isHidden 1 +) +xt "0,0,18500,1200" +st "SIGNAL selSinCos : std_ulogic" +) +) +*27 (Net +uid 13637,0 +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 121,0 +) +declText (MLText +uid 13638,0 +va (VaSet +isHidden 1 +) +xt "0,0,17200,1200" +st "SIGNAL outY : std_ulogic" +) +) +*28 (Net +uid 13645,0 +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 122,0 +) +declText (MLText +uid 13646,0 +va (VaSet +isHidden 1 +) +xt "0,0,17200,1200" +st "SIGNAL outX : std_ulogic" +) +) +*29 (HdlText +uid 13840,0 +optionalChildren [ +*30 (EmbeddedText +uid 13845,0 +commentText (CommentText +uid 13846,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 13847,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "85000,28000,101000,30000" +) +oxt "0,0,18000,5000" +text (MLText +uid 13848,0 +va (VaSet +) +xt "85200,28200,100700,29400" +st " +lowpassInY <= (others => outY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 13841,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "85000,27000,101000,31000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 13842,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 13843,0 +va (VaSet +) +xt "85400,31000,88000,32200" +st "eb2" +blo "85400,32000" +tm "HdlTextNameMgr" +) +*32 (Text +uid 13844,0 +va (VaSet +) +xt "85400,32000,86800,33200" +st "2" +blo "85400,33000" +tm "HdlTextNumberMgr" +) +] +) +) +*33 (SaComponent +uid 13849,0 +optionalChildren [ +*34 (CptPort +uid 13858,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13859,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,44625,101000,45375" +) +tg (CPTG +uid 13860,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13861,0 +va (VaSet +) +xt "102000,44400,105400,45600" +st "clock" +blo "102000,45400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*35 (CptPort +uid 13862,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13863,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,40625,117750,41375" +) +tg (CPTG +uid 13864,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13865,0 +va (VaSet +) +xt "108700,40400,116000,41600" +st "lowpassOut" +ju 2 +blo "116000,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*36 (CptPort +uid 13866,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13867,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,46625,101000,47375" +) +tg (CPTG +uid 13868,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13869,0 +va (VaSet +) +xt "102000,46400,105300,47600" +st "reset" +blo "102000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*37 (CptPort +uid 13870,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13871,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,40625,101000,41375" +) +tg (CPTG +uid 13872,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13873,0 +va (VaSet +) +xt "102000,40400,107800,41600" +st "lowpassIn" +blo "102000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 13850,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "101000,37000,117000,49000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 13851,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 13852,0 +va (VaSet +font "Verdana,9,1" +) +xt "101600,48800,113100,50000" +st "WaveformGenerator" +blo "101600,49800" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 13853,0 +va (VaSet +font "Verdana,9,1" +) +xt "101600,50000,106200,51200" +st "lowpass" +blo "101600,51000" +tm "CptNameMgr" +) +*40 (Text +uid 13854,0 +va (VaSet +font "Verdana,9,1" +) +xt "101600,51200,104900,52400" +st "I_filt" +blo "101600,52200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13855,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13856,0 +text (MLText +uid 13857,0 +va (VaSet +font "Verdana,8,0" +) +xt "101000,52600,121800,54600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*41 (Net +uid 13892,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 123,0 +) +declText (MLText +uid 13893,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,11400,15500,12400" +st "SIGNAL clock : std_ulogic" +) +) +*42 (Net +uid 13896,0 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 125,0 +) +declText (MLText +uid 13897,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,14600,15500,15600" +st "SIGNAL reset : std_ulogic" +) +) +*43 (Net +uid 13922,0 +decl (Decl +n "lowpassInY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 12 +suid 127,0 +) +declText (MLText +uid 13923,0 +va (VaSet +isHidden 1 +) +xt "0,0,33000,1200" +st "SIGNAL lowpassInY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*44 (Net +uid 13924,0 +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 128,0 +) +declText (MLText +uid 13925,0 +va (VaSet +isHidden 1 +) +xt "0,0,33500,1200" +st "SIGNAL lowpassOutY : unsigned(signalBitNb-1 DOWNTO 0)" +) +) +*45 (SaComponent +uid 14527,0 +optionalChildren [ +*46 (CptPort +uid 14471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,56625,69000,57375" +) +tg (CPTG +uid 14473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14474,0 +va (VaSet +) +xt "70000,56400,73000,57600" +st "hClk" +blo "70000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_ulogic" +o 13 +suid 1,0 +) +) +) +*47 (CptPort +uid 14475,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14476,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,38625,69000,39375" +) +tg (CPTG +uid 14477,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14478,0 +va (VaSet +) +xt "70000,38400,73700,39600" +st "hAddr" +blo "70000,39400" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 downto 0)" +o 2 +suid 2,0 +) +) +) +*48 (CptPort +uid 14479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,38625,85750,39375" +) +tg (CPTG +uid 14481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 14482,0 +va (VaSet +) +xt "81001,38400,84001,39600" +st "outX" +ju 2 +blo "84001,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*49 (CptPort +uid 14483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,58625,69000,59375" +) +tg (CPTG +uid 14485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14486,0 +va (VaSet +) +xt "70000,58400,75400,59600" +st "hReset_n" +blo "70000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_ulogic" +o 14 +suid 4,0 +) +) +) +*50 (CptPort +uid 14487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,40625,85750,41375" +) +tg (CPTG +uid 14489,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 14490,0 +va (VaSet +) +xt "81001,40400,84001,41600" +st "outY" +ju 2 +blo "84001,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*51 (CptPort +uid 14491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,40625,69000,41375" +) +tg (CPTG +uid 14493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14494,0 +va (VaSet +) +xt "70000,40400,74600,41600" +st "hWData" +blo "70000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 4 +suid 11,0 +) +) +) +*52 (CptPort +uid 14495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14496,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,44625,85750,45375" +) +tg (CPTG +uid 14497,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 14498,0 +va (VaSet +) +xt "78201,44400,84001,45600" +st "selSinCos" +ju 2 +blo "84001,45400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*53 (CptPort +uid 14499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,56625,85750,57375" +) +tg (CPTG +uid 14501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 14502,0 +va (VaSet +) +xt "79400,56400,84000,57600" +st "testOut" +ju 2 +blo "84000,57400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 6 +suid 2014,0 +) +) +) +*54 (CptPort +uid 14503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14504,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,48625,69000,49375" +) +tg (CPTG +uid 14505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14506,0 +va (VaSet +) +xt "70000,48400,74400,49600" +st "hRData" +blo "70000,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 downto 0)" +o 7 +suid 2015,0 +) +) +) +*55 (CptPort +uid 14507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,42625,69000,43375" +) +tg (CPTG +uid 14509,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14510,0 +va (VaSet +) +xt "70000,42400,74200,43600" +st "hTrans" +blo "70000,43400" +) +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 downto 0)" +o 8 +suid 2016,0 +) +) +) +*56 (CptPort +uid 14511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,44625,69000,45375" +) +tg (CPTG +uid 14513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14514,0 +va (VaSet +) +xt "70000,44400,73900,45600" +st "hWrite" +blo "70000,45400" +) +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_ulogic" +o 9 +suid 2017,0 +) +) +) +*57 (CptPort +uid 14515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,46625,69000,47375" +) +tg (CPTG +uid 14517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14518,0 +va (VaSet +) +xt "70000,46400,72900,47600" +st "hSel" +blo "70000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic" +o 10 +suid 2018,0 +) +) +) +*58 (CptPort +uid 14519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14520,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,50625,69000,51375" +) +tg (CPTG +uid 14521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14522,0 +va (VaSet +) +xt "70000,50400,74400,51600" +st "hReady" +blo "70000,51400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_ulogic" +o 11 +suid 2019,0 +) +) +) +*59 (CptPort +uid 14523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 14524,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,52625,69000,53375" +) +tg (CPTG +uid 14525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 14526,0 +va (VaSet +) +xt "70000,52400,73800,53600" +st "hResp" +blo "70000,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_ulogic" +o 12 +suid 2020,0 +) +) +) +] +shape (Rectangle +uid 14528,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "69000,35000,85000,61000" +) +oxt "43000,6000,59000,32000" +ttg (MlTextGroup +uid 14529,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 14530,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,60800,78000,62000" +st "SystemOnChip" +blo "69600,61800" +tm "BdLibraryNameMgr" +) +*61 (Text +uid 14531,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,61700,75800,62900" +st "ahbBeamer" +blo "69600,62700" +tm "CptNameMgr" +) +*62 (Text +uid 14532,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,62600,73300,63800" +st "I_DUT" +blo "69600,63600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 14533,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 14534,0 +text (MLText +uid 14535,0 +va (VaSet +font "Verdana,8,0" +) +xt "69000,64600,94800,66600" +st "patternAddressBitNb = patternAddressBitNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +] +) +viewicon (ZoomableIcon +uid 14536,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "69250,59250,70750,60750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*63 (Wire +uid 12557,0 +shape (OrthoPolyLine +uid 12558,0 +va (VaSet +vasetType 3 +) +xt "67000,59000,68250,69000" +pts [ +"68250,59000" +"67000,59000" +"67000,69000" +] +) +start &49 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12562,0 +va (VaSet +font "Verdana,12,0" +) +xt "60250,57600,67050,59000" +st "hReset_n" +blo "60250,58800" +tm "WireNameMgr" +) +) +on &12 +) +*64 (Wire +uid 12565,0 +shape (OrthoPolyLine +uid 12566,0 +va (VaSet +vasetType 3 +) +xt "65000,57000,68250,69000" +pts [ +"68250,57000" +"65000,57000" +"65000,69000" +] +) +start &46 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12570,0 +va (VaSet +font "Verdana,12,0" +) +xt "63250,55600,66750,57000" +st "hClk" +blo "63250,56800" +tm "WireNameMgr" +) +) +on &13 +) +*65 (Wire +uid 12573,0 +shape (OrthoPolyLine +uid 12574,0 +va (VaSet +vasetType 3 +) +xt "61000,53000,68250,69000" +pts [ +"68250,53000" +"61000,53000" +"61000,69000" +] +) +start &59 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12578,0 +va (VaSet +font "Verdana,12,0" +) +xt "62250,51600,66950,53000" +st "hResp" +blo "62250,52800" +tm "WireNameMgr" +) +) +on &14 +) +*66 (Wire +uid 12581,0 +shape (OrthoPolyLine +uid 12582,0 +va (VaSet +vasetType 3 +) +xt "59000,51000,68250,69000" +pts [ +"68250,51000" +"59000,51000" +"59000,69000" +] +) +start &58 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12585,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12586,0 +va (VaSet +font "Verdana,12,0" +) +xt "61250,49600,66750,51000" +st "hReady" +blo "61250,50800" +tm "WireNameMgr" +) +) +on &15 +) +*67 (Wire +uid 12589,0 +shape (OrthoPolyLine +uid 12590,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57000,49000,68250,69000" +pts [ +"68250,49000" +"57000,49000" +"57000,69000" +] +) +start &54 +end &22 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12594,0 +va (VaSet +font "Verdana,12,0" +) +xt "62250,47600,67650,49000" +st "hRData" +blo "62250,48800" +tm "WireNameMgr" +) +) +on &16 +) +*68 (Wire +uid 12597,0 +shape (OrthoPolyLine +uid 12598,0 +va (VaSet +vasetType 3 +) +xt "55000,47000,68250,69000" +pts [ +"68250,47000" +"55000,47000" +"55000,69000" +] +) +start &57 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12602,0 +va (VaSet +font "Verdana,12,0" +) +xt "63250,45600,66750,47000" +st "hSel" +blo "63250,46800" +tm "WireNameMgr" +) +) +on &17 +) +*69 (Wire +uid 12605,0 +shape (OrthoPolyLine +uid 12606,0 +va (VaSet +vasetType 3 +) +xt "53000,45000,68250,69000" +pts [ +"68250,45000" +"53000,45000" +"53000,69000" +] +) +start &56 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12610,0 +va (VaSet +font "Verdana,12,0" +) +xt "62250,43600,67250,45000" +st "hWrite" +blo "62250,44800" +tm "WireNameMgr" +) +) +on &18 +) +*70 (Wire +uid 12613,0 +shape (OrthoPolyLine +uid 12614,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51000,43000,68250,69000" +pts [ +"68250,43000" +"51000,43000" +"51000,69000" +] +) +start &55 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12617,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12618,0 +va (VaSet +font "Verdana,12,0" +) +xt "62250,41600,67350,43000" +st "hTrans" +blo "62250,42800" +tm "WireNameMgr" +) +) +on &19 +) +*71 (Wire +uid 12621,0 +shape (OrthoPolyLine +uid 12622,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49000,41000,68250,69000" +pts [ +"68250,41000" +"49000,41000" +"49000,69000" +] +) +start &51 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12626,0 +va (VaSet +font "Verdana,12,0" +) +xt "61250,39600,67150,41000" +st "hWData" +blo "61250,40800" +tm "WireNameMgr" +) +) +on &20 +) +*72 (Wire +uid 12629,0 +shape (OrthoPolyLine +uid 12630,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,39000,68250,69000" +pts [ +"68250,39000" +"47000,39000" +"47000,69000" +] +) +start &47 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12633,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12634,0 +va (VaSet +font "Verdana,12,0" +) +xt "62250,37600,66750,39000" +st "hAddr" +blo "62250,38800" +tm "WireNameMgr" +) +) +on &21 +) +*73 (Wire +uid 13631,0 +shape (OrthoPolyLine +uid 13632,0 +va (VaSet +vasetType 3 +) +xt "85750,45000,89000,69000" +pts [ +"85750,45000" +"89000,45000" +"89000,69000" +] +) +start &52 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13635,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13636,0 +va (VaSet +font "Verdana,12,0" +) +xt "87750,43600,94650,45000" +st "selSinCos" +blo "87750,44800" +tm "WireNameMgr" +) +) +on &26 +) +*74 (Wire +uid 13639,0 +optionalChildren [ +*75 (BdJunction +uid 13904,0 +ps "OnConnectorStrategy" +shape (Circle +uid 13905,0 +va (VaSet +vasetType 1 +) +xt "92600,40600,93400,41400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 13640,0 +va (VaSet +vasetType 3 +) +xt "85750,41000,93000,69000" +pts [ +"85750,41000" +"93000,41000" +"93000,69000" +] +) +start &50 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13644,0 +va (VaSet +font "Verdana,12,0" +) +xt "87750,39600,91350,41000" +st "outY" +blo "87750,40800" +tm "WireNameMgr" +) +) +on &27 +) +*76 (Wire +uid 13647,0 +shape (OrthoPolyLine +uid 13648,0 +va (VaSet +vasetType 3 +) +xt "85750,39000,95000,69000" +pts [ +"85750,39000" +"95000,39000" +"95000,69000" +] +) +start &48 +end &22 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13652,0 +va (VaSet +font "Verdana,12,0" +) +xt "87750,37600,91450,39000" +st "outX" +blo "87750,38800" +tm "WireNameMgr" +) +) +on &28 +) +*77 (Wire +uid 13874,0 +shape (OrthoPolyLine +uid 13875,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "97000,31000,100250,41000" +pts [ +"100250,41000" +"97000,41000" +"97000,31000" +] +) +start &37 +end &29 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13878,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13879,0 +va (VaSet +font "Verdana,12,0" +) +xt "94000,39600,102800,41000" +st "lowpassInY" +blo "94000,40800" +tm "WireNameMgr" +) +) +on &43 +) +*78 (Wire +uid 13880,0 +shape (OrthoPolyLine +uid 13881,0 +va (VaSet +vasetType 3 +) +xt "97000,45000,100250,69000" +pts [ +"97000,69000" +"97000,45000" +"100250,45000" +] +) +start &22 +end &34 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13884,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13885,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,43600,100800,45000" +st "clock" +blo "97000,44800" +tm "WireNameMgr" +) +) +on &41 +) +*79 (Wire +uid 13886,0 +shape (OrthoPolyLine +uid 13887,0 +va (VaSet +vasetType 3 +) +xt "99000,47000,100250,69000" +pts [ +"99000,69000" +"99000,47000" +"100250,47000" +] +) +start &22 +end &36 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13890,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13891,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,45600,101100,47000" +st "reset" +blo "97000,46800" +tm "WireNameMgr" +) +) +on &42 +) +*80 (Wire +uid 13898,0 +shape (OrthoPolyLine +uid 13899,0 +va (VaSet +vasetType 3 +) +xt "93000,31000,93000,41000" +pts [ +"93000,41000" +"93000,31000" +] +) +start &75 +end &29 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13903,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,31600,92600,33000" +st "outY" +blo "89000,32800" +tm "WireNameMgr" +) +) +on &27 +) +*81 (Wire +uid 13908,0 +shape (OrthoPolyLine +uid 13909,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,41000,121000,69000" +pts [ +"117750,41000" +"121000,41000" +"121000,69000" +] +) +start &35 +end &22 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13912,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13913,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,39600,129550,41000" +st "lowpassOutY" +blo "119750,40800" +tm "WireNameMgr" +) +) +on &44 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *82 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,19000,35900,20000" +st "Package List" +blo "29000,19800" +) +*84 (MLText +uid 1298,0 +va (VaSet +) +xt "29000,20000,46500,26000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32500,1200" +st "Compiler Directives" +blo "20000,1000" +) +*86 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,35100,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*87 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,35700,6800" +st "Post-module directives:" +blo "20000,6600" +) +*89 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*90 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,35200,8400" +st "End-module directives:" +blo "20000,8200" +) +*91 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "27404,17388,169965,94845" +cachedDiagramExtent "0,0,138000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "29000,19000" +lastUid 14713,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "300,1000,4000,2000" +st "Panel0" +blo "300,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,2550,8000,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*93 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,3950,7300,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*94 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,5350,4800,6750" +st "U_0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +va (VaSet +) +xt "-100,3000,4300,4200" +st "Library" +blo "-100,4000" +) +*96 (Text +va (VaSet +) +xt "-100,4200,9800,5400" +st "MWComponent" +blo "-100,5200" +) +*97 (Text +va (VaSet +) +xt "-100,5400,2700,6600" +st "U_0" +blo "-100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +) +xt "-350,2550,4050,3750" +st "Library" +blo "-350,3550" +tm "BdLibraryNameMgr" +) +*99 (Text +va (VaSet +) +xt "-350,3750,8950,4950" +st "SaComponent" +blo "-350,4750" +tm "CptNameMgr" +) +*100 (Text +va (VaSet +) +xt "-350,4950,2450,6150" +st "U_0" +blo "-350,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +) +xt "-850,2550,3550,3750" +st "Library" +blo "-850,3550" +) +*102 (Text +va (VaSet +) +xt "-850,3750,9450,4950" +st "VhdlComponent" +blo "-850,4750" +) +*103 (Text +va (VaSet +) +xt "-850,4950,1950,6150" +st "U_0" +blo "-850,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +) +xt "-1600,2550,2800,3750" +st "Library" +blo "-1600,3550" +) +*105 (Text +va (VaSet +) +xt "-1600,3750,10100,4950" +st "VerilogComponent" +blo "-1600,4750" +) +*106 (Text +va (VaSet +) +xt "-1600,4950,1200,6150" +st "U_0" +blo "-1600,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,3400,5250,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*108 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,4400,4150,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1400,1500,2600" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*110 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "150,400,950,1400" +st "1" +blo "150,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*112 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,26800,36000,27800" +st "Declarations" +blo "29000,27600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,32400,29000" +st "Ports:" +blo "29000,28800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,27800,33800,28800" +st "Pre User:" +blo "29000,28600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "31000,28800,56600,37200" +st "constant patternAddressBitNb: positive := 9; +constant testOutBitNb: positive := 16; + +constant signalBitNb: positive := 16; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,38000,29000" +st "Diagram Signals:" +blo "29000,28800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,35000,29000" +st "Post User:" +blo "29000,28800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "31000,42400,31000,42400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 129,0 +usingSuid 1 +emptyRow *113 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*114 (RefLabelRowHdr +) +*115 (TitleRowHdr +) +*116 (FilterRowHdr +) +*117 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*118 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*119 (GroupColHdr +tm "GroupColHdrMgr" +) +*120 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*121 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*122 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*123 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*124 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*125 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*126 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 108,0 +) +) +uid 12637,0 +) +*127 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 109,0 +) +) +uid 12639,0 +) +*128 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 110,0 +) +) +uid 12641,0 +) +*129 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 111,0 +) +) +uid 12643,0 +) +*130 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 112,0 +) +) +uid 12645,0 +) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 113,0 +) +) +uid 12647,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 114,0 +) +) +uid 12649,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 115,0 +) +) +uid 12651,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 116,0 +) +) +uid 12653,0 +) +*135 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 117,0 +) +) +uid 12655,0 +) +*136 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 120,0 +) +) +uid 13653,0 +) +*137 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 121,0 +) +) +uid 13655,0 +) +*138 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 122,0 +) +) +uid 13657,0 +) +*139 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 123,0 +) +) +uid 13914,0 +) +*140 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 125,0 +) +) +uid 13918,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassInY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 12 +suid 127,0 +) +) +uid 13926,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 128,0 +) +) +uid 13928,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*143 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *144 (MRCItem +litem &113 +pos 17 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*145 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 3326,0 +) +*146 (MRCItem +litem &115 +pos 1 +dimension 23 +uid 3327,0 +) +*147 (MRCItem +litem &116 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*148 (MRCItem +litem &126 +pos 0 +dimension 20 +uid 12638,0 +) +*149 (MRCItem +litem &127 +pos 1 +dimension 20 +uid 12640,0 +) +*150 (MRCItem +litem &128 +pos 2 +dimension 20 +uid 12642,0 +) +*151 (MRCItem +litem &129 +pos 3 +dimension 20 +uid 12644,0 +) +*152 (MRCItem +litem &130 +pos 4 +dimension 20 +uid 12646,0 +) +*153 (MRCItem +litem &131 +pos 5 +dimension 20 +uid 12648,0 +) +*154 (MRCItem +litem &132 +pos 6 +dimension 20 +uid 12650,0 +) +*155 (MRCItem +litem &133 +pos 7 +dimension 20 +uid 12652,0 +) +*156 (MRCItem +litem &134 +pos 8 +dimension 20 +uid 12654,0 +) +*157 (MRCItem +litem &135 +pos 9 +dimension 20 +uid 12656,0 +) +*158 (MRCItem +litem &136 +pos 10 +dimension 20 +uid 13654,0 +) +*159 (MRCItem +litem &137 +pos 11 +dimension 20 +uid 13656,0 +) +*160 (MRCItem +litem &138 +pos 12 +dimension 20 +uid 13658,0 +) +*161 (MRCItem +litem &139 +pos 13 +dimension 20 +uid 13915,0 +) +*162 (MRCItem +litem &140 +pos 14 +dimension 20 +uid 13919,0 +) +*163 (MRCItem +litem &141 +pos 15 +dimension 20 +uid 13927,0 +) +*164 (MRCItem +litem &142 +pos 16 +dimension 20 +uid 13929,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*165 (MRCItem +litem &117 +pos 0 +dimension 20 +uid 3330,0 +) +*166 (MRCItem +litem &119 +pos 1 +dimension 50 +uid 3331,0 +) +*167 (MRCItem +litem &120 +pos 2 +dimension 100 +uid 3332,0 +) +*168 (MRCItem +litem &121 +pos 3 +dimension 50 +uid 3333,0 +) +*169 (MRCItem +litem &122 +pos 4 +dimension 100 +uid 3334,0 +) +*170 (MRCItem +litem &123 +pos 5 +dimension 100 +uid 3335,0 +) +*171 (MRCItem +litem &124 +pos 6 +dimension 50 +uid 3336,0 +) +*172 (MRCItem +litem &125 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *173 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*174 (RefLabelRowHdr +) +*175 (TitleRowHdr +) +*176 (FilterRowHdr +) +*177 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*178 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*179 (GroupColHdr +tm "GroupColHdrMgr" +) +*180 (NameColHdr +tm "GenericNameColHdrMgr" +) +*181 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*182 (InitColHdr +tm "GenericValueColHdrMgr" +) +*183 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*184 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*185 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *186 (MRCItem +litem &173 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*187 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 3354,0 +) +*188 (MRCItem +litem &175 +pos 1 +dimension 23 +uid 3355,0 +) +*189 (MRCItem +litem &176 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*190 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 3358,0 +) +*191 (MRCItem +litem &179 +pos 1 +dimension 50 +uid 3359,0 +) +*192 (MRCItem +litem &180 +pos 2 +dimension 100 +uid 3360,0 +) +*193 (MRCItem +litem &181 +pos 3 +dimension 100 +uid 3361,0 +) +*194 (MRCItem +litem &182 +pos 4 +dimension 50 +uid 3362,0 +) +*195 (MRCItem +litem &183 +pos 5 +dimension 50 +uid 3363,0 +) +*196 (MRCItem +litem &184 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb new file mode 100644 index 0000000..8caf89b --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb @@ -0,0 +1,1280 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahbBeamer_tb" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:30:06" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamer_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahbBeamer_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:30:06" +) +(vvPair +variable "unit" +value "ahbBeamer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,40750,22900" +st "SystemOnChip_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,37750,23900" +st "ahbBeamer_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,46400,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,9100,1300" +st "Package List" +blo "0,1000" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7800,4400" +st "Declarations" +blo "0,4200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4400,3600,5400" +st "Ports:" +blo "0,5200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5400,3000,6400" +st "User:" +blo "0,6200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,9000,4400" +st "Internal User:" +blo "0,4200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6400,2000,6400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 157,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester/interface new file mode 100644 index 0000000..8a5811c --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester/interface @@ -0,0 +1,2384 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 64,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 49,0 +) +) +uid 714,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 50,0 +) +) +uid 716,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 51,0 +) +) +uid 718,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 52,0 +) +) +uid 720,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 53,0 +) +) +uid 722,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 54,0 +) +) +uid 724,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 55,0 +) +) +uid 726,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 56,0 +) +) +uid 728,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 57,0 +) +) +uid 730,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 58,0 +) +) +uid 732,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 59,0 +) +) +uid 734,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 60,0 +) +) +uid 736,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 61,0 +) +) +uid 738,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 62,0 +) +) +uid 740,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 63,0 +) +) +uid 742,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 64,0 +) +) +uid 744,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*30 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *31 (MRCItem +litem &1 +pos 16 +dimension 20 +) +uid 180,0 +optionalChildren [ +*32 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 181,0 +) +*33 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 182,0 +) +*34 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*35 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 715,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 717,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 719,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 721,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 723,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 725,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 727,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 729,0 +) +*43 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 731,0 +) +*44 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 733,0 +) +*45 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 735,0 +) +*46 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 737,0 +) +*47 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 739,0 +) +*48 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 741,0 +) +*49 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 743,0 +) +*50 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 745,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*51 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 185,0 +) +*52 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 186,0 +) +*53 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 187,0 +) +*54 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 188,0 +) +*55 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 189,0 +) +*56 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 190,0 +) +*57 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 191,0 +) +*58 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 192,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *59 (LEmptyRow +) +uid 194,0 +optionalChildren [ +*60 (RefLabelRowHdr +) +*61 (TitleRowHdr +) +*62 (FilterRowHdr +) +*63 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*64 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*65 (GroupColHdr +tm "GroupColHdrMgr" +) +*66 (NameColHdr +tm "GenericNameColHdrMgr" +) +*67 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*68 (InitColHdr +tm "GenericValueColHdrMgr" +) +*69 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*70 (EolColHdr +tm "GenericEolColHdrMgr" +) +*71 (LogGeneric +generic (GiElement +name "patternAddressBitNb" +type "positive" +value "1" +) +uid 267,0 +) +*72 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "1" +) +uid 269,0 +) +*73 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 609,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 206,0 +optionalChildren [ +*74 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *75 (MRCItem +litem &59 +pos 3 +dimension 20 +) +uid 208,0 +optionalChildren [ +*76 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 209,0 +) +*77 (MRCItem +litem &61 +pos 1 +dimension 23 +uid 210,0 +) +*78 (MRCItem +litem &62 +pos 2 +hidden 1 +dimension 20 +uid 211,0 +) +*79 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 266,0 +) +*80 (MRCItem +litem &72 +pos 1 +dimension 20 +uid 268,0 +) +*81 (MRCItem +litem &73 +pos 2 +dimension 20 +uid 610,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 212,0 +optionalChildren [ +*82 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 213,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 50 +uid 214,0 +) +*84 (MRCItem +litem &66 +pos 2 +dimension 100 +uid 215,0 +) +*85 (MRCItem +litem &67 +pos 3 +dimension 100 +uid 216,0 +) +*86 (MRCItem +litem &68 +pos 4 +dimension 50 +uid 217,0 +) +*87 (MRCItem +litem &69 +pos 5 +dimension 50 +uid 218,0 +) +*88 (MRCItem +litem &70 +pos 6 +dimension 80 +uid 219,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 207,0 +vaOverrides [ +] +) +] +) +uid 193,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahbBeamer_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:03:58" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbBeamer_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahb@beamer_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\ahbBeamer_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:03:58" +) +(vvPair +variable "unit" +value "ahbBeamer_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 163,0 +optionalChildren [ +*89 (SymbolBody +uid 8,0 +optionalChildren [ +*90 (CptPort +uid 634,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 635,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72625,5250,73375,6000" +) +tg (CPTG +uid 636,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 637,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "72300,7000,73700,10800" +st "clock" +ju 2 +blo "73500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 638,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,62500,7600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 49,0 +) +) +) +*91 (CptPort +uid 639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 640,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 642,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,11500" +st "hAddr" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 643,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,77000,8400" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 50,0 +) +) +) +*92 (CptPort +uid 644,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 645,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 646,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 647,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "40300,7000,41700,10500" +st "hClk" +ju 2 +blo "41500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 648,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,62500,9200" +st "hClk : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 51,0 +) +) +) +*93 (CptPort +uid 649,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 650,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 651,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 652,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,12400" +st "hRData" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 653,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,79000,2800" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 52,0 +) +) +) +*94 (CptPort +uid 654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 655,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 656,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 657,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,12500" +st "hReady" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 658,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62500,3600" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 53,0 +) +) +) +*95 (CptPort +uid 659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 660,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 662,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "42300,7000,43700,13800" +st "hReset_n" +ju 2 +blo "43500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 663,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,62500,10000" +st "hReset_n : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 54,0 +) +) +) +*96 (CptPort +uid 664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 665,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 666,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 667,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11700" +st "hResp" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 668,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62500,4400" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 55,0 +) +) +) +*97 (CptPort +uid 669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 670,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 671,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 672,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10500" +st "hSel" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,62500,10800" +st "hSel : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 56,0 +) +) +) +*98 (CptPort +uid 674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 675,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 676,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 677,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,12100" +st "hTrans" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 678,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,79500,11600" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 57,0 +) +) +) +*99 (CptPort +uid 679,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 680,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 681,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 682,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,12900" +st "hWData" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 683,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,79000,12400" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 58,0 +) +) +) +*100 (CptPort +uid 684,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 685,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 686,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 687,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,12000" +st "hWrite" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 688,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12400,62500,13200" +st "hWrite : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 59,0 +) +) +) +*101 (CptPort +uid 689,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 690,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96625,5250,97375,6000" +) +tg (CPTG +uid 691,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 692,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "96300,7000,97700,16800" +st "lowpassOutY" +ju 2 +blo "97500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 693,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,74000,5200" +st "lowpassOutY : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 60,0 +) +) +) +*102 (CptPort +uid 694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 695,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +tg (CPTG +uid 696,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 697,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "70300,7000,71700,10700" +st "outX" +ju 2 +blo "71500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 698,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62500,6000" +st "outX : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 61,0 +) +) +) +*103 (CptPort +uid 699,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 700,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68625,5250,69375,6000" +) +tg (CPTG +uid 701,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 702,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "68300,7000,69700,10600" +st "outY" +ju 2 +blo "69500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 703,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62500,6800" +st "outY : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 62,0 +) +) +) +*104 (CptPort +uid 704,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 705,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +tg (CPTG +uid 706,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 707,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74300,7000,75700,11100" +st "reset" +ju 2 +blo "75500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 708,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13200,62500,14000" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 63,0 +) +) +) +*105 (CptPort +uid 709,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 710,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 711,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 712,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "64300,7000,65700,13900" +st "selSinCos" +ju 2 +blo "65500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 713,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,14000,61500,14800" +st "selSinCos : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 64,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,105000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "54850,9000,65150,10000" +st "SystemOnChip_test" +blo "54850,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "54850,10000,64350,11000" +st "ahbBeamer_tester" +blo "54850,10800" +) +) +gi *106 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,6000,51900,11000" +st "Generic Declarations + +patternAddressBitNb positive 1 +signalBitNb positive 1 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "patternAddressBitNb" +type "positive" +value "1" +) +(GiElement +name "signalBitNb" +type "positive" +value "1" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*107 (Grouping +uid 16,0 +optionalChildren [ +*108 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*109 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*110 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*112 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*113 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *118 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*120 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,105000,49000" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SystemOnChip_test" +entityName "ahbBeamer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *121 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *122 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,14800,45000,15800" +st "User:" +blo "42000,15600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,15800,44000,15800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 745,0 +activeModelName "Symbol:GEN" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester1/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester1/interface new file mode 100644 index 0000000..ba9d508 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/ahb@beamer_tester1/interface @@ -0,0 +1,2334 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 17,0 +) +) +uid 323,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 18,0 +) +) +uid 325,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 19,0 +) +) +uid 327,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +) +uid 329,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 21,0 +) +) +uid 331,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 22,0 +) +) +uid 333,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 23,0 +) +) +uid 335,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 24,0 +) +) +uid 337,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 25,0 +) +) +uid 339,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 26,0 +) +) +uid 341,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 27,0 +) +) +uid 343,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 28,0 +) +) +uid 345,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 29,0 +) +) +uid 347,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 30,0 +) +) +uid 349,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 31,0 +) +) +uid 351,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 32,0 +) +) +uid 353,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*30 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *31 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 180,0 +optionalChildren [ +*32 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 181,0 +) +*33 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 182,0 +) +*34 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*35 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 324,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 326,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 328,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 330,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 332,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 334,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 336,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 338,0 +) +*43 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 340,0 +) +*44 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 342,0 +) +*45 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 344,0 +) +*46 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 346,0 +) +*47 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 348,0 +) +*48 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 350,0 +) +*49 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 352,0 +) +*50 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 354,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*51 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 185,0 +) +*52 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 186,0 +) +*53 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 187,0 +) +*54 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 188,0 +) +*55 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 189,0 +) +*56 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 190,0 +) +*57 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 191,0 +) +*58 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 192,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *59 (LEmptyRow +) +uid 194,0 +optionalChildren [ +*60 (RefLabelRowHdr +) +*61 (TitleRowHdr +) +*62 (FilterRowHdr +) +*63 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*64 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*65 (GroupColHdr +tm "GroupColHdrMgr" +) +*66 (NameColHdr +tm "GenericNameColHdrMgr" +) +*67 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*68 (InitColHdr +tm "GenericValueColHdrMgr" +) +*69 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*70 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 206,0 +optionalChildren [ +*71 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *72 (MRCItem +litem &59 +pos 3 +dimension 20 +) +uid 208,0 +optionalChildren [ +*73 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 209,0 +) +*74 (MRCItem +litem &61 +pos 1 +dimension 23 +uid 210,0 +) +*75 (MRCItem +litem &62 +pos 2 +hidden 1 +dimension 20 +uid 211,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 212,0 +optionalChildren [ +*76 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 213,0 +) +*77 (MRCItem +litem &65 +pos 1 +dimension 50 +uid 214,0 +) +*78 (MRCItem +litem &66 +pos 2 +dimension 100 +uid 215,0 +) +*79 (MRCItem +litem &67 +pos 3 +dimension 100 +uid 216,0 +) +*80 (MRCItem +litem &68 +pos 4 +dimension 50 +uid 217,0 +) +*81 (MRCItem +litem &69 +pos 5 +dimension 50 +uid 218,0 +) +*82 (MRCItem +litem &70 +pos 6 +dimension 80 +uid 219,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 207,0 +vaOverrides [ +] +) +] +) +uid 193,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tester1/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tester1/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tester1" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahbBeamer_tester1" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbBeamer_tester1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:30:07" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "ahbBeamer_tester1" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahb@beamer_tester1/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/ahbBeamer_tester1/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:30:07" +) +(vvPair +variable "unit" +value "ahbBeamer_tester1" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 163,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "72625,5250,73375,6000" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 246,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "72550,7000,73450,9500" +st "clock" +ju 2 +blo "73250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7200,61500,8100" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 17,0 +) +) +) +*85 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 251,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "hAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,75500,9000" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 18,0 +) +) +) +*86 (CptPort +uid 253,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 254,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 255,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 256,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9000" +st "hClk" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 257,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9000,61500,9900" +st "hClk : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 3 +suid 19,0 +) +) +) +*87 (CptPort +uid 258,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 259,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 260,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 261,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,10000" +st "hRData" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 262,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,77500,2700" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +) +) +*88 (CptPort +uid 263,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 264,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 265,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 266,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,10000" +st "hReady" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 267,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,61500,3600" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 21,0 +) +) +) +*89 (CptPort +uid 268,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 269,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 270,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 271,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "42550,7000,43450,11000" +st "hReset_n" +ju 2 +blo "43250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 272,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9900,61500,10800" +st "hReset_n : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 6 +suid 22,0 +) +) +) +*90 (CptPort +uid 273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 274,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 275,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 276,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,9500" +st "hResp" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 277,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,61500,4500" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 7 +suid 23,0 +) +) +) +*91 (CptPort +uid 278,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 279,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 280,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 281,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9000" +st "hSel" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 282,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10800,61500,11700" +st "hSel : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 24,0 +) +) +) +*92 (CptPort +uid 283,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 284,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 285,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 286,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,10000" +st "hTrans" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 287,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11700,78000,12600" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 9 +suid 25,0 +) +) +) +*93 (CptPort +uid 288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 289,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 290,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 291,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,10000" +st "hWData" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 292,0 +va (VaSet +font "courier,8,0" +) +xt "44000,12600,77500,13500" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 26,0 +) +) +) +*94 (CptPort +uid 293,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 294,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 295,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 296,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,10000" +st "hWrite" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 297,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13500,61500,14400" +st "hWrite : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 11 +suid 27,0 +) +) +) +*95 (CptPort +uid 298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 299,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96625,5250,97375,6000" +) +tg (CPTG +uid 300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 301,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "96550,7000,97450,13000" +st "lowpassOutY" +ju 2 +blo "97250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 302,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,72500,5400" +st "lowpassOutY : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 13 +suid 28,0 +) +) +) +*96 (CptPort +uid 303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 304,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +tg (CPTG +uid 305,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 306,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "70550,7000,71450,9000" +st "outX" +ju 2 +blo "71250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 307,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,61500,6300" +st "outX : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 14 +suid 29,0 +) +) +) +*97 (CptPort +uid 308,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 309,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68625,5250,69375,6000" +) +tg (CPTG +uid 310,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 311,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "68550,7000,69450,9000" +st "outY" +ju 2 +blo "69250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 312,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,61500,7200" +st "outY : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 15 +suid 30,0 +) +) +) +*98 (CptPort +uid 313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 314,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +tg (CPTG +uid 315,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 316,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "74550,7000,75450,9500" +st "reset" +ju 2 +blo "75250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 317,0 +va (VaSet +font "courier,8,0" +) +xt "44000,14400,61500,15300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 16 +suid 31,0 +) +) +) +*99 (CptPort +uid 318,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 319,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 320,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 321,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "64550,7000,65450,11500" +st "selSinCos" +ju 2 +blo "65250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 322,0 +va (VaSet +font "courier,8,0" +) +xt "44000,15300,60500,16200" +st "selSinCos : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 17 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,105000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "55500,9100,64500,10000" +st "SystemOnChip_test" +blo "55500,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "55500,10000,64500,10900" +st "ahbBeamer_tester1" +blo "55500,10700" +) +) +gi *100 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,6000,43500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*101 (Grouping +uid 16,0 +optionalChildren [ +*102 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*107 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,59700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*108 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*109 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*110 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,41700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*114 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,5400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SystemOnChip_test" +entityName "ahbBeamer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,16200,44500,17100" +st "User:" +blo "42000,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,17100,44000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 354,0 +activeModelName "Symbol:CDM" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/struct.bd new file mode 100644 index 0000000..603cb46 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/struct.bd @@ -0,0 +1,5636 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Curves_test" +duName "beamerPeriphBlanking_tester" +elements [ +] +mwi 0 +uid 421,0 +) +(Instance +name "I3" +duLibraryName "Curves" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "8" +) +] +mwi 0 +uid 984,0 +) +(Instance +name "I0" +duLibraryName "Curves" +duName "beamerPeriphBlanking" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +mwi 0 +uid 1599,0 +) +(Instance +name "I2" +duLibraryName "Curves_test" +duName "DAC_5543" +elements [ +] +mwi 0 +uid 1624,0 +) +(Instance +name "I4" +duLibraryName "Curves_test" +duName "DAC_5543" +elements [ +] +mwi 0 +uid 1649,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@periph@blanking_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@periph@blanking_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@periph@blanking_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerPeriphBlanking_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:05:23" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@periph@blanking_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerPeriphBlanking_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:05:23" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Net +uid 45,0 +decl (Decl +n "reset" +t "std_ulogic" +o 20 +suid 1,0 +) +declText (MLText +uid 46,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,35600,15200,36600" +st "SIGNAL reset : std_ulogic +" +) +) +*2 (Net +uid 53,0 +decl (Decl +n "clock" +t "std_ulogic" +o 10 +suid 2,0 +) +declText (MLText +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,25600,15200,26600" +st "SIGNAL clock : std_ulogic +" +) +) +*3 (Grouping +uid 110,0 +optionalChildren [ +*4 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,71000,62000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,61500,54200,61500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "71000,57000,75000,58000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "71200,57500,71200,57500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,59000,71000,60000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,59500,54200,59500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,59000,54000,60000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "50200,59500,50200,59500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "71000,58000,91000,62000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "71200,58200,85300,59400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*9 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,57000,91000,58000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,57500,75200,57500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,57000,71000,59000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "55350,57400,65650,58600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,60000,54000,61000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "50200,60500,50200,60500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "50000,61000,54000,62000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "50200,61500,50200,61500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,60000,71000,61000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,60500,54200,60500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "50000,57000,91000,62000" +) +oxt "14000,66000,55000,71000" +) +*14 (Blk +uid 421,0 +shape (Rectangle +uid 422,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "17000,44000,89000,52000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 423,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 424,0 +va (VaSet +) +xt "17700,52200,25300,53400" +st "Curves_test" +blo "17700,53200" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 425,0 +va (VaSet +) +xt "17700,53400,34600,54600" +st "beamerPeriphBlanking_tester" +blo "17700,54400" +tm "BlkNameMgr" +) +*17 (Text +uid 426,0 +va (VaSet +) +xt "17700,54600,19600,55800" +st "I1" +blo "17700,55600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 427,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 428,0 +text (MLText +uid 429,0 +va (VaSet +) +xt "27000,58000,51600,60400" +) +header "" +) +elements [ +] +) +) +*18 (Net +uid 758,0 +decl (Decl +n "cs" +t "std_ulogic" +o 11 +suid 3,0 +) +declText (MLText +uid 759,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,26600,14900,27600" +st "SIGNAL cs : std_ulogic +" +) +) +*19 (Net +uid 766,0 +decl (Decl +n "wrL" +t "std_ulogic" +o 23 +suid 4,0 +) +declText (MLText +uid 767,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,38600,15200,39600" +st "SIGNAL wrL : std_ulogic +" +) +) +*20 (Net +uid 774,0 +decl (Decl +n "wrH" +t "std_ulogic" +o 22 +suid 5,0 +) +declText (MLText +uid 775,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,37600,15400,38600" +st "SIGNAL wrH : std_ulogic +" +) +) +*21 (Net +uid 782,0 +decl (Decl +n "rd" +t "std_ulogic" +o 19 +suid 6,0 +) +declText (MLText +uid 783,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,34600,14900,35600" +st "SIGNAL rd : std_ulogic +" +) +) +*22 (Net +uid 790,0 +decl (Decl +n "outY" +t "std_ulogic" +o 17 +suid 7,0 +) +declText (MLText +uid 791,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,32600,15300,33600" +st "SIGNAL outY : std_ulogic +" +) +) +*23 (Net +uid 798,0 +decl (Decl +n "outX" +t "std_ulogic" +o 16 +suid 8,0 +) +declText (MLText +uid 799,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31600,15300,32600" +st "SIGNAL outX : std_ulogic +" +) +) +*24 (Net +uid 806,0 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 9,0 +) +declText (MLText +uid 807,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,28600,29200,29600" +st "SIGNAL dataOut : std_logic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*25 (Net +uid 814,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 10,0 +) +declText (MLText +uid 815,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,27600,29400,28600" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*26 (Net +uid 822,0 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 9 +suid 11,0 +) +declText (MLText +uid 823,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24600,27100,25600" +st "SIGNAL addr : unsigned(addressBitNb-1 DOWNTO 0) +" +) +) +*27 (HdlText +uid 975,0 +optionalChildren [ +*28 (EmbeddedText +uid 980,0 +commentText (CommentText +uid 981,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 982,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "100000,2000,122000,4000" +) +oxt "0,0,18000,5000" +text (MLText +uid 983,0 +va (VaSet +) +xt "100200,2200,122200,3400" +st " +lowpassIn <= (lowpassIn'high => outY, others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 22000 +) +) +) +] +shape (Rectangle +uid 976,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "99000,1000,123000,5000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 977,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 978,0 +va (VaSet +) +xt "99400,5000,102000,6200" +st "eb2" +blo "99400,6000" +tm "HdlTextNameMgr" +) +*30 (Text +uid 979,0 +va (VaSet +) +xt "99400,6000,100800,7200" +st "2" +blo "99400,7000" +tm "HdlTextNumberMgr" +) +] +) +) +*31 (SaComponent +uid 984,0 +optionalChildren [ +*32 (CptPort +uid 993,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 994,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,14625,107000,15375" +) +tg (CPTG +uid 995,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 996,0 +va (VaSet +) +xt "108000,14400,111400,15600" +st "clock" +blo "108000,15400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*33 (CptPort +uid 997,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 998,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123000,10625,123750,11375" +) +tg (CPTG +uid 999,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1000,0 +va (VaSet +) +xt "114700,10400,122000,11600" +st "lowpassOut" +ju 2 +blo "122000,11400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*34 (CptPort +uid 1001,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1002,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,16625,107000,17375" +) +tg (CPTG +uid 1003,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1004,0 +va (VaSet +) +xt "108000,16400,111300,17600" +st "reset" +blo "108000,17400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*35 (CptPort +uid 1005,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1006,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,10625,107000,11375" +) +tg (CPTG +uid 1007,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1008,0 +va (VaSet +) +xt "108000,10400,113800,11600" +st "lowpassIn" +blo "108000,11400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 985,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,7000,123000,19000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 986,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 987,0 +va (VaSet +) +xt "107600,18800,111900,20000" +st "Curves" +blo "107600,19800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 988,0 +va (VaSet +) +xt "107600,19800,112300,21000" +st "lowpass" +blo "107600,20800" +tm "CptNameMgr" +) +*38 (Text +uid 989,0 +va (VaSet +) +xt "107600,20800,109500,22000" +st "I3" +blo "107600,21800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 990,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 991,0 +text (MLText +uid 992,0 +va (VaSet +font "Verdana,8,0" +) +xt "107000,22600,125100,24600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = 8 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "8" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*39 (Net +uid 1033,0 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 14 +suid 12,0 +) +declText (MLText +uid 1034,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29600,27000,30600" +st "SIGNAL lowpassIn : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 1035,0 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 15 +suid 13,0 +) +declText (MLText +uid 1036,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30600,27300,31600" +st "SIGNAL lowpassOut : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 1290,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 21 +suid 14,0 +) +declText (MLText +uid 1291,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,36600,15800,37600" +st "SIGNAL selSinCos : std_ulogic +" +) +) +*42 (Net +uid 1477,0 +decl (Decl +n "outZ" +t "std_ulogic" +o 18 +suid 15,0 +) +declText (MLText +uid 1478,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33600,15300,34600" +st "SIGNAL outZ : std_ulogic +" +) +) +*43 (SaComponent +uid 1599,0 +optionalChildren [ +*44 (CptPort +uid 1519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,31625,49000,32375" +) +tg (CPTG +uid 1521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1522,0 +va (VaSet +) +xt "50000,31400,53400,32600" +st "clock" +blo "50000,32400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +) +) +) +*45 (CptPort +uid 1523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,5625,49000,6375" +) +tg (CPTG +uid 1525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1526,0 +va (VaSet +) +xt "50000,5400,52900,6600" +st "addr" +blo "50000,6400" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*46 (CptPort +uid 1527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1528,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,5625,65750,6375" +) +tg (CPTG +uid 1529,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1530,0 +va (VaSet +) +xt "61001,5400,64001,6600" +st "outX" +ju 2 +blo "64001,6400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 1 +) +) +) +*47 (CptPort +uid 1531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,33625,49000,34375" +) +tg (CPTG +uid 1533,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1534,0 +va (VaSet +) +xt "50000,33400,53300,34600" +st "reset" +blo "50000,34400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +*48 (CptPort +uid 1535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,7625,65750,8375" +) +tg (CPTG +uid 1537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1538,0 +va (VaSet +) +xt "61001,7400,64001,8600" +st "outY" +ju 2 +blo "64001,8400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 5 +) +) +) +*49 (CptPort +uid 1539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1540,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,7625,49000,8375" +) +tg (CPTG +uid 1541,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1542,0 +va (VaSet +) +xt "50000,7400,54000,8600" +st "dataIn" +blo "50000,8400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*50 (CptPort +uid 1543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,13625,49000,14375" +) +tg (CPTG +uid 1545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1546,0 +va (VaSet +) +xt "50000,13400,51700,14600" +st "rd" +blo "50000,14400" +) +) +thePort (LogicalPort +decl (Decl +n "rd" +t "std_ulogic" +o 7 +) +) +) +*51 (CptPort +uid 1547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,15625,49000,16375" +) +tg (CPTG +uid 1549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1550,0 +va (VaSet +) +xt "50000,15400,52600,16600" +st "wrH" +blo "50000,16400" +) +) +thePort (LogicalPort +decl (Decl +n "wrH" +t "std_ulogic" +o 8 +) +) +) +*52 (CptPort +uid 1551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,19625,49000,20375" +) +tg (CPTG +uid 1553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1554,0 +va (VaSet +) +xt "50000,19400,51900,20600" +st "cs" +blo "50000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "cs" +t "std_ulogic" +o 9 +) +) +) +*53 (CptPort +uid 1555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,17625,49000,18375" +) +tg (CPTG +uid 1557,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1558,0 +va (VaSet +) +xt "50000,17400,52400,18600" +st "wrL" +blo "50000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "wrL" +t "std_ulogic" +o 10 +) +) +) +*54 (CptPort +uid 1559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1560,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,9625,49000,10375" +) +tg (CPTG +uid 1561,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1562,0 +va (VaSet +) +xt "50000,9400,54800,10600" +st "dataOut" +blo "50000,10400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +) +) +) +*55 (CptPort +uid 1563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,31625,65750,32375" +) +tg (CPTG +uid 1565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1566,0 +va (VaSet +) +xt "59401,31400,64001,32600" +st "testOut" +ju 2 +blo "64001,32400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO 16)" +o 12 +) +) +) +*56 (CptPort +uid 1567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1568,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,29625,65750,30375" +) +tg (CPTG +uid 1569,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1570,0 +va (VaSet +) +xt "58201,29400,64001,30600" +st "selSinCos" +ju 2 +blo "64001,30400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +) +) +) +*57 (CptPort +uid 1571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,9625,65750,10375" +) +tg (CPTG +uid 1573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1574,0 +va (VaSet +) +xt "61001,9400,64001,10600" +st "outZ" +ju 2 +blo "64001,10400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outZ" +t "std_ulogic" +o 14 +) +) +) +*58 (CptPort +uid 1575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,15625,65750,16375" +) +tg (CPTG +uid 1577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1578,0 +va (VaSet +) +xt "59900,15400,64000,16600" +st "CLK_X" +ju 2 +blo "64000,16400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 17 +) +) +) +*59 (CptPort +uid 1579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,13625,65750,14375" +) +tg (CPTG +uid 1581,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1582,0 +va (VaSet +) +xt "59300,13400,64000,14600" +st "CS_X_n" +ju 2 +blo "64000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 15 +) +) +) +*60 (CptPort +uid 1583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1584,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,17625,65750,18375" +) +tg (CPTG +uid 1585,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1586,0 +va (VaSet +) +xt "60000,17400,64000,18600" +st "SDI_X" +ju 2 +blo "64000,18400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 16 +) +) +) +*61 (CptPort +uid 1587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,21625,65750,22375" +) +tg (CPTG +uid 1589,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1590,0 +va (VaSet +) +xt "59300,21400,64000,22600" +st "CS_Y_n" +ju 2 +blo "64000,22400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 19 +) +) +) +*62 (CptPort +uid 1591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,25625,65750,26375" +) +tg (CPTG +uid 1593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1594,0 +va (VaSet +) +xt "60000,25400,64000,26600" +st "SDI_Y" +ju 2 +blo "64000,26400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 20 +) +) +) +*63 (CptPort +uid 1595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,23625,65750,24375" +) +tg (CPTG +uid 1597,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1598,0 +va (VaSet +) +xt "59900,23400,64000,24600" +st "CLK_Y" +ju 2 +blo "64000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 18 +) +) +) +] +shape (Rectangle +uid 1600,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "49000,2000,65000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1601,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 1602,0 +va (VaSet +) +xt "49600,35800,53900,37000" +st "Curves" +blo "49600,36800" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 1603,0 +va (VaSet +) +xt "49600,36800,62900,38000" +st "beamerPeriphBlanking" +blo "49600,37800" +tm "CptNameMgr" +) +*66 (Text +uid 1604,0 +va (VaSet +) +xt "49600,37800,51500,39000" +st "I0" +blo "49600,38800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1605,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1606,0 +text (MLText +uid 1607,0 +va (VaSet +font "Verdana,8,0" +) +xt "49000,39600,64000,41600" +st "dataBitNb = 16 ( positive ) +addressBitNb = 24 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*67 (SaComponent +uid 1624,0 +optionalChildren [ +*68 (CptPort +uid 1608,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1609,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,32625,107000,33375" +) +tg (CPTG +uid 1610,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1611,0 +va (VaSet +) +xt "108000,32400,110800,33600" +st "CLK" +blo "108000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*69 (CptPort +uid 1612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1613,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,28625,107000,29375" +) +tg (CPTG +uid 1614,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1615,0 +va (VaSet +) +xt "108000,28400,111400,29600" +st "CS_n" +blo "108000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*70 (CptPort +uid 1616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1617,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,30625,107000,31375" +) +tg (CPTG +uid 1618,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1619,0 +va (VaSet +) +xt "108000,30400,110700,31600" +st "SDI" +blo "108000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +*71 (CptPort +uid 1620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1621,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,28625,115750,29375" +) +tg (CPTG +uid 1622,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1623,0 +va (VaSet +) +xt "111200,28400,114000,29600" +st "Iout" +ju 2 +blo "114000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Iout" +t "natural" +o 4 +) +) +) +] +shape (Rectangle +uid 1625,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,27000,115000,35000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1626,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 1627,0 +va (VaSet +) +xt "107600,34800,115200,36000" +st "Curves_test" +blo "107600,35800" +tm "BdLibraryNameMgr" +) +*73 (Text +uid 1628,0 +va (VaSet +) +xt "107600,35800,114100,37000" +st "DAC_5543" +blo "107600,36800" +tm "CptNameMgr" +) +*74 (Text +uid 1629,0 +va (VaSet +) +xt "107600,36800,109500,38000" +st "I2" +blo "107600,37800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1630,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1631,0 +text (MLText +uid 1632,0 +va (VaSet +font "Verdana,8,0" +) +xt "72000,12000,72000,12000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*75 (SaComponent +uid 1649,0 +optionalChildren [ +*76 (CptPort +uid 1658,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1659,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,48625,107000,49375" +) +tg (CPTG +uid 1660,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1661,0 +va (VaSet +) +xt "108000,48400,110800,49600" +st "CLK" +blo "108000,49400" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_ulogic" +o 17 +) +) +) +*77 (CptPort +uid 1662,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1663,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,44625,107000,45375" +) +tg (CPTG +uid 1664,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1665,0 +va (VaSet +) +xt "108000,44400,111400,45600" +st "CS_n" +blo "108000,45400" +) +) +thePort (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 15 +) +) +) +*78 (CptPort +uid 1666,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1667,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "106250,46625,107000,47375" +) +tg (CPTG +uid 1668,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1669,0 +va (VaSet +) +xt "108000,46400,110700,47600" +st "SDI" +blo "108000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "SDI" +t "std_ulogic" +o 16 +) +) +) +*79 (CptPort +uid 1670,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1671,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "115000,44625,115750,45375" +) +tg (CPTG +uid 1672,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1673,0 +va (VaSet +) +xt "111200,44400,114000,45600" +st "Iout" +ju 2 +blo "114000,45400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Iout" +t "natural" +o 4 +) +) +) +] +shape (Rectangle +uid 1650,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "107000,43000,115000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1651,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 1652,0 +va (VaSet +) +xt "107600,50800,115200,52000" +st "Curves_test" +blo "107600,51800" +tm "BdLibraryNameMgr" +) +*81 (Text +uid 1653,0 +va (VaSet +) +xt "107600,51800,114100,53000" +st "DAC_5543" +blo "107600,52800" +tm "CptNameMgr" +) +*82 (Text +uid 1654,0 +va (VaSet +) +xt "107600,52800,109500,54000" +st "I4" +blo "107600,53800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1655,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1656,0 +text (MLText +uid 1657,0 +va (VaSet +font "Verdana,8,0" +) +xt "72000,28000,72000,28000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*83 (Net +uid 1674,0 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 3 +suid 16,0 +) +declText (MLText +uid 1675,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,16000,19600" +st "SIGNAL CS_X_n : std_ulogic +" +) +) +*84 (Net +uid 1682,0 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 1 +suid 17,0 +) +declText (MLText +uid 1683,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,15800,17600" +st "SIGNAL CLK_X : std_ulogic +" +) +) +*85 (Net +uid 1690,0 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 7 +suid 18,0 +) +declText (MLText +uid 1691,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22600,15600,23600" +st "SIGNAL SDI_X : std_ulogic +" +) +) +*86 (Net +uid 1698,0 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 4 +suid 19,0 +) +declText (MLText +uid 1699,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,16000,20600" +st "SIGNAL CS_Y_n : std_ulogic +" +) +) +*87 (Net +uid 1706,0 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 2 +suid 20,0 +) +declText (MLText +uid 1707,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,15800,18600" +st "SIGNAL CLK_Y : std_ulogic +" +) +) +*88 (Net +uid 1714,0 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 8 +suid 21,0 +) +declText (MLText +uid 1715,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23600,15600,24600" +st "SIGNAL SDI_Y : std_ulogic +" +) +) +*89 (Net +uid 1786,0 +decl (Decl +n "IoutX" +t "natural" +o 5 +suid 22,0 +) +declText (MLText +uid 1787,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,14100,21600" +st "SIGNAL IoutX : natural +" +) +) +*90 (Net +uid 1788,0 +decl (Decl +n "IoutY" +t "natural" +o 6 +suid 23,0 +) +declText (MLText +uid 1789,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,14100,22600" +st "SIGNAL IoutY : natural +" +) +) +*91 (Wire +uid 47,0 +shape (OrthoPolyLine +uid 48,0 +va (VaSet +vasetType 3 +) +xt "45000,34000,48250,44000" +pts [ +"45000,44000" +"45000,34000" +"48250,34000" +] +) +start &14 +end &47 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 51,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 52,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,32600,49100,34000" +st "reset" +blo "45000,33800" +tm "WireNameMgr" +) +) +on &1 +) +*92 (Wire +uid 55,0 +shape (OrthoPolyLine +uid 56,0 +va (VaSet +vasetType 3 +) +xt "43000,32000,48250,44000" +pts [ +"43000,44000" +"43000,32000" +"48250,32000" +] +) +start &14 +end &44 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 59,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,30600,48800,32000" +st "clock" +blo "45000,31800" +tm "WireNameMgr" +) +) +on &2 +) +*93 (Wire +uid 760,0 +shape (OrthoPolyLine +uid 761,0 +va (VaSet +vasetType 3 +) +xt "39000,20000,48250,44000" +pts [ +"48250,20000" +"39000,20000" +"39000,44000" +] +) +start &52 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 764,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 765,0 +va (VaSet +font "Verdana,12,0" +) +xt "46250,18600,48350,20000" +st "cs" +blo "46250,19800" +tm "WireNameMgr" +) +) +on &18 +) +*94 (Wire +uid 768,0 +shape (OrthoPolyLine +uid 769,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,48250,44000" +pts [ +"48250,18000" +"37000,18000" +"37000,44000" +] +) +start &53 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 772,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 773,0 +va (VaSet +font "Verdana,12,0" +) +xt "45250,16600,48350,18000" +st "wrL" +blo "45250,17800" +tm "WireNameMgr" +) +) +on &19 +) +*95 (Wire +uid 776,0 +shape (OrthoPolyLine +uid 777,0 +va (VaSet +vasetType 3 +) +xt "35000,16000,48250,44000" +pts [ +"48250,16000" +"35000,16000" +"35000,44000" +] +) +start &51 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 780,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 781,0 +va (VaSet +font "Verdana,12,0" +) +xt "44250,14600,47550,16000" +st "wrH" +blo "44250,15800" +tm "WireNameMgr" +) +) +on &20 +) +*96 (Wire +uid 784,0 +shape (OrthoPolyLine +uid 785,0 +va (VaSet +vasetType 3 +) +xt "33000,14000,48250,44000" +pts [ +"48250,14000" +"33000,14000" +"33000,44000" +] +) +start &50 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 788,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 789,0 +va (VaSet +font "Verdana,12,0" +) +xt "46250,12600,48350,14000" +st "rd" +blo "46250,13800" +tm "WireNameMgr" +) +) +on &21 +) +*97 (Wire +uid 792,0 +shape (OrthoPolyLine +uid 793,0 +va (VaSet +vasetType 3 +) +xt "65750,8000,79000,44000" +pts [ +"65750,8000" +"79000,8000" +"79000,44000" +] +) +start &48 +end &14 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 797,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,6600,71350,8000" +st "outY" +blo "67750,7800" +tm "WireNameMgr" +) +) +on &22 +) +*98 (Wire +uid 800,0 +shape (OrthoPolyLine +uid 801,0 +va (VaSet +vasetType 3 +) +xt "65750,6000,81000,44000" +pts [ +"65750,6000" +"81000,6000" +"81000,44000" +] +) +start &46 +end &14 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 804,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 805,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,4600,71450,6000" +st "outX" +blo "67750,5800" +tm "WireNameMgr" +) +) +on &23 +) +*99 (Wire +uid 808,0 +shape (OrthoPolyLine +uid 809,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "29000,10000,48250,44000" +pts [ +"48250,10000" +"29000,10000" +"29000,44000" +] +) +start &54 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 812,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 813,0 +va (VaSet +font "Verdana,12,0" +) +xt "42250,8600,48250,10000" +st "dataOut" +blo "42250,9800" +tm "WireNameMgr" +) +) +on &24 +) +*100 (Wire +uid 816,0 +shape (OrthoPolyLine +uid 817,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,8000,48250,44000" +pts [ +"48250,8000" +"27000,8000" +"27000,44000" +] +) +start &49 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 820,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 821,0 +va (VaSet +font "Verdana,12,0" +) +xt "43250,6600,48250,8000" +st "dataIn" +blo "43250,7800" +tm "WireNameMgr" +) +) +on &25 +) +*101 (Wire +uid 824,0 +shape (OrthoPolyLine +uid 825,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "25000,6000,48250,44000" +pts [ +"48250,6000" +"25000,6000" +"25000,44000" +] +) +start &45 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 828,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 829,0 +va (VaSet +font "Verdana,12,0" +) +xt "44250,4600,47950,6000" +st "addr" +blo "44250,5800" +tm "WireNameMgr" +) +) +on &26 +) +*102 (Wire +uid 1009,0 +shape (OrthoPolyLine +uid 1010,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "99000,11000,106250,11000" +pts [ +"106250,11000" +"99000,11000" +] +) +start &35 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1014,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,9600,108300,11000" +st "lowpassIn" +blo "101000,10800" +tm "WireNameMgr" +) +) +on &39 +) +*103 (Wire +uid 1015,0 +shape (OrthoPolyLine +uid 1016,0 +va (VaSet +vasetType 3 +) +xt "103000,15000,106250,15000" +pts [ +"103000,15000" +"106250,15000" +] +) +end &32 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1019,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1020,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,13600,105800,15000" +st "clock" +blo "102000,14800" +tm "WireNameMgr" +) +) +on &2 +) +*104 (Wire +uid 1021,0 +shape (OrthoPolyLine +uid 1022,0 +va (VaSet +vasetType 3 +) +xt "103000,17000,106250,17000" +pts [ +"103000,17000" +"106250,17000" +] +) +end &34 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1025,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1026,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,15600,106100,17000" +st "reset" +blo "102000,16800" +tm "WireNameMgr" +) +) +on &1 +) +*105 (Wire +uid 1027,0 +shape (OrthoPolyLine +uid 1028,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123750,11000,131000,11000" +pts [ +"123750,11000" +"131000,11000" +] +) +start &33 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1031,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1032,0 +va (VaSet +font "Verdana,12,0" +) +xt "126750,9600,135850,11000" +st "lowpassOut" +blo "126750,10800" +tm "WireNameMgr" +) +) +on &40 +) +*106 (Wire +uid 1191,0 +shape (OrthoPolyLine +uid 1192,0 +va (VaSet +vasetType 3 +) +xt "65750,30000,73000,44000" +pts [ +"65750,30000" +"73000,30000" +"73000,44000" +] +) +start &56 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1195,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1196,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,28600,74650,30000" +st "selSinCos" +blo "67750,29800" +tm "WireNameMgr" +) +) +on &41 +) +*107 (Wire +uid 1479,0 +shape (OrthoPolyLine +uid 1480,0 +va (VaSet +vasetType 3 +) +xt "65750,10000,77000,44000" +pts [ +"65750,10000" +"77000,10000" +"77000,44000" +] +) +start &57 +end &14 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1483,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1484,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,8600,71450,10000" +st "outZ" +blo "67750,9800" +tm "WireNameMgr" +) +) +on &42 +) +*108 (Wire +uid 1676,0 +shape (OrthoPolyLine +uid 1677,0 +va (VaSet +vasetType 3 +) +xt "65750,14000,73000,14000" +pts [ +"65750,14000" +"73000,14000" +] +) +start &59 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1680,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1681,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,12600,73450,14000" +st "CS_X_n" +blo "67750,13800" +tm "WireNameMgr" +) +) +on &83 +) +*109 (Wire +uid 1684,0 +shape (OrthoPolyLine +uid 1685,0 +va (VaSet +vasetType 3 +) +xt "65750,16000,73000,16000" +pts [ +"65750,16000" +"73000,16000" +] +) +start &58 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1688,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1689,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,14600,72550,16000" +st "CLK_X" +blo "67750,15800" +tm "WireNameMgr" +) +) +on &84 +) +*110 (Wire +uid 1692,0 +shape (OrthoPolyLine +uid 1693,0 +va (VaSet +vasetType 3 +) +xt "65750,18000,73000,18000" +pts [ +"65750,18000" +"73000,18000" +] +) +start &60 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1696,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1697,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,16600,72350,18000" +st "SDI_X" +blo "67750,17800" +tm "WireNameMgr" +) +) +on &85 +) +*111 (Wire +uid 1700,0 +shape (OrthoPolyLine +uid 1701,0 +va (VaSet +vasetType 3 +) +xt "65750,22000,73000,22000" +pts [ +"65750,22000" +"73000,22000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1704,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1705,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,20600,73350,22000" +st "CS_Y_n" +blo "67750,21800" +tm "WireNameMgr" +) +) +on &86 +) +*112 (Wire +uid 1708,0 +shape (OrthoPolyLine +uid 1709,0 +va (VaSet +vasetType 3 +) +xt "65750,24000,73000,24000" +pts [ +"65750,24000" +"73000,24000" +] +) +start &63 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1713,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,22600,72450,24000" +st "CLK_Y" +blo "67750,23800" +tm "WireNameMgr" +) +) +on &87 +) +*113 (Wire +uid 1716,0 +shape (OrthoPolyLine +uid 1717,0 +va (VaSet +vasetType 3 +) +xt "65750,26000,73000,26000" +pts [ +"65750,26000" +"73000,26000" +] +) +start &62 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1721,0 +va (VaSet +font "Verdana,12,0" +) +xt "67750,24600,72250,26000" +st "SDI_Y" +blo "67750,25800" +tm "WireNameMgr" +) +) +on &88 +) +*114 (Wire +uid 1722,0 +shape (OrthoPolyLine +uid 1723,0 +va (VaSet +vasetType 3 +) +xt "99000,29000,106250,29000" +pts [ +"99000,29000" +"106250,29000" +] +) +end &69 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1729,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,27600,104700,29000" +st "CS_X_n" +blo "99000,28800" +tm "WireNameMgr" +) +) +on &83 +) +*115 (Wire +uid 1730,0 +shape (OrthoPolyLine +uid 1731,0 +va (VaSet +vasetType 3 +) +xt "99000,47000,106250,47000" +pts [ +"99000,47000" +"106250,47000" +] +) +end &78 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1736,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1737,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,45600,103500,47000" +st "SDI_Y" +blo "99000,46800" +tm "WireNameMgr" +) +) +on &88 +) +*116 (Wire +uid 1738,0 +shape (OrthoPolyLine +uid 1739,0 +va (VaSet +vasetType 3 +) +xt "99000,49000,106250,49000" +pts [ +"99000,49000" +"106250,49000" +] +) +end &76 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1744,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1745,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,47600,103700,49000" +st "CLK_Y" +blo "99000,48800" +tm "WireNameMgr" +) +) +on &87 +) +*117 (Wire +uid 1746,0 +shape (OrthoPolyLine +uid 1747,0 +va (VaSet +vasetType 3 +) +xt "99000,33000,106250,33000" +pts [ +"99000,33000" +"106250,33000" +] +) +end &68 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1752,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1753,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,31600,103800,33000" +st "CLK_X" +blo "99000,32800" +tm "WireNameMgr" +) +) +on &84 +) +*118 (Wire +uid 1754,0 +shape (OrthoPolyLine +uid 1755,0 +va (VaSet +vasetType 3 +) +xt "99000,31000,106250,31000" +pts [ +"99000,31000" +"106250,31000" +] +) +end &70 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1760,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1761,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,29600,103600,31000" +st "SDI_X" +blo "99000,30800" +tm "WireNameMgr" +) +) +on &85 +) +*119 (Wire +uid 1762,0 +shape (OrthoPolyLine +uid 1763,0 +va (VaSet +vasetType 3 +) +xt "99000,45000,106250,45000" +pts [ +"99000,45000" +"106250,45000" +] +) +end &77 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1768,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1769,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,43600,104600,45000" +st "CS_Y_n" +blo "99000,44800" +tm "WireNameMgr" +) +) +on &86 +) +*120 (Wire +uid 1772,0 +shape (OrthoPolyLine +uid 1773,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,29000,123000,29000" +pts [ +"115750,29000" +"123000,29000" +] +) +start &71 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 1776,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1777,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,27600,121950,29000" +st "IoutX" +blo "117750,28800" +tm "WireNameMgr" +) +) +on &89 +) +*121 (Wire +uid 1780,0 +shape (OrthoPolyLine +uid 1781,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "115750,45000,123000,45000" +pts [ +"115750,45000" +"123000,45000" +] +) +start &79 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 1784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1785,0 +va (VaSet +font "Verdana,12,0" +) +xt "117750,43600,121850,45000" +st "IoutY" +blo "117750,44800" +tm "WireNameMgr" +) +) +on &90 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *122 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*123 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*124 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*126 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*127 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*128 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*129 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*130 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*131 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1990,-1990,138203,74180" +cachedDiagramExtent "0,0,135850,62000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 47 +yMargin 47 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +scale 80 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2208,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*133 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*134 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*136 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*137 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*139 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*140 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*142 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*143 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*145 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*146 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*148 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*150 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*151 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*152 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7600,7000,8600" +st "Declarations" +blo "0,8400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8600,3400,9600" +st "Ports:" +blo "0,9400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,4800,10600" +st "Pre User:" +blo "0,10400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,22100,15600" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16; +constant signalBitNb: positive := 16; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15600,9000,16600" +st "Diagram Signals:" +blo "0,16400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7600,6000,8600" +st "Post User:" +blo "0,8400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7600,0,7600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 23,0 +usingSuid 1 +emptyRow *153 (LEmptyRow +) +uid 1837,0 +optionalChildren [ +*154 (RefLabelRowHdr +) +*155 (TitleRowHdr +) +*156 (FilterRowHdr +) +*157 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*158 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*159 (GroupColHdr +tm "GroupColHdrMgr" +) +*160 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*161 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*162 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*163 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*164 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*165 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 20 +suid 1,0 +) +) +uid 1790,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 10 +suid 2,0 +) +) +uid 1792,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cs" +t "std_ulogic" +o 11 +suid 3,0 +) +) +uid 1794,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrL" +t "std_ulogic" +o 23 +suid 4,0 +) +) +uid 1796,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "wrH" +t "std_ulogic" +o 22 +suid 5,0 +) +) +uid 1798,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rd" +t "std_ulogic" +o 19 +suid 6,0 +) +) +uid 1800,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outY" +t "std_ulogic" +o 17 +suid 7,0 +) +) +uid 1802,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outX" +t "std_ulogic" +o 16 +suid 8,0 +) +) +uid 1804,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 9,0 +) +) +uid 1806,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 10,0 +) +) +uid 1808,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 9 +suid 11,0 +) +) +uid 1810,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 14 +suid 12,0 +) +) +uid 1812,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 15 +suid 13,0 +) +) +uid 1814,0 +) +*179 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 21 +suid 14,0 +) +) +uid 1816,0 +) +*180 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outZ" +t "std_ulogic" +o 18 +suid 15,0 +) +) +uid 1818,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS_X_n" +t "std_ulogic" +o 3 +suid 16,0 +) +) +uid 1820,0 +) +*182 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CLK_X" +t "std_ulogic" +o 1 +suid 17,0 +) +) +uid 1822,0 +) +*183 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SDI_X" +t "std_ulogic" +o 7 +suid 18,0 +) +) +uid 1824,0 +) +*184 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS_Y_n" +t "std_ulogic" +o 4 +suid 19,0 +) +) +uid 1826,0 +) +*185 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CLK_Y" +t "std_ulogic" +o 2 +suid 20,0 +) +) +uid 1828,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SDI_Y" +t "std_ulogic" +o 8 +suid 21,0 +) +) +uid 1830,0 +) +*187 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "IoutX" +t "natural" +o 5 +suid 22,0 +) +) +uid 1832,0 +) +*188 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "IoutY" +t "natural" +o 6 +suid 23,0 +) +) +uid 1834,0 +) +] +) +pdm (PhysicalDM +uid 1850,0 +optionalChildren [ +*189 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *190 (MRCItem +litem &153 +pos 23 +dimension 20 +) +uid 1852,0 +optionalChildren [ +*191 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 1853,0 +) +*192 (MRCItem +litem &155 +pos 1 +dimension 23 +uid 1854,0 +) +*193 (MRCItem +litem &156 +pos 2 +hidden 1 +dimension 20 +uid 1855,0 +) +*194 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 1791,0 +) +*195 (MRCItem +litem &167 +pos 1 +dimension 20 +uid 1793,0 +) +*196 (MRCItem +litem &168 +pos 2 +dimension 20 +uid 1795,0 +) +*197 (MRCItem +litem &169 +pos 3 +dimension 20 +uid 1797,0 +) +*198 (MRCItem +litem &170 +pos 4 +dimension 20 +uid 1799,0 +) +*199 (MRCItem +litem &171 +pos 5 +dimension 20 +uid 1801,0 +) +*200 (MRCItem +litem &172 +pos 6 +dimension 20 +uid 1803,0 +) +*201 (MRCItem +litem &173 +pos 7 +dimension 20 +uid 1805,0 +) +*202 (MRCItem +litem &174 +pos 8 +dimension 20 +uid 1807,0 +) +*203 (MRCItem +litem &175 +pos 9 +dimension 20 +uid 1809,0 +) +*204 (MRCItem +litem &176 +pos 10 +dimension 20 +uid 1811,0 +) +*205 (MRCItem +litem &177 +pos 11 +dimension 20 +uid 1813,0 +) +*206 (MRCItem +litem &178 +pos 12 +dimension 20 +uid 1815,0 +) +*207 (MRCItem +litem &179 +pos 13 +dimension 20 +uid 1817,0 +) +*208 (MRCItem +litem &180 +pos 14 +dimension 20 +uid 1819,0 +) +*209 (MRCItem +litem &181 +pos 15 +dimension 20 +uid 1821,0 +) +*210 (MRCItem +litem &182 +pos 16 +dimension 20 +uid 1823,0 +) +*211 (MRCItem +litem &183 +pos 17 +dimension 20 +uid 1825,0 +) +*212 (MRCItem +litem &184 +pos 18 +dimension 20 +uid 1827,0 +) +*213 (MRCItem +litem &185 +pos 19 +dimension 20 +uid 1829,0 +) +*214 (MRCItem +litem &186 +pos 20 +dimension 20 +uid 1831,0 +) +*215 (MRCItem +litem &187 +pos 21 +dimension 20 +uid 1833,0 +) +*216 (MRCItem +litem &188 +pos 22 +dimension 20 +uid 1835,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1856,0 +optionalChildren [ +*217 (MRCItem +litem &157 +pos 0 +dimension 20 +uid 1857,0 +) +*218 (MRCItem +litem &159 +pos 1 +dimension 50 +uid 1858,0 +) +*219 (MRCItem +litem &160 +pos 2 +dimension 100 +uid 1859,0 +) +*220 (MRCItem +litem &161 +pos 3 +dimension 50 +uid 1860,0 +) +*221 (MRCItem +litem &162 +pos 4 +dimension 100 +uid 1861,0 +) +*222 (MRCItem +litem &163 +pos 5 +dimension 100 +uid 1862,0 +) +*223 (MRCItem +litem &164 +pos 6 +dimension 50 +uid 1863,0 +) +*224 (MRCItem +litem &165 +pos 7 +dimension 80 +uid 1864,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1851,0 +vaOverrides [ +] +) +] +) +uid 1836,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *225 (LEmptyRow +) +uid 1866,0 +optionalChildren [ +*226 (RefLabelRowHdr +) +*227 (TitleRowHdr +) +*228 (FilterRowHdr +) +*229 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*230 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*231 (GroupColHdr +tm "GroupColHdrMgr" +) +*232 (NameColHdr +tm "GenericNameColHdrMgr" +) +*233 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*234 (InitColHdr +tm "GenericValueColHdrMgr" +) +*235 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*236 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1878,0 +optionalChildren [ +*237 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *238 (MRCItem +litem &225 +pos 0 +dimension 20 +) +uid 1880,0 +optionalChildren [ +*239 (MRCItem +litem &226 +pos 0 +dimension 20 +uid 1881,0 +) +*240 (MRCItem +litem &227 +pos 1 +dimension 23 +uid 1882,0 +) +*241 (MRCItem +litem &228 +pos 2 +hidden 1 +dimension 20 +uid 1883,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1884,0 +optionalChildren [ +*242 (MRCItem +litem &229 +pos 0 +dimension 20 +uid 1885,0 +) +*243 (MRCItem +litem &231 +pos 1 +dimension 50 +uid 1886,0 +) +*244 (MRCItem +litem &232 +pos 2 +dimension 100 +uid 1887,0 +) +*245 (MRCItem +litem &233 +pos 3 +dimension 100 +uid 1888,0 +) +*246 (MRCItem +litem &234 +pos 4 +dimension 50 +uid 1889,0 +) +*247 (MRCItem +litem &235 +pos 5 +dimension 50 +uid 1890,0 +) +*248 (MRCItem +litem &236 +pos 6 +dimension 80 +uid 1891,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1879,0 +vaOverrides [ +] +) +] +) +uid 1865,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb new file mode 100644 index 0000000..4157d7a --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb @@ -0,0 +1,1242 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@periph@blanking_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamerPeriphBlanking_tb" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:30:06" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@periph@blanking_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamerPeriphBlanking_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:30:06" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,31600,15700" +st "SystemOnChip_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,34600,16600" +st "beamerPeriphBlanking_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1800,44500,2700" +st "User:" +blo "42000,2500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,44000,2700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tester/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tester/interface new file mode 100644 index 0000000..49eb239 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@periph@blanking_tester/interface @@ -0,0 +1,1408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester" +) +(vvPair +variable "date" +value "04/24/08" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1635" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "13:33:53" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +m 1 +o 11 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,22200" +st "addr : (addressBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,73500,6000" +st "addr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +) +*3 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "40400,7000,41600,9700" +st "clock" +ju 2 +blo "41400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61500,6800" +st "clock : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +n "cs" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "36400,7000,37600,8200" +st "cs" +ju 2 +blo "37400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61500,7600" +st "cs : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +m 1 +o 10 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,21500" +st "dataIn : (dataBitNb-1:0)" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,76500,8400" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*6 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,22300" +st "dataOut : (dataBitNb-1:0)" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,76000,2800" +st "dataOut : IN std_logic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +n "outX" +t "std_ulogic" +o 8 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "78400,7000,79600,9300" +st "outX" +ju 2 +blo "79400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61500,3600" +st "outX : IN std_ulogic ; +" +) +) +*8 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +n "outY" +t "std_ulogic" +o 7 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "76400,7000,77600,9300" +st "outY" +ju 2 +blo "77400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "outY : IN std_ulogic ; +" +) +) +*9 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +n "outZ" +t "std_ulogic" +o 15 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "74400,7000,75600,9300" +st "outZ" +ju 2 +blo "75400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61500,5200" +st "outZ : IN std_ulogic ; +" +) +) +*10 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "rd" +t "std_ulogic" +m 1 +o 6 +r 9 +d 0 +s 0 +sf 1 +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,8000" +st "rd" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,61500,9200" +st "rd : OUT std_ulogic ; +" +) +) +*11 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 10 +d 0 +s 0 +sf 1 +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "42400,7000,43600,9600" +st "reset" +ju 2 +blo "43400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,61500,10000" +st "reset : OUT std_ulogic ; +" +) +) +*12 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +n "selSinCos" +t "std_ulogic" +m 1 +o 14 +r 11 +d 0 +s 0 +sf 1 +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "70400,7000,71600,12100" +st "selSinCos" +ju 2 +blo "71400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,61500,10800" +st "selSinCos : OUT std_ulogic ; +" +) +) +*13 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +n "wrH" +t "std_ulogic" +m 1 +o 5 +r 12 +d 0 +s 0 +sf 1 +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "32400,7000,33600,8900" +st "wrH" +ju 2 +blo "33400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,61500,11600" +st "wrH : OUT std_ulogic ; +" +) +) +*14 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +n "wrL" +t "std_ulogic" +m 1 +o 4 +r 13 +d 0 +s 0 +sf 1 +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "34400,7000,35600,8700" +st "wrL" +ju 2 +blo "35400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,60500,12400" +st "wrL : OUT std_ulogic +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,87000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,8800,49850,10000" +st "Curves_test" +blo "42650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,10000,59350,11200" +st "beamerPeriphBlanking_tester" +blo "42650,11000" +) +) +gi *15 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6000,40000,9200" +st "Generic Declarations + +dataBitNb positive 16 +addressBitNb positive 24 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*16 (Grouping +uid 16,0 +optionalChildren [ +*17 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*22 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*23 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*24 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*25 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*26 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52100,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *27 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*29 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "beamerPeriphBlanking_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *30 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *31 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,12400,44000,13400" +st "User:" +blo "42000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13400,44000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 115,0 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/struct.bd b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/struct.bd new file mode 100644 index 0000000..f22f293 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/struct.bd @@ -0,0 +1,4189 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "SystemOnChip_test" +duName "beamerSoc_tester" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 1616,0 +) +(Instance +name "I_filt" +duLibraryName "WaveformGenerator" +duName "lowpass" +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +mwi 0 +uid 2852,0 +) +(Instance +name "I_DUT" +duLibraryName "SystemOnChip" +duName "beamerSoc" +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +mwi 0 +uid 3413,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerSoc_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerSoc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:06:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerSoc_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerSoc_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:06:16" +) +(vvPair +variable "unit" +value "beamerSoc_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,73500,72200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,69500,89200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,71500,72200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,71500,68200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,70200,103300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "93200,69500,93200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "73350,69400,83650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,72500,68200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,73500,68200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,72500,72200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 1616,0 +shape (Rectangle +uid 1617,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,58000,101000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1618,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1619,0 +va (VaSet +) +xt "18600,66200,30200,67400" +st "SystemOnChip_test" +blo "18600,67200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1620,0 +va (VaSet +) +xt "18600,67400,29200,68600" +st "beamerSoc_tester" +blo "18600,68400" +tm "BlkNameMgr" +) +*15 (Text +uid 1621,0 +va (VaSet +) +xt "18600,68600,23400,69800" +st "I_tester" +blo "18600,69600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1622,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1623,0 +text (MLText +uid 1624,0 +va (VaSet +) +xt "19000,70000,45200,73600" +st "ioNb = ioNb ( positive ) +signalBitNb = signalBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*16 (Net +uid 2464,0 +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 18,0 +) +declText (MLText +uid 2465,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31400,15500,32400" +st "SIGNAL reset : std_ulogic +" +) +) +*17 (Net +uid 2472,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 19,0 +) +declText (MLText +uid 2473,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21200,15500,22200" +st "SIGNAL clock : std_ulogic +" +) +) +*18 (Net +uid 2480,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 20,0 +) +declText (MLText +uid 2481,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19200,15800,20200" +st "SIGNAL RxD : std_ulogic +" +) +) +*19 (Net +uid 2488,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 21,0 +) +declText (MLText +uid 2489,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20200,15700,21200" +st "SIGNAL TxD : std_ulogic +" +) +) +*20 (Net +uid 2496,0 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 22,0 +) +declText (MLText +uid 2497,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,32400,16100,33400" +st "SIGNAL selSinCos : std_ulogic +" +) +) +*21 (Net +uid 2504,0 +decl (Decl +n "outY" +t "std_ulogic" +o 11 +suid 23,0 +) +declText (MLText +uid 2505,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30400,15600,31400" +st "SIGNAL outY : std_ulogic +" +) +) +*22 (Net +uid 2512,0 +decl (Decl +n "outX" +t "std_ulogic" +o 10 +suid 24,0 +) +declText (MLText +uid 2513,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29400,15600,30400" +st "SIGNAL outX : std_ulogic +" +) +) +*23 (HdlText +uid 2542,0 +optionalChildren [ +*24 (EmbeddedText +uid 2548,0 +commentText (CommentText +uid 2549,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2550,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "69000,31000,85000,41000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2551,0 +va (VaSet +) +xt "69200,31200,84200,40800" +st " +tristate: process(ioEn, ioOut) +begin + for index in io'range loop + if ioEn(index) = '1' then + io(index) <= ioOut(index); + else + io(index) <= 'Z'; + end if; + end loop; +end process tristate; + +ioIn <= std_ulogic_vector(io); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 2543,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "69000,30000,85000,42000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2544,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 2545,0 +va (VaSet +font "Verdana,8,1" +) +xt "68850,42000,71150,43000" +st "eb1" +blo "68850,42800" +tm "HdlTextNameMgr" +) +*26 (Text +uid 2546,0 +va (VaSet +font "Verdana,8,1" +) +xt "68850,43000,70050,44000" +st "1" +blo "68850,43800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 2547,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "69250,40250,70750,41750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*27 (Net +uid 2584,0 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +declText (MLText +uid 2585,0 +va (VaSet +) +xt "2000,22200,32900,23400" +st "SIGNAL io : std_logic_vector(ioNb-1 DOWNTO 0) +" +) +) +*28 (Net +uid 2586,0 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +declText (MLText +uid 2587,0 +va (VaSet +) +xt "2000,24600,34000,25800" +st "SIGNAL ioIn : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*29 (Net +uid 2588,0 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +declText (MLText +uid 2589,0 +va (VaSet +) +xt "2000,25800,34500,27000" +st "SIGNAL ioOut : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*30 (Net +uid 2590,0 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 5 +suid 29,0 +) +declText (MLText +uid 2591,0 +va (VaSet +) +xt "2000,23400,34200,24600" +st "SIGNAL ioEn : std_ulogic_vector(ioNb-1 DOWNTO 0) +" +) +) +*31 (HdlText +uid 2843,0 +optionalChildren [ +*32 (EmbeddedText +uid 2848,0 +commentText (CommentText +uid 2849,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2850,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "45000,13000,61000,15000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2851,0 +va (VaSet +) +xt "45200,13200,60700,14400" +st " +lowpassInY <= (others => outY); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 2844,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "45000,12000,61000,16000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2845,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 2846,0 +va (VaSet +) +xt "45400,16000,48000,17200" +st "eb3" +blo "45400,17000" +tm "HdlTextNameMgr" +) +*34 (Text +uid 2847,0 +va (VaSet +) +xt "45400,17000,46800,18200" +st "3" +blo "45400,18000" +tm "HdlTextNumberMgr" +) +] +) +) +*35 (SaComponent +uid 2852,0 +optionalChildren [ +*36 (CptPort +uid 2861,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2862,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,17625,69000,18375" +) +tg (CPTG +uid 2863,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2864,0 +va (VaSet +) +xt "70000,17400,73400,18600" +st "clock" +blo "70000,18400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*37 (CptPort +uid 2865,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2866,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "85000,13625,85750,14375" +) +tg (CPTG +uid 2867,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2868,0 +va (VaSet +) +xt "76700,13400,84000,14600" +st "lowpassOut" +ju 2 +blo "84000,14400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*38 (CptPort +uid 2869,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2870,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,19625,69000,20375" +) +tg (CPTG +uid 2871,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2872,0 +va (VaSet +) +xt "70000,19400,73300,20600" +st "reset" +blo "70000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*39 (CptPort +uid 2873,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2874,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68250,13625,69000,14375" +) +tg (CPTG +uid 2875,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2876,0 +va (VaSet +) +xt "70000,13400,75800,14600" +st "lowpassIn" +blo "70000,14400" +) +) +thePort (LogicalPort +decl (Decl +n "lowpassIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 2853,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "69000,10000,85000,22000" +) +oxt "32000,10000,48000,22000" +ttg (MlTextGroup +uid 2854,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 2855,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,21800,81100,23000" +st "WaveformGenerator" +blo "69600,22800" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 2856,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,23000,74200,24200" +st "lowpass" +blo "69600,24000" +tm "CptNameMgr" +) +*42 (Text +uid 2857,0 +va (VaSet +font "Verdana,9,1" +) +xt "69600,24200,72900,25400" +st "I_filt" +blo "69600,25200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2858,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2859,0 +text (MLText +uid 2860,0 +va (VaSet +font "Verdana,8,0" +) +xt "69000,25600,89800,27600" +st "signalBitNb = signalBitNb ( positive ) +shiftBitNb = lowpassShiftBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "signalBitNb" +) +(GiElement +name "shiftBitNb" +type "positive" +value "lowpassShiftBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*43 (Net +uid 2901,0 +decl (Decl +n "lowpassInY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 30,0 +) +declText (MLText +uid 2902,0 +va (VaSet +) +xt "2000,27000,35000,28200" +st "SIGNAL lowpassInY : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*44 (Net +uid 2903,0 +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 31,0 +) +declText (MLText +uid 2904,0 +va (VaSet +) +xt "2000,28200,35500,29400" +st "SIGNAL lowpassOutY : unsigned(signalBitNb-1 DOWNTO 0) +" +) +) +*45 (SaComponent +uid 3413,0 +optionalChildren [ +*46 (CptPort +uid 3369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,45625,37000,46375" +) +tg (CPTG +uid 3371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3372,0 +va (VaSet +) +xt "38000,45400,41400,46600" +st "clock" +blo "38000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*47 (CptPort +uid 3373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3374,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,41625,53750,42375" +) +tg (CPTG +uid 3375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3376,0 +va (VaSet +) +xt "49001,41400,52001,42600" +st "outX" +ju 2 +blo "52001,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outX" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*48 (CptPort +uid 3377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,43625,53750,44375" +) +tg (CPTG +uid 3379,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3380,0 +va (VaSet +) +xt "49001,43400,52001,44600" +st "outY" +ju 2 +blo "52001,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "outY" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*49 (CptPort +uid 3381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3382,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,45625,53750,46375" +) +tg (CPTG +uid 3383,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3384,0 +va (VaSet +) +xt "46201,45400,52001,46600" +st "selSinCos" +ju 2 +blo "52001,46400" +) +) +thePort (LogicalPort +decl (Decl +n "selSinCos" +t "std_ulogic" +o 5 +suid 13,0 +) +) +) +*50 (CptPort +uid 3385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,47625,37000,48375" +) +tg (CPTG +uid 3387,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3388,0 +va (VaSet +) +xt "38000,47400,41300,48600" +st "reset" +blo "38000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 2017,0 +) +) +) +*51 (CptPort +uid 3389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3390,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,33625,37000,34375" +) +tg (CPTG +uid 3391,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3392,0 +va (VaSet +) +xt "38000,33400,40800,34600" +st "TxD" +blo "38000,34400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 2018,0 +) +) +) +*52 (CptPort +uid 3393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3394,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,35625,37000,36375" +) +tg (CPTG +uid 3395,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3396,0 +va (VaSet +) +xt "38000,35400,40800,36600" +st "RxD" +blo "38000,36400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 2 +suid 2019,0 +) +) +) +*53 (CptPort +uid 3397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3398,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,33625,53750,34375" +) +tg (CPTG +uid 3399,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3400,0 +va (VaSet +) +xt "49100,33400,52000,34600" +st "ioEn" +ju 2 +blo "52000,34400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 8 +suid 2020,0 +) +) +) +*54 (CptPort +uid 3401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,35625,53750,36375" +) +tg (CPTG +uid 3403,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3404,0 +va (VaSet +) +xt "48500,35400,52000,36600" +st "ioOut" +ju 2 +blo "52000,36400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 9 +suid 2021,0 +) +) +) +*55 (CptPort +uid 3405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3406,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,37625,53750,38375" +) +tg (CPTG +uid 3407,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3408,0 +va (VaSet +) +xt "49300,37400,52000,38600" +st "ioIn" +ju 2 +blo "52000,38400" +) +) +thePort (LogicalPort +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 10 +suid 2022,0 +) +) +) +*56 (CptPort +uid 3409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3410,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,29250,45375,30000" +) +tg (CPTG +uid 3411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3412,0 +va (VaSet +) +xt "43000,31000,47600,32200" +st "testOut" +ju 2 +blo "47600,32000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_ulogic_vector" +b "(1 TO testOutBitNb)" +o 11 +suid 2024,0 +) +) +) +] +shape (Rectangle +uid 3414,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,30000,53000,50000" +) +oxt "36000,10000,52000,30000" +ttg (MlTextGroup +uid 3415,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 3416,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,49800,46000,51000" +st "SystemOnChip" +blo "37600,50800" +tm "BdLibraryNameMgr" +) +*58 (Text +uid 3417,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,51000,43600,52200" +st "beamerSoc" +blo "37600,52000" +tm "CptNameMgr" +) +*59 (Text +uid 3418,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,52200,41300,53400" +st "I_DUT" +blo "37600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3419,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3420,0 +text (MLText +uid 3421,0 +va (VaSet +font "Verdana,8,0" +) +xt "37000,53600,62800,56600" +st "ioNb = ioNb ( positive ) +testOutBitNb = testOutBitNb ( positive ) +patternAddressBitNb = patternAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "ioNb" +) +(GiElement +name "testOutBitNb" +type "positive" +value "testOutBitNb" +) +(GiElement +name "patternAddressBitNb" +type "positive" +value "patternAddressBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*60 (Wire +uid 2466,0 +shape (OrthoPolyLine +uid 2467,0 +va (VaSet +vasetType 3 +) +xt "35000,48000,36250,58000" +pts [ +"36250,48000" +"35000,48000" +"35000,58000" +] +) +start &50 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2471,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,46600,35350,48000" +st "reset" +blo "31250,47800" +tm "WireNameMgr" +) +) +on &16 +) +*61 (Wire +uid 2474,0 +shape (OrthoPolyLine +uid 2475,0 +va (VaSet +vasetType 3 +) +xt "33000,46000,36250,58000" +pts [ +"36250,46000" +"33000,46000" +"33000,58000" +] +) +start &46 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2478,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2479,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,44600,35050,46000" +st "clock" +blo "31250,45800" +tm "WireNameMgr" +) +) +on &17 +) +*62 (Wire +uid 2482,0 +shape (OrthoPolyLine +uid 2483,0 +va (VaSet +vasetType 3 +) +xt "29000,36000,36250,58000" +pts [ +"36250,36000" +"29000,36000" +"29000,58000" +] +) +start &52 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2486,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2487,0 +va (VaSet +font "Verdana,12,0" +) +xt "32250,34600,35450,36000" +st "RxD" +blo "32250,35800" +tm "WireNameMgr" +) +) +on &18 +) +*63 (Wire +uid 2490,0 +shape (OrthoPolyLine +uid 2491,0 +va (VaSet +vasetType 3 +) +xt "27000,34000,36250,58000" +pts [ +"36250,34000" +"27000,34000" +"27000,58000" +] +) +start &51 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2494,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2495,0 +va (VaSet +font "Verdana,12,0" +) +xt "32250,32600,35350,34000" +st "TxD" +blo "32250,33800" +tm "WireNameMgr" +) +) +on &19 +) +*64 (Wire +uid 2498,0 +shape (OrthoPolyLine +uid 2499,0 +va (VaSet +vasetType 3 +) +xt "53750,46000,57000,58000" +pts [ +"53750,46000" +"57000,46000" +"57000,58000" +] +) +start &49 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2502,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2503,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,44600,62650,46000" +st "selSinCos" +blo "55750,45800" +tm "WireNameMgr" +) +) +on &20 +) +*65 (Wire +uid 2506,0 +optionalChildren [ +*66 (BdJunction +uid 2911,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2912,0 +va (VaSet +vasetType 1 +) +xt "58600,43600,59400,44400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2507,0 +va (VaSet +vasetType 3 +) +xt "53750,44000,59000,58000" +pts [ +"53750,44000" +"59000,44000" +"59000,58000" +] +) +start &48 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2510,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2511,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,42600,59350,44000" +st "outY" +blo "55750,43800" +tm "WireNameMgr" +) +) +on &21 +) +*67 (Wire +uid 2514,0 +shape (OrthoPolyLine +uid 2515,0 +va (VaSet +vasetType 3 +) +xt "53750,42000,61000,58000" +pts [ +"53750,42000" +"61000,42000" +"61000,58000" +] +) +start &47 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2518,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2519,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,40600,59450,42000" +st "outX" +blo "55750,41800" +tm "WireNameMgr" +) +) +on &22 +) +*68 (Wire +uid 2552,0 +shape (OrthoPolyLine +uid 2553,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "53750,36000,69000,36000" +pts [ +"53750,36000" +"69000,36000" +] +) +start &54 +end &23 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2558,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2559,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,34600,59950,36000" +st "ioOut" +blo "55750,35800" +tm "WireNameMgr" +) +) +on &29 +) +*69 (Wire +uid 2560,0 +shape (OrthoPolyLine +uid 2561,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "53750,34000,69000,34000" +pts [ +"53750,34000" +"69000,34000" +] +) +start &53 +end &23 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2567,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,32600,59250,34000" +st "ioEn" +blo "55750,33800" +tm "WireNameMgr" +) +) +on &30 +) +*70 (Wire +uid 2568,0 +shape (OrthoPolyLine +uid 2569,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "85000,34000,89000,58000" +pts [ +"85000,34000" +"89000,34000" +"89000,58000" +] +) +start &23 +end &12 +sat 4 +eat 4 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2574,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2575,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,32600,88900,34000" +st "io" +blo "87000,33800" +tm "WireNameMgr" +) +) +on &27 +) +*71 (Wire +uid 2576,0 +shape (OrthoPolyLine +uid 2577,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "53750,38000,69000,38000" +pts [ +"53750,38000" +"69000,38000" +] +) +start &55 +end &23 +sat 32 +eat 2 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2582,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2583,0 +va (VaSet +font "Verdana,12,0" +) +xt "55750,36600,58950,38000" +st "ioIn" +blo "55750,37800" +tm "WireNameMgr" +) +) +on &28 +) +*72 (Wire +uid 2877,0 +shape (OrthoPolyLine +uid 2878,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "61000,14000,68250,14000" +pts [ +"68250,14000" +"61000,14000" +] +) +start &39 +end &31 +ss 0 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2882,0 +va (VaSet +font "Verdana,12,0" +) +xt "63000,12600,71800,14000" +st "lowpassInY" +blo "63000,13800" +tm "WireNameMgr" +) +) +on &43 +) +*73 (Wire +uid 2883,0 +shape (OrthoPolyLine +uid 2884,0 +va (VaSet +vasetType 3 +) +xt "65000,18000,68250,18000" +pts [ +"65000,18000" +"68250,18000" +] +) +end &36 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2887,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2888,0 +va (VaSet +font "Verdana,12,0" +) +xt "65000,16600,68800,18000" +st "clock" +blo "65000,17800" +tm "WireNameMgr" +) +) +on &17 +) +*74 (Wire +uid 2889,0 +shape (OrthoPolyLine +uid 2890,0 +va (VaSet +vasetType 3 +) +xt "65000,20000,68250,20000" +pts [ +"65000,20000" +"68250,20000" +] +) +end &38 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2894,0 +va (VaSet +font "Verdana,12,0" +) +xt "65000,18600,69100,20000" +st "reset" +blo "65000,19800" +tm "WireNameMgr" +) +) +on &16 +) +*75 (Wire +uid 2895,0 +shape (OrthoPolyLine +uid 2896,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "85750,14000,93000,58000" +pts [ +"85750,14000" +"93000,14000" +"93000,58000" +] +) +start &37 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2899,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2900,0 +va (VaSet +font "Verdana,12,0" +) +xt "87750,12600,97550,14000" +st "lowpassOutY" +blo "87750,13800" +tm "WireNameMgr" +) +) +on &44 +) +*76 (Wire +uid 2905,0 +shape (OrthoPolyLine +uid 2906,0 +va (VaSet +vasetType 3 +) +xt "53000,16000,59000,44000" +pts [ +"59000,44000" +"59000,20000" +"53000,20000" +"53000,16000" +] +) +start &66 +end &31 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2909,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2910,0 +va (VaSet +font "Verdana,12,0" +) +xt "54000,18600,57600,20000" +st "outY" +blo "54000,19800" +tm "WireNameMgr" +) +) +on &21 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *77 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*81 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*82 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*83 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*84 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*85 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*86 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1604,-1604,141072,75916" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3478,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*88 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*89 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*91 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*92 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*94 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*95 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*97 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*98 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*100 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*101 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*103 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*105 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*107 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,7000,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,3400,9200" +st "Ports:" +blo "0,9000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9200,4800,10200" +st "Pre User:" +blo "0,10000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10200,22200,18200" +st "constant ioNb: positive := 8; +constant testOutBitNb: positive := 16; +constant patternAddressBitNb: positive := 9; + +constant signalBitNb: positive := 16; +constant lowpassShiftBitNb: positive := 8; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,18200,9000,19200" +st "Diagram Signals:" +blo "0,19000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,6000,8200" +st "Post User:" +blo "0,8000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7200,0,7200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 31,0 +usingSuid 1 +emptyRow *108 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*109 (RefLabelRowHdr +) +*110 (TitleRowHdr +) +*111 (FilterRowHdr +) +*112 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*113 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*114 (GroupColHdr +tm "GroupColHdrMgr" +) +*115 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*116 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*117 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*118 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*119 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*120 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 18,0 +) +) +uid 2528,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 19,0 +) +) +uid 2530,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 2532,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 21,0 +) +) +uid 2534,0 +) +*125 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 22,0 +) +) +uid 2536,0 +) +*126 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outY" +t "std_ulogic" +o 11 +suid 23,0 +) +) +uid 2538,0 +) +*127 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "outX" +t "std_ulogic" +o 10 +suid 24,0 +) +) +uid 2540,0 +) +*128 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 2592,0 +) +*129 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioIn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +uid 2594,0 +) +*130 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioOut" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 7 +suid 28,0 +) +) +uid 2596,0 +) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ioEn" +t "std_ulogic_vector" +b "(ioNb-1 DOWNTO 0)" +o 5 +suid 29,0 +) +) +uid 2598,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassInY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 8 +suid 30,0 +) +) +uid 2913,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 31,0 +) +) +uid 2915,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*134 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *135 (MRCItem +litem &108 +pos 13 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*136 (MRCItem +litem &109 +pos 0 +dimension 20 +uid 1337,0 +) +*137 (MRCItem +litem &110 +pos 1 +dimension 23 +uid 1338,0 +) +*138 (MRCItem +litem &111 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*139 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 2529,0 +) +*140 (MRCItem +litem &122 +pos 1 +dimension 20 +uid 2531,0 +) +*141 (MRCItem +litem &123 +pos 2 +dimension 20 +uid 2533,0 +) +*142 (MRCItem +litem &124 +pos 3 +dimension 20 +uid 2535,0 +) +*143 (MRCItem +litem &125 +pos 4 +dimension 20 +uid 2537,0 +) +*144 (MRCItem +litem &126 +pos 5 +dimension 20 +uid 2539,0 +) +*145 (MRCItem +litem &127 +pos 6 +dimension 20 +uid 2541,0 +) +*146 (MRCItem +litem &128 +pos 7 +dimension 20 +uid 2593,0 +) +*147 (MRCItem +litem &129 +pos 8 +dimension 20 +uid 2595,0 +) +*148 (MRCItem +litem &130 +pos 9 +dimension 20 +uid 2597,0 +) +*149 (MRCItem +litem &131 +pos 10 +dimension 20 +uid 2599,0 +) +*150 (MRCItem +litem &132 +pos 11 +dimension 20 +uid 2914,0 +) +*151 (MRCItem +litem &133 +pos 12 +dimension 20 +uid 2916,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*152 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 1341,0 +) +*153 (MRCItem +litem &114 +pos 1 +dimension 50 +uid 1342,0 +) +*154 (MRCItem +litem &115 +pos 2 +dimension 100 +uid 1343,0 +) +*155 (MRCItem +litem &116 +pos 3 +dimension 50 +uid 1344,0 +) +*156 (MRCItem +litem &117 +pos 4 +dimension 100 +uid 1345,0 +) +*157 (MRCItem +litem &118 +pos 5 +dimension 100 +uid 1346,0 +) +*158 (MRCItem +litem &119 +pos 6 +dimension 50 +uid 1347,0 +) +*159 (MRCItem +litem &120 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *160 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*161 (RefLabelRowHdr +) +*162 (TitleRowHdr +) +*163 (FilterRowHdr +) +*164 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*165 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*166 (GroupColHdr +tm "GroupColHdrMgr" +) +*167 (NameColHdr +tm "GenericNameColHdrMgr" +) +*168 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*169 (InitColHdr +tm "GenericValueColHdrMgr" +) +*170 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*171 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*172 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *173 (MRCItem +litem &160 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*174 (MRCItem +litem &161 +pos 0 +dimension 20 +uid 1365,0 +) +*175 (MRCItem +litem &162 +pos 1 +dimension 23 +uid 1366,0 +) +*176 (MRCItem +litem &163 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*177 (MRCItem +litem &164 +pos 0 +dimension 20 +uid 1369,0 +) +*178 (MRCItem +litem &166 +pos 1 +dimension 50 +uid 1370,0 +) +*179 (MRCItem +litem &167 +pos 2 +dimension 100 +uid 1371,0 +) +*180 (MRCItem +litem &168 +pos 3 +dimension 100 +uid 1372,0 +) +*181 (MRCItem +litem &169 +pos 4 +dimension 50 +uid 1373,0 +) +*182 (MRCItem +litem &170 +pos 5 +dimension 50 +uid 1374,0 +) +*183 (MRCItem +litem &171 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/symbol.sb b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/symbol.sb new file mode 100644 index 0000000..6c292c7 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tb/symbol.sb @@ -0,0 +1,1244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@soc_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@soc_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@soc_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamerSoc_tb" +) +(vvPair +variable "date" +value "03/13/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerSoc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/13/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:30:06" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "beamerSoc_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamer@soc_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/SystemOnChip/Prefs/../SystemOnChip_test/hds/beamerSoc_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "systemOnChip" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:30:06" +) +(vvPair +variable "unit" +value "beamerSoc_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "22600,14800,31600,15700" +st "SystemOnChip_test" +blo "22600,15500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "22600,15700,29100,16600" +st "beamerSoc_tb" +blo "22600,16400" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1800,44500,2700" +st "User:" +blo "42000,2500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,44000,2700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tester/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tester/interface new file mode 100644 index 0000000..b5137ff --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/beamer@soc_tester/interface @@ -0,0 +1,1940 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2192,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 296,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2184,0 +) +) +uid 2232,0 +) +*15 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 4 +suid 2185,0 +) +) +uid 2234,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2186,0 +) +) +uid 2236,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 10 +suid 2187,0 +) +) +uid 2238,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 11 +suid 2188,0 +) +) +uid 2240,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 2189,0 +) +) +uid 2242,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2190,0 +) +) +uid 2244,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 2191,0 +) +) +uid 2246,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 2192,0 +) +) +uid 2248,0 +) +] +) +pdm (PhysicalDM +uid 309,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 228,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 231,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 233,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 235,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2233,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2235,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2237,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2239,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2241,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2243,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2245,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2247,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2249,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 237,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 241,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 243,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 245,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 247,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 249,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 251,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 253,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 227,0 +vaOverrides [ +] +) +] +) +uid 295,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 311,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "ioNb" +type "positive" +value "" +) +uid 680,0 +) +*58 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "" +) +uid 1770,0 +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 2162,0 +) +] +) +pdm (PhysicalDM +uid 312,0 +optionalChildren [ +*60 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *61 (MRCItem +litem &45 +pos 3 +dimension 20 +) +uid 267,0 +optionalChildren [ +*62 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 270,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 272,0 +) +*64 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 274,0 +) +*65 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 681,0 +) +*66 (MRCItem +litem &58 +pos 1 +dimension 20 +uid 1771,0 +) +*67 (MRCItem +litem &59 +pos 2 +dimension 20 +uid 2163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 268,0 +optionalChildren [ +*68 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 276,0 +) +*69 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 280,0 +) +*70 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 282,0 +) +*71 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 284,0 +) +*72 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 286,0 +) +*73 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 288,0 +) +*74 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 290,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 266,0 +vaOverrides [ +] +) +] +) +uid 310,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerSoc_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "beamerSoc_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:06:16" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "SystemOnChip_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SystemOnChip_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "beamerSoc_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamer@soc_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\SystemOnChip_test\\hds\\beamerSoc_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:06:16" +) +(vvPair +variable "unit" +value "beamerSoc_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 106,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 2187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 2189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2190,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62500,6800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2184,0 +) +) +) +*77 (CptPort +uid 2192,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 2193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,5250,85375,6000" +) +tg (CPTG +uid 2194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2195,0 +va (VaSet +font "Verdana,12,0" +) +xt "86000,6000,87900,7400" +st "io" +blo "86000,7200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,73500,9200" +st "io : INOUT std_logic_vector (ioNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "io" +t "std_logic_vector" +b "(ioNb-1 DOWNTO 0)" +o 4 +suid 2185,0 +) +) +) +*78 (CptPort +uid 2197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,5250,89375,6000" +) +tg (CPTG +uid 2199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2200,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,7000,89700,16800" +st "lowpassOutY" +ju 2 +blo "89500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,74000,3600" +st "lowpassOutY : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "lowpassOutY" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 9 +suid 2186,0 +) +) +) +*79 (CptPort +uid 2202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2203,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 2204,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2205,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,10700" +st "outX" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2206,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62500,4400" +st "outX : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outX" +t "std_ulogic" +o 10 +suid 2187,0 +) +) +) +*80 (CptPort +uid 2207,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2208,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 2209,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2210,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,10600" +st "outY" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2211,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62500,5200" +st "outY : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "outY" +t "std_ulogic" +o 11 +suid 2188,0 +) +) +) +*81 (CptPort +uid 2212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2213,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 2214,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2215,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2216,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,62500,7600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 2189,0 +) +) +) +*82 (CptPort +uid 2217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2218,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 2219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2220,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10200" +st "RxD" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2221,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62500,6000" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 2190,0 +) +) +) +*83 (CptPort +uid 2222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2223,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,5250,53375,6000" +) +tg (CPTG +uid 2224,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2225,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "52300,7000,53700,13900" +st "selSinCos" +ju 2 +blo "53500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2226,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,62500,8400" +st "selSinCos : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "selSinCos" +t "std_ulogic" +o 13 +suid 2191,0 +) +) +) +*84 (CptPort +uid 2227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2228,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 2229,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2230,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10100" +st "TxD" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2231,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62500,2800" +st "TxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 2192,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,97000,14000" +) +oxt "15000,6000,85000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "50450,8800,61550,10000" +st "SystemOnChip_test" +blo "50450,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "50450,10000,60750,11200" +st "beamerSoc_tester" +blo "50450,11000" +) +) +gi *85 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,6000,45400,11000" +st "Generic Declarations + +ioNb positive +signalBitNb positive +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "ioNb" +type "positive" +value "" +) +(GiElement +name "signalBitNb" +type "positive" +value "" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*86 (Grouping +uid 16,0 +optionalChildren [ +*87 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*92 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *97 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*99 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "72,45,971,795" +viewArea "-500,-500,60561,53554" +cachedDiagramExtent "0,0,97000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SystemOnChip_test" +entityName "beamerSoc_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *100 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *101 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,9200,45000,10200" +st "User:" +blo "42000,10000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,10200,44000,10200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2249,0 +activeModelName "Symbol:GEN" +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/sine@gen_tester/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/sine@gen_tester/interface new file mode 100644 index 0000000..2f7045f --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/sine@gen_tester/interface @@ -0,0 +1,1182 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester" +) +(vvPair +variable "date" +value "04/01/08" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2636" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "12:48:44" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 61,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 165,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 166,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62000,6000" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 170,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 171,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "reset : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 173,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 175,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "60400,7000,61600,23500" +st "sawtooth : (signalBitNb-1:0)" +ju 2 +blo "61400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*5 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "shiftPhase" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 180,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,13700" +st "shiftPhase" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 181,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,62000,7600" +st "shiftPhase : OUT std_ulogic ; +" +) +) +*6 (CptPort +uid 182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 183,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 185,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "54400,7000,55600,21100" +st "sine : (signalBitNb-1:0)" +ju 2 +blo "55400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 186,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 190,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "58400,7000,59600,22400" +st "square : (signalBitNb-1:0)" +ju 2 +blo "59400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,73500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*8 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +m 1 +o 8 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 195,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,21200" +st "step : (phaseBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,72000,8400" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0) +" +) +) +*9 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "56400,7000,57600,22800" +st "triangle : (signalBitNb-1:0)" +ju 2 +blo "57400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +oxt "15000,6000,61000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,8800,44800,10000" +st "Curves_test" +blo "37600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,10000,46400,11200" +st "sineGen_tester" +blo "37600,11000" +) +) +gi *10 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,30500,9200" +st "Generic Declarations + +phaseBitNb positive 16 +signalBitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*11 (Grouping +uid 16,0 +optionalChildren [ +*12 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*17 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49800,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *22 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*24 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *25 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *26 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,8400,44000,9400" +st "User:" +blo "42000,9200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9400,44000,9400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 201,0 +) diff --git a/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/waveform@gen_tester/interface b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/waveform@gen_tester/interface new file mode 100644 index 0000000..c5124f5 --- /dev/null +++ b/06-07-08-09-SystemOnChip/SystemOnChip_test/hds/waveform@gen_tester/interface @@ -0,0 +1,988 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester" +) +(vvPair +variable "date" +value "06/09/08" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1647" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "17:30:05" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 66,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 110,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "en" +t "std_ulogic" +m 1 +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 115,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,8200" +st "en" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,59500,3600" +st "en : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,59500,4400" +st "reset : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +m 1 +o 3 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 125,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,17400" +st "step : (bitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,67000,5200" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,66000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,8800,42250,10000" +st "Curves_test" +blo "35050,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,10000,46950,11200" +st "waveformGen_tester" +blo "35050,11000" +) +) +gi *6 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,27500,8400" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*7 (Grouping +uid 16,0 +optionalChildren [ +*8 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,45500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*13 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*17 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51900,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *18 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*20 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *21 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *22 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,5200,44000,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/06-07-08-09-SystemOnChip/systemOnChip.bash b/06-07-08-09-SystemOnChip/systemOnChip.bash new file mode 100755 index 0000000..553408f --- /dev/null +++ b/06-07-08-09-SystemOnChip/systemOnChip.bash @@ -0,0 +1,33 @@ +#!/bin/bash + +#=============================================================================== +# systemOnChip.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/Scripts/hdlDesigner.bash" +export HEI_LIBS_DIR=$design_directory/../Libs + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name -m hds.hdp diff --git a/06-07-08-09-SystemOnChip/systemOnChip.bat b/06-07-08-09-SystemOnChip/systemOnChip.bat new file mode 100644 index 0000000..983c9d9 --- /dev/null +++ b/06-07-08-09-SystemOnChip/systemOnChip.bat @@ -0,0 +1,81 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=0 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=0 +set REQUIRE_DIAMOND=1 + +:: Set project name +set design_name=%~n0 + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo. +echo -- %~nx0 Finished! +echo %SEPARATOR% +echo. +if not %errorlevel% lss 1 pause +goto:eof diff --git a/10-PipelinedOperators/Board/concat/pipelinedOperators.lpf b/10-PipelinedOperators/Board/concat/pipelinedOperators.lpf new file mode 100644 index 0000000..f155067 --- /dev/null +++ b/10-PipelinedOperators/Board/concat/pipelinedOperators.lpf @@ -0,0 +1,334 @@ +### For reference, see TN1262 / FPGA-TN-02032 +# .lpf file format is not really documented by Lattice, normally generated through Diamond + + +################ +#### sysCONFIG +################ + +# The BLOCK commands disable tracing of paths within clock domains (impacting overall timing score) +# It can also be used on paths if the TRACE should not consider the clock domain crossing +# like : BLOCK PATH FROM CLKNET "CLK_A" TO CLKNET "CLK_B" ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +BLOCK JTAGPATHS ; +BLOCK RD_DURING_WR_PATHS ; + + +# Not comprehensive +# dflt : CONFIG_IOVOLTAGE 1.2, 1.5, 1.8, 2.5(dflt), 3.3 voltage is 3.3V +# dflt : COMPRESS_CONFIG OFF (dflt), ON no bitstream compression +# mod : MCCLK_FREQ 2.4, 4.8, 9.7, 19.4, 38.8, 62 NOR program read @ 62MHz +# mod : MASTER_SPI_PORT DISABLE (dflt), ENABLE master SPI port stays SPI and not GPIOs, other mods disabled by dflt +# dflt : BACKGROUND_RECONFIG - no soft ERC when hot-loading bitstream (due to cosmic rays) +# dflt : DONE_PULL ON (dflt), OFF IPU on DONE pin +# dflt : DONE_EX OFF (dflt), ON not delaying end of the configuration (used for daisy chaining FPGAs) +# mod : DONE_OD OFF (dflt), ON DONE pin as open-drain instead of push-pull +# dflt : CONFIG_SECURE OFF (dflt), ON allows external access to current program +# mod : CONFIG_MODE JTAG (dflt), SSPI, SPI_SERIAL, SPI_DUAL, SPI_QUAD, SLAVE_PARALLEL, SLAVE_SERIAL +# which bus and mode is used to load configuration (for the Lattic IDE) +# dflt : TRANSFR OFF (dflt), ON if using TransFR tool from Lattice +# dflt : WAKE_UP 4 (set DONE=1 before starting user code, dflt for DONE_EX=ON) +# 21 (set DONE=1 once FPGA is already running user code, dflt for DONE_EX=OFF) +# mod : INBUF ON, OFF disable unused input buffers (not sure it impacts the ECP5 family) +SYSCONFIG MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE DONE_OD=ON CONFIG_MODE=SPI_QUAD INBUF=OFF CONFIG_IOVOLTAGE=3.3 ; +IOBUF ALLPORTS IO_TYPE=LVCMOS33 ; + +################ +#### Labs DB +################ + +### Clock and reset ### +#INPUT_SETUP ALLPORTS 50.000000 ns HOLD 10.000000 ns CLKPORT "CLK" ; +#INPUT_SETUP PORT "nRST" 50.000000 ns CLKPORT "CLK" ; + +FREQUENCY PORT "clock" 100.000000 MHz ; +LOCATE COMP "clock" SITE "K16" ; +IOBUF PORT "clock" PULLMODE=NONE ; + +LOCATE COMP "reset_n" SITE "E13" ; +GSR_NET NET "resetSynch_n"; + + +### LEDs ### +LOCATE COMP "LED1" SITE "T14" ;# red +LOCATE COMP "LED2" SITE "R14" ;# green +LOCATE COMP "LED3" SITE "T15" ;# blue + + +################ +#### SODIMM-200 +################ + +### PP2 ### +LOCATE COMP "xOut" SITE "G3" ; +LOCATE COMP "yOut" SITE "E1" ; +#LOCATE COMP "" SITE "F3" ; +#LOCATE COMP "" SITE "D1" ; +LOCATE COMP "triggerOut" SITE "F4" ; +#LOCATE COMP "" SITE "C1" ; +#LOCATE COMP "" SITE "D7" ; +#LOCATE COMP "" SITE "B6" ; +#LOCATE COMP "" SITE "C7" ; +#LOCATE COMP "" SITE "A6" ; # PP2 11 +#LOCATE COMP "" SITE "D8" ; # PP2 13 +#LOCATE COMP "" SITE "B7" ; # PP2 15 +#LOCATE COMP "" SITE "C8" ; # PP2 17 +#LOCATE COMP "" SITE "A7" ; # PP2 19 +#LOCATE COMP "" SITE "E9" ; # PP2 21 +#LOCATE COMP "" SITE "A8" ; # PP2 23 +#LOCATE COMP "" SITE "D9" ; # PP2 25 + + +### PP1 ### +#LOCATE COMP "" SITE "A9" ; +#LOCATE COMP "" SITE "D10" ; +#LOCATE COMP "" SITE "A10" ; +#LOCATE COMP "" SITE "C10" ; +#LOCATE COMP "" SITE "B10" ; +#LOCATE COMP "" SITE "C12" ; +#LOCATE COMP "" SITE "B12" ; +#LOCATE COMP "" SITE "D13" ; +#LOCATE COMP "" SITE "A13" ; +#LOCATE COMP "" SITE "M5" ; # PP1 11 +#LOCATE COMP "" SITE "L5" ; # PP1 13 +#LOCATE COMP "" SITE "K5" ; # PP1 15 +#LOCATE COMP "" SITE "H5" ; # PP1 17 +#LOCATE COMP "" SITE "E8" ; # PP1 19 +#LOCATE COMP "" SITE "E5" ; # PP1 21 +LOCATE COMP "selSinCos_n" SITE "E6" ; # PP1 23 +#LOCATE COMP "" SITE "E7" ; # PP1 25 + + +### USB (FTDI2232HL located on the daughterboard) ### +LOCATE COMP "TxD" SITE "A14" ; +IOBUF PORT "TxD" SLEWRATE=FAST ; +LOCATE COMP "RxD" SITE "B14" ; +IOBUF PORT "RxD" PULLMODE=UP ; +#LOCATE COMP "USB_DB_RTS" SITE "B13" ; +#IOBUF PORT "USB_DB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_DB_CTS" SITE "C13" ; +#IOBUF PORT "USB_DB_CTS" PULLMODE=UP ; + + + +################ +#### Extras +################ + + +### SD Flash (External SD card) ### +#LOCATE COMP "SD_DETECT" SITE "G12" ; +#IOBUF PORT "SD_DETECT" PULLMODE=UP ; + +#LOCATE COMP "SD_CMD" SITE "C15" ; +#IOBUF PORT "SD_CMD" SLEWRATE=FAST ; +#LOCATE COMP "SD_CLK" SITE "B15" ; +#IOBUF PORT "SD_CLK" SLEWRATE=FAST ; + +#LOCATE COMP "SD_DTA[0]" SITE "B16" ; +##IOBUF PORT "SD_DTA[0]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[1]" SITE "C16" ; +##IOBUF PORT "SD_DTA[1]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[2]" SITE "F12" ; +##IOBUF PORT "SD_DTA[2]" SLEWRATE=FAST ; +#LOCATE COMP "SD_DTA[3]" SITE "C14" ; +##IOBUF PORT "SD_DTA[3]" SLEWRATE=FAST ; + + +### DRAM ### +#LOCATE COMP "DRAM_ADDR[0]" SITE "J15" ; +#IOBUF PORT "DRAM_ADDR[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[1]" SITE "L16" ; +#IOBUF PORT "DRAM_ADDR[1]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[2]" SITE "L15" ; +#IOBUF PORT "DRAM_ADDR[2]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[3]" SITE "K15" ; +#IOBUF PORT "DRAM_ADDR[3]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[4]" SITE "G15" ; +#IOBUF PORT "DRAM_ADDR[4]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[5]" SITE "F15" ; +#IOBUF PORT "DRAM_ADDR[5]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[6]" SITE "F16" ; +#IOBUF PORT "DRAM_ADDR[6]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[7]" SITE "E16" ; +#IOBUF PORT "DRAM_ADDR[7]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[8]" SITE "E15" ; +#IOBUF PORT "DRAM_ADDR[8]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[9]" SITE "G13" ; +#IOBUF PORT "DRAM_ADDR[9]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[10]" SITE "M16" ; +#IOBUF PORT "DRAM_ADDR[10]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[11]" SITE "F13" ; +#IOBUF PORT "DRAM_ADDR[11]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_ADDR[12]" SITE "D16" ; +#IOBUF PORT "DRAM_ADDR[12]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_BA[0]" SITE "L14" ; +#IOBUF PORT "DRAM_BA[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_BA[1]" SITE "L13" ; +#IOBUF PORT "DRAM_BA[1]" SLEWRATE=FAST ; + +#LOCATE COMP "DRAM_CLK" SITE "G14" ; +#IOBUF PORT "DRAM_CLK" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_CKE" SITE "G16" ; +#IOBUF PORT "DRAM_CKE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nRAS" SITE "M14" ; +#IOBUF PORT "DRAM_nRAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCAS" SITE "K13" ; +#IOBUF PORT "DRAM_nCAS" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nWE" SITE "N16" ; +#IOBUF PORT "DRAM_nWE" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_nCS" SITE "M15" ; + +#LOCATE COMP "DRAM_DQ[0]" SITE "P14" ; +#LOCATE COMP "DRAM_DQ[1]" SITE "R15" ; +#LOCATE COMP "DRAM_DQ[2]" SITE "N14" ; +#LOCATE COMP "DRAM_DQ[3]" SITE "R16" ; +#LOCATE COMP "DRAM_DQ[4]" SITE "J14" ; +#LOCATE COMP "DRAM_DQ[5]" SITE "P15" ; +#LOCATE COMP "DRAM_DQ[6]" SITE "K14" ; +#LOCATE COMP "DRAM_DQ[7]" SITE "P16" ; +#LOCATE COMP "DRAM_DQ[8]" SITE "D14" ; +#LOCATE COMP "DRAM_DQ[9]" SITE "H14" ; +#LOCATE COMP "DRAM_DQ[10]" SITE "H12" ; +#LOCATE COMP "DRAM_DQ[11]" SITE "H13" ; +#LOCATE COMP "DRAM_DQ[12]" SITE "E14" ; +#LOCATE COMP "DRAM_DQ[13]" SITE "H15" ; +#LOCATE COMP "DRAM_DQ[14]" SITE "J13" ; +#LOCATE COMP "DRAM_DQ[15]" SITE "J16" ; + +#LOCATE COMP "DRAM_DQM[0]" SITE "M13" ; +#IOBUF PORT "DRAM_DQM[0]" SLEWRATE=FAST ; +#LOCATE COMP "DRAM_DQM[1]" SITE "F14" ; +#IOBUF PORT "DRAM_DQM[1]" SLEWRATE=FAST ; + + + + +### USB (chip located on the motherboard) ### +#LOCATE COMP "USB_MB_TX" SITE "M11" ; +#IOBUF PORT "USB_MB_TX" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_RX" SITE "N12" ; +#IOBUF PORT "USB_MB_RX" PULLMODE=UP ; +#LOCATE COMP "USB_MB_RTS" SITE "N11" ; +#IOBUF PORT "USB_MB_RTS" SLEWRATE=FAST ; +#LOCATE COMP "USB_MB_CTS" SITE "M12" ; +#IOBUF PORT "USB_MB_CTS" PULLMODE=UP ; + + +### PMOD1 ### +#LOCATE COMP "dbg_leds[16]" SITE "P1" ; +#LOCATE COMP "dbg_leds[17]" SITE "N4" ; +#LOCATE COMP "dbg_leds[18]" SITE "P2" ; +#LOCATE COMP "dbg_leds[19]" SITE "P5" ; +#LOCATE COMP "dbg_leds[20]" SITE "R1" ; +#LOCATE COMP "dbg_leds[21]" SITE "N5" ; +#LOCATE COMP "dbg_leds[22]" SITE "R2" ; +#LOCATE COMP "dbg_leds[23]" SITE "N6" ; + + +### PMOD2 ### +#LOCATE COMP "dbg_leds[24]" SITE "R3" ; +#LOCATE COMP "dbg_leds[25]" SITE "P11" ; +#LOCATE COMP "dbg_leds[26]" SITE "P12" ; +#LOCATE COMP "dbg_leds[27]" SITE "T3" ; +#LOCATE COMP "dbg_leds[28]" SITE "R4" ; +#LOCATE COMP "dbg_leds[29]" SITE "R12" ; +#LOCATE COMP "dbg_leds[30]" SITE "T13" ; +#LOCATE COMP "dbg_leds[31]" SITE "R5" ; + + +### PMOD3 ### +#LOCATE COMP "dbg_leds[8]" SITE "B2" ; +#LOCATE COMP "dbg_leds[9]" SITE "B3" ; +#LOCATE COMP "dbg_leds[10]" SITE "A4" ; +#LOCATE COMP "dbg_leds[11]" SITE "D4" ; +#LOCATE COMP "dbg_leds[12]" SITE "A2" ; +#LOCATE COMP "dbg_leds[13]" SITE "B4" ; +#LOCATE COMP "dbg_leds[14]" SITE "C3" ; +#LOCATE COMP "dbg_leds[15]" SITE "C4" ; + + +### PMOD4 ### +#LOCATE COMP "dbg_leds[0]" SITE "J4" ; +#LOCATE COMP "dbg_leds[1]" SITE "J5" ; +#LOCATE COMP "dbg_leds[2]" SITE "H4" ; +#LOCATE COMP "dbg_leds[3]" SITE "E4" ; +#LOCATE COMP "dbg_leds[4]" SITE "J3" ; +#LOCATE COMP "dbg_leds[5]" SITE "H3" ; +#LOCATE COMP "dbg_leds[6]" SITE "E3" ; +#LOCATE COMP "dbg_leds[7]" SITE "D3" ; + + +### Ethernet ### +#LOCATE COMP "ETH_CLK_EN" SITE "B1" ; +#LOCATE COMP "ETH_nRESET" SITE "C2" ; + +#LOCATE COMP "ETH_nLED_Y" SITE "F1" ; +#LOCATE COMP "ETH_nLED_G" SITE "G2" ; + +#LOCATE COMP "ETH_MDC" SITE "J1" ; +#LOCATE COMP "ETH_MDIO" SITE "H2" ; +#IOBUF PORT "ETH_MDIO" OPENDRAIN=ON SLEWRATE=FAST ; +#LOCATE COMP "ETH_MDINT" SITE "G1" ; +#IOBUF PORT "ETH_MDINT" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_REF_CLK" SITE "P3" ; + +#LOCATE COMP "ETH_TX_CLK" SITE "M4" ; +#IOBUF PORT "ETH_TX_CLK" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TX_CTL" SITE "N3" ; +#IOBUF PORT "ETH_TX_CTL" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[0]" SITE "M3" ; +#IOBUF PORT "ETH_TXD[0]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[1]" SITE "L4" ; +#IOBUF PORT "ETH_TXD[1]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[2]" SITE "K4" ; +#IOBUF PORT "ETH_TXD[2]" SLEWRATE=FAST ; +#LOCATE COMP "ETH_TXD[3]" SITE "K3" ; +#IOBUF PORT "ETH_TXD[3]" SLEWRATE=FAST ; + +#LOCATE COMP "ETH_RX_CLK" SITE "K1" ; +#LOCATE COMP "ETH_RX_CTL" SITE "K2" ; +#LOCATE COMP "ETH_RXD[0]" SITE "L1" ; +#LOCATE COMP "ETH_RXD[1]" SITE "L2" ; +#LOCATE COMP "ETH_RXD[2]" SITE "M1" ; +#LOCATE COMP "ETH_RXD[3]" SITE "M2" ; + + +### Extras ### +#LOCATE COMP "EXT[1]" SITE "P13" ; +#LOCATE COMP "EXT[2]" SITE "R13" ; +#LOCATE COMP "EXT[3]" SITE "A3" ; +#LOCATE COMP "EXT[4]" SITE "A5" ; +#LOCATE COMP "EXT[5]" SITE "B5" ; +#LOCATE COMP "EXT[6]" SITE "C5" ; +#LOCATE COMP "EXT[7]" SITE "C6" ; +#LOCATE COMP "EXT[8]" SITE "D5" ; +#LOCATE COMP "EXT[9]" SITE "D6" ; +#LOCATE COMP "EXT[10]" SITE "A11" ; +#LOCATE COMP "EXT[11]" SITE "A12" ; +#LOCATE COMP "EXT[12]" SITE "B8" ; +#LOCATE COMP "EXT[13]" SITE "B9" ; +#LOCATE COMP "EXT[14]" SITE "B11" ; +#LOCATE COMP "EXT[15]" SITE "C9" ; +#LOCATE COMP "EXT[16]" SITE "C11" ; +#LOCATE COMP "EXT[17]" SITE "D11" ; +#LOCATE COMP "EXT[18]" SITE "D12" ; +#LOCATE COMP "EXT[19]" SITE "E10" ; +#LOCATE COMP "EXT[20]" SITE "E11" ; +#LOCATE COMP "EXT[21]" SITE "E12" ; +#LOCATE COMP "EXT[22]" SITE "L3" ; +#LOCATE COMP "EXT[23]" SITE "M6" ; +#LOCATE COMP "EXT[24]" SITE "N1" ; +#LOCATE COMP "EXT[25]" SITE "P4" ; +#LOCATE COMP "EXT[26]" SITE "P6" ; +#LOCATE COMP "EXT[27]" SITE "T2" ; +#LOCATE COMP "EXT[28]" SITE "T4" ; +#LOCATE COMP "EXT[29]" SITE "E2" ; +#LOCATE COMP "EXT[30]" SITE "F2" ; +#LOCATE COMP "EXT[31]" SITE "F5" ; +#LOCATE COMP "EXT[32]" SITE "G4" ; +#LOCATE COMP "EXT[33]" SITE "G5" ; +#LOCATE COMP "EXT[34]" SITE "J2" ; diff --git a/10-PipelinedOperators/Board/concat/pipelinedOperators.ucf b/10-PipelinedOperators/Board/concat/pipelinedOperators.ucf new file mode 100644 index 0000000..b145465 --- /dev/null +++ b/10-PipelinedOperators/Board/concat/pipelinedOperators.ucf @@ -0,0 +1,19 @@ +#------------------------------------------------------------------------------- +# Clock and reset +# +NET "clock" LOC = "A10"; +NET "reset_N" LOC = "D3" | PULLUP; + +#------------------------------------------------------------------------------- +# Analog outputs +# +NET "xOut" LOC = "G4" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +NET "yOut" LOC = "G5" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "xOut" LOC = "G4" ; +#NET "yOut" LOC = "G5" ; + +#------------------------------------------------------------------------------- +# Trigger output +# +NET "triggerOut" LOC = "D2" | IOSTANDARD = LVTTL | DRIVE = 2 | SLEW = SLOW; +#NET "triggerOut" LOC = "D2" ; diff --git a/10-PipelinedOperators/Board/concat/pipelinedOperators.vhd b/10-PipelinedOperators/Board/concat/pipelinedOperators.vhd new file mode 100644 index 0000000..a017aaf --- /dev/null +++ b/10-PipelinedOperators/Board/concat/pipelinedOperators.vhd @@ -0,0 +1,623 @@ +-- VHDL Entity Board.pipelineCounter_ebs3.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:16:01 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY pipelineCounter_ebs3 IS + GENERIC( + counterBitNb : positive := 16 + ); + PORT( + clock : IN std_ulogic; + reset_n : IN std_ulogic; + countOut : OUT unsigned (counterBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END pipelineCounter_ebs3 ; + + + + + +-- VHDL Entity PipelinedOperators.pipelineCounter.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:50:00 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY pipelineCounter IS + GENERIC( + bitNb : positive; + stageNb : positive + ); + PORT( + countOut : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic + ); + +-- Declarations + +END pipelineCounter ; + + + + + +-- VHDL Entity PipelinedOperators.pipelineAdder.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 08:50:15 03/11/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY pipelineAdder IS + GENERIC( + bitNb : positive; + stageNb : positive + ); + PORT( + sum : OUT signed (bitNb-1 DOWNTO 0); + clock : IN std_ulogic; + reset : IN std_ulogic; + cIn : IN std_ulogic; + cOut : OUT std_ulogic; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END pipelineAdder ; + + + + + +-- VHDL Entity PipelinedOperators.parallelAdder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:43:49 28.04.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY parallelAdder IS + GENERIC( + bitNb : positive := 32 + ); + PORT( + sum : OUT signed (bitNb-1 DOWNTO 0); + cIn : IN std_ulogic; + cOut : OUT std_ulogic; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0) + ); + +-- Declarations + +END parallelAdder ; + + + + + +ARCHITECTURE masterVersion OF parallelAdder IS + + signal sum_int: unsigned(sum'high+1 downto 0); + +BEGIN + + sum_int <= resize(unsigned(a), sum_int'length) + + resize(unsigned(b), sum_int'length) + + resize('0' & cIn, sum_int'length); + + sum <= signed(sum_int(sum'range)); + cOut <= sum_int(sum_int'high); + +END ARCHITECTURE masterVersion; + + + + + +ARCHITECTURE masterVersion OF pipelineAdder IS + + constant stageBitNb : positive := sum'length/stageNb; + subtype stageOperandType is signed(stageBitNb-1 downto 0); + type stageOperandVectorType is array(stageNb-1 downto 0) of stageOperandType; + type stageOperandMatrixType is array(stageNb-1 downto 0) of stageOperandVectorType; + subtype carryType is std_ulogic_vector(stageNb downto 0); + + signal a_int, b_int, sum_int : stageOperandMatrixType; + signal carryIn, carryOut : carryType; + + COMPONENT parallelAdder + GENERIC ( + bitNb : positive := 32 + ); + PORT ( + sum : OUT signed (bitNb-1 DOWNTO 0); + cIn : IN std_ulogic ; + cOut : OUT std_ulogic ; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + + carryIn(0) <= cIn; + + distributeInput: for wordIndex in stageOperandVectorType'range generate + a_int(wordIndex)(0) <= a(wordIndex*stageBitNb+stageBitNb-1 downto wordIndex*stageBitNb); + b_int(wordIndex)(0) <= b(wordIndex*stageBitNb+stageBitNb-1 downto wordIndex*stageBitNb); + end generate distributeInput; + + inputRegistersX: for wordIndex in stageOperandVectorType'high downto 1 generate + inputRegistersY: for pipeIndex in stageOperandMatrixType'high downto 1 generate + upperTriangle: if wordIndex >= pipeIndex generate + inputRegisters: process(reset, clock) + begin + if reset = '1' then + a_int(wordIndex)(pipeIndex) <= (others => '0'); + b_int(wordIndex)(pipeIndex) <= (others => '0'); + elsif rising_edge(clock) then + a_int(wordIndex)(pipeIndex) <= a_int(wordIndex)(pipeIndex-1); + b_int(wordIndex)(pipeIndex) <= b_int(wordIndex)(pipeIndex-1); + end if; + end process inputRegisters; + end generate upperTriangle; + end generate inputRegistersY; + end generate inputRegistersX; + + operation: for index in stageOperandVectorType'range generate + partialAdder: parallelAdder + GENERIC MAP (bitNb => stageBitNb) + PORT MAP ( + a => a_int(index)(index), + b => b_int(index)(index), + sum => sum_int(index)(index), + cIn => carryIn(index), + cOut => carryOut(index) + ); + carryRegisters: process(reset, clock) + begin + if reset = '1' then + carryIn(index+1) <= '0'; + elsif rising_edge(clock) then + carryIn(index+1) <= carryOut(index); + end if; + end process carryRegisters; + end generate operation; + + outputRegistersX: for wordIndex in stageOperandVectorType'range generate + outputRegistersY: for pipeIndex in stageOperandMatrixType'range generate + lowerTriangle: if wordIndex < pipeIndex generate + outputRegisters: process(reset, clock) + begin + if reset = '1' then + sum_int(wordIndex)(pipeIndex) <= (others => '0'); + elsif rising_edge(clock) then + sum_int(wordIndex)(pipeIndex) <= sum_int(wordIndex)(pipeIndex-1); + end if; + end process outputRegisters; + end generate lowerTriangle; + end generate outputRegistersY; + end generate outputRegistersX; + + packOutput: for index in stageOperandVectorType'range generate + sum(index*stageBitNb+stageBitNb-1 downto index*stageBitNb) <= + sum_int(index)(stageOperandMatrixType'high); + end generate packOutput; + + cOut <= carryOut(carryOut'high-1); + +END ARCHITECTURE masterVersion; + + + + +ARCHITECTURE masterVersion OF pipelineCounter IS + + signal initCounter : unsigned(countOut'length/stageNb-1 downto 0); + signal b : signed(countOut'range); + signal sum : signed(countOut'range); + + COMPONENT pipelineAdder + GENERIC ( + bitNb : positive := 32; + stageNb : positive := 4 + ); + PORT ( + reset : IN std_ulogic; + clock : IN std_ulogic; + cIn : IN std_ulogic; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0); + sum : OUT signed (bitNb-1 DOWNTO 0); + cOut : OUT std_ulogic + ); + END COMPONENT; + +BEGIN + + adder: pipelineAdder + GENERIC MAP ( + bitNb => countOut'length, + stageNb => stageNb + ) + PORT MAP ( + reset => reset, + clock => clock, + cIn => '0', + a => sum, + b => b, + sum => sum, + cOut => open + ); + + prepareBInput: process(reset, clock) + begin + if reset = '1' then + initCounter <= (others => '0'); + elsif rising_edge(clock) then + if initCounter < stageNb then + initCounter <= initCounter + 1; + end if; + end if; + end process prepareBInput; + + selectInitOrRun: process(initCounter, sum) + begin + if initCounter < stageNb-1 then + b <= signed(resize(initCounter+stageNb-1, b'length)); + countOut <= resize(initCounter, countOut'length); + else + b <= to_signed(stageNb-1, b'length); + countOut <= unsigned(sum); + end if; + end process selectInitOrRun; + +END ARCHITECTURE masterVersion; + + + + +-- VHDL Entity Board.DFF.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:05 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY DFF IS + PORT( + CLK : IN std_uLogic; + CLR : IN std_uLogic; + D : IN std_uLogic; + Q : OUT std_uLogic + ); + +-- Declarations + +END DFF ; + + + + + +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + + + + + +-- VHDL Entity Board.inverterIn.symbol +-- +-- Created: +-- by - francois.francois (Aphelia) +-- at - 13:07:14 02/19/19 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY inverterIn IS + PORT( + in1 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END inverterIn ; + + + + + +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + + + + + +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; + + + + +-- +-- VHDL Architecture Board.pipelineCounter_ebs3.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 11:16:01 08.05.2023 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +-- LIBRARY Board; +-- LIBRARY Lattice; +-- LIBRARY PipelinedOperators; + +ARCHITECTURE struct OF pipelineCounter_ebs3 IS + + -- Architecture declarations + constant pipelineStageNb: positive := 5; + + -- Internal signal declarations + SIGNAL clk_sys : std_ulogic; + SIGNAL logic0 : std_ulogic; + SIGNAL logic1 : std_uLogic; + SIGNAL reset : std_ulogic; + SIGNAL resetSynch : std_ulogic; + SIGNAL resetSynch_n : std_ulogic; + + + -- Component Declarations + COMPONENT DFF + PORT ( + CLK : IN std_uLogic ; + CLR : IN std_uLogic ; + D : IN std_uLogic ; + Q : OUT std_uLogic + ); + END COMPONENT; + COMPONENT inverterIn + PORT ( + in1 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT pll + PORT ( + clkIn100M : IN std_ulogic ; + en75M : IN std_ulogic ; + en50M : IN std_ulogic ; + en10M : IN std_ulogic ; + clk60MHz : OUT std_ulogic ; + clk75MHz : OUT std_ulogic ; + clk50MHz : OUT std_ulogic ; + clk10MHz : OUT std_ulogic ; + pllLocked : OUT std_ulogic + ); + END COMPONENT; + COMPONENT pipelineCounter + GENERIC ( + bitNb : positive; + stageNb : positive + ); + PORT ( + countOut : OUT unsigned (bitNb-1 DOWNTO 0); + clock : IN std_ulogic ; + reset : IN std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off +-- FOR ALL : DFF USE ENTITY Board.DFF; +-- FOR ALL : inverterIn USE ENTITY Board.inverterIn; +-- FOR ALL : pipelineCounter USE ENTITY PipelinedOperators.pipelineCounter; +-- FOR ALL : pll USE ENTITY Lattice.pll; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 5 eb5 + logic1 <= '1'; + + -- HDL Embedded Text Block 6 eb6 + logic0 <= '0'; + + + -- Instance port mappings. + I_dff : DFF + PORT MAP ( + CLK => clock, + CLR => reset, + D => logic1, + Q => resetSynch_n + ); + I_inv1 : inverterIn + PORT MAP ( + in1 => reset_n, + out1 => reset + ); + I_inv2 : inverterIn + PORT MAP ( + in1 => resetSynch_n, + out1 => resetSynch + ); + I_pll : pll + PORT MAP ( + clkIn100M => clock, + en75M => logic0, + en50M => logic0, + en10M => logic0, + clk60MHz => clk_sys, + clk75MHz => OPEN, + clk50MHz => OPEN, + clk10MHz => OPEN, + pllLocked => OPEN + ); + I_cnt : pipelineCounter + GENERIC MAP ( + bitNb => counterBitNb, + stageNb => pipelineStageNb + ) + PORT MAP ( + countOut => countOut, + clock => clk_sys, + reset => resetSynch + ); + +END struct; + + + + diff --git a/10-PipelinedOperators/Board/diamond/pipelinedOperators.bit b/10-PipelinedOperators/Board/diamond/pipelinedOperators.bit new file mode 100644 index 0000000..e4efb02 Binary files /dev/null and b/10-PipelinedOperators/Board/diamond/pipelinedOperators.bit differ diff --git a/10-PipelinedOperators/Board/diamond/pipelinedOperators.ldf b/10-PipelinedOperators/Board/diamond/pipelinedOperators.ldf new file mode 100644 index 0000000..f1ae61d --- /dev/null +++ b/10-PipelinedOperators/Board/diamond/pipelinedOperators.ldf @@ -0,0 +1,22 @@ + + + + + + + + + + + + + + + + + + + + + + diff --git a/10-PipelinedOperators/Board/diamond/programmer.xcf b/10-PipelinedOperators/Board/diamond/programmer.xcf new file mode 100644 index 0000000..468a2da --- /dev/null +++ b/10-PipelinedOperators/Board/diamond/programmer.xcf @@ -0,0 +1,50 @@ + + + + + + JTAG + + + 1 + Renesas + ECP5U + LFE5U-25F + All + LFE5U-25F + + 8 + 11111111 + 1 + 0 + + + 04/11/23 16:14:28 + 0xA4B0 + Fast Program + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + 4 + + + USB2 + FTUSB-0 + LFE5U-25F A Location 0000 Serial 018VFVT3A + + diff --git a/10-PipelinedOperators/Board/diamond/reveal_analyze.rva b/10-PipelinedOperators/Board/diamond/reveal_analyze.rva new file mode 100644 index 0000000..4249e0e --- /dev/null +++ b/10-PipelinedOperators/Board/diamond/reveal_analyze.rva @@ -0,0 +1,638 @@ + + + lun. 6. mars 14:29:10 2023 + + + + + + + + + + + + 1 + + 0 + 1. LFE5U-25F + 0x41111043 + true + false + + 8 + reveal_config.rvl + reveal_config.rvs + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/10-PipelinedOperators/Board/diamond/reveal_config.rvl b/10-PipelinedOperators/Board/diamond/reveal_config.rvl new file mode 100644 index 0000000..28d2f1c --- /dev/null +++ b/10-PipelinedOperators/Board/diamond/reveal_config.rvl @@ -0,0 +1,662 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/10-PipelinedOperators/Board/diamond/strategy.sty b/10-PipelinedOperators/Board/diamond/strategy.sty new file mode 100644 index 0000000..a2b5a4a --- /dev/null +++ b/10-PipelinedOperators/Board/diamond/strategy.sty @@ -0,0 +1,203 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/10-PipelinedOperators/Board/hdl/DFF_sim.vhd b/10-PipelinedOperators/Board/hdl/DFF_sim.vhd new file mode 100644 index 0000000..cf8868a --- /dev/null +++ b/10-PipelinedOperators/Board/hdl/DFF_sim.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0'; + elsif rising_edge(clk) then + q <= d; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/10-PipelinedOperators/Board/hdl/buff_sim.vhd b/10-PipelinedOperators/Board/hdl/buff_sim.vhd new file mode 100644 index 0000000..8ad27be --- /dev/null +++ b/10-PipelinedOperators/Board/hdl/buff_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF buff IS +BEGIN + + out1 <= in1; + +END ARCHITECTURE sim; + diff --git a/10-PipelinedOperators/Board/hdl/inverterIn_sim.vhd b/10-PipelinedOperators/Board/hdl/inverterIn_sim.vhd new file mode 100644 index 0000000..fb039ac --- /dev/null +++ b/10-PipelinedOperators/Board/hdl/inverterIn_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverterIn IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/10-PipelinedOperators/Board/hdl/inverter_sim.vhd b/10-PipelinedOperators/Board/hdl/inverter_sim.vhd new file mode 100644 index 0000000..ca5b6b5 --- /dev/null +++ b/10-PipelinedOperators/Board/hdl/inverter_sim.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + + out1 <= NOT in1; + +END ARCHITECTURE sim; + diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_buff_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterIn_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_inverterin_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_entity.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_entity.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_struct.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_struct.vhg._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_circuit_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_entity.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_struct.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs2_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_entity.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_struct.vhg._fpf b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/.hdlsidedata/_pipelinecounter_ebs3_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/Board/hds/@d@f@f/symbol.sb b/10-PipelinedOperators/Board/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..555b689 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/@d@f@f/symbol.sb @@ -0,0 +1,1211 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 157,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 158,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 159,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 160,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 156,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 164,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 165,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 132,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 163,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:05" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:05" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,4625,23000,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "courier,12,0" +) +xt "24000,4300,25700,5700" +st "D" +blo "24000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,15500,13400" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +optionalChildren [ +*62 (FFT +pts [ +"23750,9000" +"23000,9375" +"23000,8625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,8625,23000,9375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,12,0" +) +xt "24000,8400,27200,9800" +st "CLK" +blo "24000,9600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,15500,11600" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,11000,26375,11750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +font "courier,12,0" +) +xt "25000,9600,28200,11000" +st "CLR" +blo "25000,10800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15500,12500" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,4625,29750,5375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +font "courier,12,0" +) +xt "26200,4300,28000,5700" +st "Q" +ju 2 +blo "28000,5500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,14500,14300" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,3000,29000,11000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +) +xt "27600,10700,30600,11700" +st "Board" +blo "27600,11500" +) +second (Text +uid 15,0 +va (VaSet +) +xt "27600,11700,29400,12700" +st "DFF" +blo "27600,12500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +isHidden 1 +) +xt "30000,10400,42600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 97,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*68 (MLText +uid 98,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "23,13,991,725" +viewArea "-672,-4956,43920,21156" +cachedDiagramExtent "0,0,43800,15100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26600,24700,29700,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +) +xt "26600,25700,28800,26700" +st "" +blo "26600,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8300,8600,9500" +st "Declarations" +blo "0,9300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,9500,4200,10700" +st "Ports:" +blo "0,10500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,14300,3000,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,8300,9400,9500" +st "Internal User:" +blo "0,9300" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +) diff --git a/10-PipelinedOperators/Board/hds/@f@p@g@a_sine@gen_tester/interface b/10-PipelinedOperators/Board/hds/@f@p@g@a_sine@gen_tester/interface new file mode 100644 index 0000000..f018467 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/@f@p@g@a_sine@gen_tester/interface @@ -0,0 +1,1571 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2009,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +uid 208,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +uid 210,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +uid 212,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +uid 214,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +uid 216,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 209,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 211,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 213,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 215,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 217,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 102,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 106,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 108,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 110,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 114,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 116,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "signalBitNb" +type "positive" +value "16" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 129,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 139,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 141,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 143,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 145,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "chronometer" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester" +) +(vvPair +variable "date" +value "27.01.2010" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "27" +) +(vvPair +variable "entity_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Chronometer/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "FPGA_sineGen_tester" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\@f@p@g@a_sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_labs\\Prefs\\..\\Board\\hds\\FPGA_sineGen_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Chronometer\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:20:56" +) +(vvPair +variable "unit" +value "FPGA_sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 71,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 186,0 +ro 270 +va (VaSet +) +xt "22500,7000,23500,9100" +st "clock" +ju 2 +blo "23300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 187,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62000,5200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*65 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 189,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +ro 270 +va (VaSet +) +xt "24500,7000,25500,9100" +st "reset" +ju 2 +blo "25300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2006,0 +) +) +) +*66 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +ro 270 +va (VaSet +) +xt "56500,7000,57500,11400" +st "triggerOut" +ju 2 +blo "57300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,62000,2800" +st "triggerOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "triggerOut" +t "std_ulogic" +o 4 +suid 2007,0 +) +) +) +*67 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +ro 270 +va (VaSet +) +xt "58500,7000,59500,8900" +st "xOut" +ju 2 +blo "59300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "xOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "xOut" +t "std_ulogic" +o 5 +suid 2008,0 +) +) +) +*68 (CptPort +uid 203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 204,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 206,0 +ro 270 +va (VaSet +) +xt "60500,7000,61500,8900" +st "yOut" +ju 2 +blo "61300,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 207,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "yOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "yOut" +t "std_ulogic" +o 8 +suid 2009,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,59000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,8800,38400,10000" +st "Board" +blo "34900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "34900,10000,47100,11200" +st "FPGA_sineGen_tester" +blo "34900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44800,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "SineInterpolator_test" +entityName "FPGA_sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 217,0 +) diff --git a/10-PipelinedOperators/Board/hds/_buff._epf b/10-PipelinedOperators/Board/hds/_buff._epf new file mode 100644 index 0000000..d74258b --- /dev/null +++ b/10-PipelinedOperators/Board/hds/_buff._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom buff_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/10-PipelinedOperators/Board/hds/_pipelinecounter_circuit._epf b/10-PipelinedOperators/Board/hds/_pipelinecounter_circuit._epf new file mode 100644 index 0000000..d110fb2 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/_pipelinecounter_circuit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipeline@counter_circuit/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs2._epf b/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs2._epf new file mode 100644 index 0000000..dd813c6 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs2._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipeline@counter_ebs2/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs3._epf b/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs3._epf new file mode 100644 index 0000000..34eba2a --- /dev/null +++ b/10-PipelinedOperators/Board/hds/_pipelinecounter_ebs3._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom pipeline@counter_ebs3/struct.bd +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/Board/hds/buff/symbol.sb b/10-PipelinedOperators/Board/hds/buff/symbol.sb new file mode 100644 index 0000000..f9ad06a --- /dev/null +++ b/10-PipelinedOperators/Board/hds/buff/symbol.sb @@ -0,0 +1,1107 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "buff" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:01" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "buff" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/buff/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:01" +) +(vvPair +variable "unit" +value "buff" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,26310,5700" +st "buff" +blo "23910,5500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *59 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*61 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1352,888" +viewArea "-600,-3900,62412,40055" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *62 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *63 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 149,0 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/Board/hds/inverter/symbol.sb b/10-PipelinedOperators/Board/hds/inverter/symbol.sb new file mode 100644 index 0000000..515ce1d --- /dev/null +++ b/10-PipelinedOperators/Board/hds/inverter/symbol.sb @@ -0,0 +1,1092 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 97,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 98,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 99,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 100,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 96,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 103,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 72,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 101,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:09" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:09" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,6625,23000,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*57 (CptPort +uid 22,0 +optionalChildren [ +*58 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28750,6625,29500,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,28710,5700" +st "inverter" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/10-PipelinedOperators/Board/hds/inverter@in/symbol.sb b/10-PipelinedOperators/Board/hds/inverter@in/symbol.sb new file mode 100644 index 0000000..85e0913 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/inverter@in/symbol.sb @@ -0,0 +1,1095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 98,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 99,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 101,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 44,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 47,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 49,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 51,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 70,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 45,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 53,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 57,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 59,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 61,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 63,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 65,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 67,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 43,0 +vaOverrides [ +] +) +] +) +uid 97,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 3 +dimension 20 +) +uid 73,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 76,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 78,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 80,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 74,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 82,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 86,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 88,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 90,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 92,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 94,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 72,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverterIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:07:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "inverterIn" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverter@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/Lissajous/Prefs/../Board/hds/inverterIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "lissajous" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:07:14" +) +(vvPair +variable "unit" +value "inverterIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 16,0 +optionalChildren [ +*57 (Circle +uid 42,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,6546,23000,7454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21342,6625,22092,7375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23000,6500,25700,7900" +st "in1" +blo "23000,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10200,16000,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*58 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,6625,28750,7375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "24050,6500,27750,7900" +st "out1" +ju 2 +blo "27750,7700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11100,15000,12000" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,4000,28000,10000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +) +xt "23910,3700,26910,4700" +st "Board" +blo "23910,4500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +) +xt "23910,4700,30510,5700" +st "inverterIn" +blo "23910,5500" +) +) +gi *59 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "23000,10400,35600,11400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *60 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 40,0 +va (VaSet +font "courier,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*62 (MLText +uid 41,0 +va (VaSet +) +xt "0,1200,17400,3200" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "65,29,915,670" +viewArea "-630,-3856,36676,17902" +cachedDiagramExtent "0,0,36800,17100" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30010,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29110,26700" +st "" +blo "26910,26500" +) +) +gi *63 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *64 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7800,8600,9000" +st "Declarations" +blo "0,8800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,9000,4200,10200" +st "Ports:" +blo "0,10000" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12000,3000,13000" +st "User:" +blo "0,12800" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15900,9400,17100" +st "Internal User:" +blo "0,16900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,2000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 127,0 +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/struct.bd b/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/struct.bd new file mode 100644 index 0000000..49697ce --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/struct.bd @@ -0,0 +1,3650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_cnt" +duLibraryName "PipelinedOperators" +duName "pipelineCounter" +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +mwi 0 +uid 2439,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_circuit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_circuit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_circuit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_circuit" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:18:24" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "pipelineCounter_circuit" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_circuit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_circuit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:18:24" +) +(vvPair +variable "unit" +value "pipelineCounter_circuit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10200,29300,14000,30700" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,7800,11800,9000" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8200,41300,14000,42700" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,15600,16200,16800" +st "SIGNAL reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,8800,12500,10000" +st "reset_N : std_ulogic" +) +) +*17 (HdlText +uid 818,0 +optionalChildren [ +*18 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,24000,37200" +st "eb4" +blo "21400,37000" +tm "HdlTextNameMgr" +) +*20 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22800,38200" +st "4" +blo "21400,38000" +tm "HdlTextNumberMgr" +) +] +) +) +*21 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 6 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,16600,18300,17800" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*22 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 4 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,14600,16700,15800" +st "SIGNAL logic1 : std_uLogic" +) +) +*23 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,17600,17800,18800" +st "SIGNAL resetSynch : std_ulogic" +) +) +*24 (SaComponent +uid 1071,0 +optionalChildren [ +*25 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,33300,36700,34700" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*26 (CptPort +uid 1058,0 +optionalChildren [ +*27 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,37400,38200,38800" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*28 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,38600,39200,40000" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*29 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "37200,33300,39000,34700" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,42200,40900" +st "Board" +blo "38600,40700" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,41300,41900" +st "DFF" +blo "38600,41700" +tm "CptNameMgr" +) +*32 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,41600,42900" +st "I_dff" +blo "38600,42700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*33 (SaComponent +uid 1806,0 +optionalChildren [ +*34 (CptPort +uid 1797,0 +optionalChildren [ +*35 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,33500,47700,34900" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*36 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "46050,33500,49750,34900" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,50060,37900" +st "Board" +blo "46460,37700" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,52860,38900" +st "inverterIn" +blo "46460,38700" +tm "CptNameMgr" +) +*39 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,50460,39900" +st "I_inv2" +blo "46460,39700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*40 (SaComponent +uid 1817,0 +optionalChildren [ +*41 (CptPort +uid 1826,0 +optionalChildren [ +*42 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,41500,25700,42900" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*43 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "24050,41500,27750,42900" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,28060,45900" +st "Board" +blo "24460,45700" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,30860,46900" +st "inverterIn" +blo "24460,46700" +tm "CptNameMgr" +) +*46 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,28460,47900" +st "I_inv1" +blo "24460,47700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 2439,0 +optionalChildren [ +*48 (CptPort +uid 2427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2430,0 +va (VaSet +) +xt "66600,29550,72000,30750" +st "countOut" +ju 2 +blo "72000,30550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*49 (CptPort +uid 2431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2434,0 +va (VaSet +) +xt "58000,29400,61400,30600" +st "clock" +blo "58000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*50 (CptPort +uid 2435,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2436,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2437,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2438,0 +va (VaSet +) +xt "58000,31550,61300,32750" +st "reset" +blo "58000,32550" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 2440,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,26000,73000,34000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 2441,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 2442,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,33800,68400,35000" +st "PipelinedOperators" +blo "57600,34800" +tm "BdLibraryNameMgr" +) +*52 (Text +uid 2443,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,34700,66600,35900" +st "pipelineCounter" +blo "57600,35700" +tm "CptNameMgr" +) +*53 (Text +uid 2444,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,35600,60900,36800" +st "I_cnt" +blo "57600,36600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2445,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2446,0 +text (MLText +uid 2447,0 +va (VaSet +font "Verdana,8,0" +) +xt "57000,37400,76400,39400" +st "bitNb = counterBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*54 (Net +uid 2475,0 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 20,0 +) +declText (MLText +uid 2476,0 +va (VaSet +) +xt "-1000,9800,26300,11000" +st "countOut : unsigned(counterBitNb-1 downto 0)" +) +) +*55 (PortIoOut +uid 2483,0 +shape (CompositeShape +uid 2484,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2485,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 2486,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 2487,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2488,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "84000,29350,110300,30750" +st "countOut : (counterBitNb-1 downto 0)" +blo "84000,30550" +tm "WireNameMgr" +) +) +) +*56 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &49 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,28600,20800,30000" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*57 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &3 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,40600,21800,42000" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &16 +) +*58 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &36 +end &50 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,30600,58600,32000" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &23 +) +*59 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &26 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,36600,33800,38000" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*60 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &43 +end &28 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,40600,33100,42000" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &29 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,32600,48600,34000" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &21 +) +*62 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &25 +end &17 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,32600,34400,34000" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &22 +) +*63 (Wire +uid 2477,0 +shape (OrthoPolyLine +uid 2478,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "73750,30000,81000,30000" +pts [ +"73750,30000" +"81000,30000" +] +) +start &48 +end &55 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2481,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2482,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,28700,82600,30100" +st "countOut" +blo "76000,29900" +tm "WireNameMgr" +) +) +on &54 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*66 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*68 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*69 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*70 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*71 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*72 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*73 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4435,-1431,122830,67716" +cachedDiagramExtent "-3000,0,109900,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2627,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*75 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*76 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*78 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*79 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*81 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*82 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*84 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*85 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*87 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*88 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*90 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*92 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*94 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6000,4000,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6900,400,7900" +st "Ports:" +blo "-3000,7700" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,10800,1800,11800" +st "Pre User:" +blo "-3000,11600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,11700,22600,14100" +st "-- constant counterBitNb: positive := 20; +constant pipelineStageNb: positive := 5;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13700,6000,14700" +st "Diagram Signals:" +blo "-3000,14500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,6000,3000,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *95 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*96 (RefLabelRowHdr +) +*97 (TitleRowHdr +) +*98 (FilterRowHdr +) +*99 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*100 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*101 (GroupColHdr +tm "GroupColHdrMgr" +) +*102 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*103 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*104 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*105 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*106 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*107 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*108 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2,0 +) +) +uid 1379,0 +) +*110 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*111 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 6 +suid 10,0 +) +) +uid 1395,0 +) +*112 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 4 +suid 11,0 +) +) +uid 1397,0 +) +*113 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 12,0 +) +) +uid 1399,0 +) +*114 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 20,0 +) +) +uid 2489,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*115 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *116 (MRCItem +litem &95 +pos 7 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*117 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 1422,0 +) +*118 (MRCItem +litem &97 +pos 1 +dimension 23 +uid 1423,0 +) +*119 (MRCItem +litem &98 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*120 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 1378,0 +) +*121 (MRCItem +litem &109 +pos 3 +dimension 20 +uid 1380,0 +) +*122 (MRCItem +litem &110 +pos 1 +dimension 20 +uid 1382,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 20 +uid 1396,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 20 +uid 1398,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 20 +uid 1400,0 +) +*126 (MRCItem +litem &114 +pos 2 +dimension 20 +uid 2490,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*127 (MRCItem +litem &99 +pos 0 +dimension 20 +uid 1426,0 +) +*128 (MRCItem +litem &101 +pos 1 +dimension 50 +uid 1427,0 +) +*129 (MRCItem +litem &102 +pos 2 +dimension 100 +uid 1428,0 +) +*130 (MRCItem +litem &103 +pos 3 +dimension 50 +uid 1429,0 +) +*131 (MRCItem +litem &104 +pos 4 +dimension 100 +uid 1430,0 +) +*132 (MRCItem +litem &105 +pos 5 +dimension 100 +uid 1431,0 +) +*133 (MRCItem +litem &106 +pos 6 +dimension 50 +uid 1432,0 +) +*134 (MRCItem +litem &107 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *135 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*136 (RefLabelRowHdr +) +*137 (TitleRowHdr +) +*138 (FilterRowHdr +) +*139 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*140 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*141 (GroupColHdr +tm "GroupColHdrMgr" +) +*142 (NameColHdr +tm "GenericNameColHdrMgr" +) +*143 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*144 (InitColHdr +tm "GenericValueColHdrMgr" +) +*145 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*146 (EolColHdr +tm "GenericEolColHdrMgr" +) +*147 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 2600,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*148 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *149 (MRCItem +litem &135 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*150 (MRCItem +litem &136 +pos 0 +dimension 20 +uid 1450,0 +) +*151 (MRCItem +litem &137 +pos 1 +dimension 23 +uid 1451,0 +) +*152 (MRCItem +litem &138 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*153 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 2599,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*154 (MRCItem +litem &139 +pos 0 +dimension 20 +uid 1454,0 +) +*155 (MRCItem +litem &141 +pos 1 +dimension 50 +uid 1455,0 +) +*156 (MRCItem +litem &142 +pos 2 +dimension 100 +uid 1456,0 +) +*157 (MRCItem +litem &143 +pos 3 +dimension 100 +uid 1457,0 +) +*158 (MRCItem +litem &144 +pos 4 +dimension 50 +uid 1458,0 +) +*159 (MRCItem +litem &145 +pos 5 +dimension 50 +uid 1459,0 +) +*160 (MRCItem +litem &146 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/symbol.sb b/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/symbol.sb new file mode 100644 index 0000000..69c0aa7 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_circuit/symbol.sb @@ -0,0 +1,1532 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 2006,0 +) +) +uid 427,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 107,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 109,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 130,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 134,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 426,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 117,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 119,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 121,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 123,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 125,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 127,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 525,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 136,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 139,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 141,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 526,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 145,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 149,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 151,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 153,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 155,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 157,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipeline@counter_circuit/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipeline@counter_circuit/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipeline@counter_circuit" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipelineCounter_circuit" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_circuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:53:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "pipelineCounter_circuit" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipeline@counter_circuit/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../Board/hds/pipelineCounter_circuit/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "PipelinedOperators" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:53:13" +) +(vvPair +variable "unit" +value "pipelineCounter_circuit" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "courier,9,0" +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,19400,37700,20600" +st "reset_N" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,18000,12800" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,10625,48750,11375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +font "courier,8,0" +) +xt "43000,10550,47000,11450" +st "countOut" +ju 2 +blo "47000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,28500,13700" +st "countOut : OUT unsigned (counterBitNb-1 downto 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,21800,35100,22700" +st "Board" +blo "32600,22500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22700,44600,23600" +st "pipelineCounter_circuit" +blo "32600,23400" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,25600,45500,28300" +st "Generic Declarations + +counterBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "59,39,954,780" +viewArea "-1900,-1000,71140,49248" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13700,2500,14600" +st "User:" +blo "0,14400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,2000,14600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 526,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/struct.bd b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/struct.bd new file mode 100644 index 0000000..b22a0e6 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/struct.bd @@ -0,0 +1,3649 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 1071,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1806,0 +) +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 1817,0 +) +(Instance +name "I_cnt" +duLibraryName "PipelinedOperators" +duName "pipelineCounter" +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +mwi 0 +uid 2439,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb4" +number "4" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs2" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_ebs2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:15:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_QuestaSimCompiler" +value "$HDS_PROJECT_DIR/../Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_SvAssistantInvoke" +value "$HDS_PROJECT_DIR/../Board/svassistant" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "pipelineCounter_ebs2" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs2\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:15:42" +) +(vvPair +variable "unit" +value "pipelineCounter_ebs2" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "15000,29625,16500,30375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "16500,30000,17000,30000" +pts [ +"16500,30000" +"17000,30000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10200,29300,14000,30700" +st "clock" +ju 2 +blo "14000,30500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8000,11800,9200" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "15000,41625,16500,42375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "16500,42000,17000,42000" +pts [ +"16500,42000" +"17000,42000" +] +) +] +) +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "8200,41300,14000,42700" +st "reset_N" +ju 2 +blo "14000,42500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 49,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,16000,16200,17200" +st "SIGNAL reset : std_ulogic" +) +) +*5 (Grouping +uid 51,0 +optionalChildren [ +*6 (CommentText +uid 53,0 +shape (Rectangle +uid 54,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,65000,76000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 55,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,65500,59200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 56,0 +shape (Rectangle +uid 57,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,61000,80000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 58,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,61500,76200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 59,0 +shape (Rectangle +uid 60,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,63000,76000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 61,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,63500,59200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 62,0 +shape (Rectangle +uid 63,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,63000,59000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 64,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,63500,55200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 65,0 +shape (Rectangle +uid 66,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,62000,96000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 67,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "76200,62200,90300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 68,0 +shape (Rectangle +uid 69,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,61000,96000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 70,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "80200,61500,80200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 71,0 +shape (Rectangle +uid 72,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,61000,76000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 73,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,61400,70650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 74,0 +shape (Rectangle +uid 75,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,64000,59000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 76,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,64500,55200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 77,0 +shape (Rectangle +uid 78,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,65000,59000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 79,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,65500,55200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 80,0 +shape (Rectangle +uid 81,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,64000,76000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 82,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,64500,59200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 52,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,61000,96000,66000" +) +oxt "14000,66000,55000,71000" +) +*16 (Net +uid 253,0 +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 254,0 +va (VaSet +) +xt "-1000,9200,12500,10400" +st "reset_N : std_ulogic" +) +) +*17 (HdlText +uid 818,0 +optionalChildren [ +*18 (EmbeddedText +uid 823,0 +commentText (CommentText +uid 824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "22000,33000,28000,35000" +) +oxt "0,0,18000,5000" +text (MLText +uid 826,0 +va (VaSet +) +xt "22200,33200,27700,34400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 819,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "21000,32000,29000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 821,0 +va (VaSet +) +xt "21400,36000,24000,37200" +st "eb4" +blo "21400,37000" +tm "HdlTextNameMgr" +) +*20 (Text +uid 822,0 +va (VaSet +) +xt "21400,37000,22800,38200" +st "4" +blo "21400,38000" +tm "HdlTextNumberMgr" +) +] +) +) +*21 (Net +uid 893,0 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 6 +suid 10,0 +) +declText (MLText +uid 894,0 +va (VaSet +) +xt "-1000,17200,18300,18400" +st "SIGNAL resetSnch_N : std_ulogic" +) +) +*22 (Net +uid 895,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 4 +suid 11,0 +) +declText (MLText +uid 896,0 +va (VaSet +) +xt "-1000,14800,16700,16000" +st "SIGNAL logic1 : std_uLogic" +) +) +*23 (Net +uid 897,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 12,0 +) +declText (MLText +uid 898,0 +va (VaSet +) +xt "-1000,18400,17800,19600" +st "SIGNAL resetSynch : std_ulogic" +) +) +*24 (SaComponent +uid 1071,0 +optionalChildren [ +*25 (CptPort +uid 1054,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,33625,34000,34375" +) +tg (CPTG +uid 1056,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1057,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,33300,36700,34700" +st "D" +blo "35000,34500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*26 (CptPort +uid 1058,0 +optionalChildren [ +*27 (FFT +pts [ +"34750,38000" +"34000,38375" +"34000,37625" +] +uid 1062,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,37625,34750,38375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33250,37625,34000,38375" +) +tg (CPTG +uid 1060,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1061,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,37400,38200,38800" +st "CLK" +blo "35000,38600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*28 (CptPort +uid 1063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1064,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,40000,37375,40750" +) +tg (CPTG +uid 1065,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1066,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,38600,39200,40000" +st "CLR" +blo "36000,39800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*29 (CptPort +uid 1067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1068,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,33625,40750,34375" +) +tg (CPTG +uid 1069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1070,0 +va (VaSet +font "Verdana,12,0" +) +xt "37200,33300,39000,34700" +st "Q" +ju 2 +blo "39000,34500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 1072,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,32000,40000,40000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1073,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 1074,0 +va (VaSet +) +xt "38600,39700,42200,40900" +st "Board" +blo "38600,40700" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 1075,0 +va (VaSet +) +xt "38600,40700,41300,41900" +st "DFF" +blo "38600,41700" +tm "CptNameMgr" +) +*32 (Text +uid 1076,0 +va (VaSet +) +xt "38600,41700,41600,42900" +st "I_dff" +blo "38600,42700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1077,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1078,0 +text (MLText +uid 1079,0 +va (VaSet +) +xt "11000,29000,11000,29000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*33 (SaComponent +uid 1806,0 +optionalChildren [ +*34 (CptPort +uid 1797,0 +optionalChildren [ +*35 (Circle +uid 1801,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44092,33546,45000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1798,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43342,33625,44092,34375" +) +tg (CPTG +uid 1799,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1800,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,33500,47700,34900" +st "in1" +blo "45000,34700" +) +s (Text +uid 1815,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45000,34900,45000,34900" +blo "45000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*36 (CptPort +uid 1802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1803,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50000,33625,50750,34375" +) +tg (CPTG +uid 1804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1805,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "46050,33500,49750,34900" +st "out1" +ju 2 +blo "49750,34700" +) +s (Text +uid 1816,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49750,34900,49750,34900" +ju 2 +blo "49750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,31000,50000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1808,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 1809,0 +va (VaSet +) +xt "46460,36700,50060,37900" +st "Board" +blo "46460,37700" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 1810,0 +va (VaSet +) +xt "46460,37700,52860,38900" +st "inverterIn" +blo "46460,38700" +tm "CptNameMgr" +) +*39 (Text +uid 1811,0 +va (VaSet +) +xt "46460,38700,50460,39900" +st "I_inv2" +blo "46460,39700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1812,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1813,0 +text (MLText +uid 1814,0 +va (VaSet +) +xt "45000,37400,45000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*40 (SaComponent +uid 1817,0 +optionalChildren [ +*41 (CptPort +uid 1826,0 +optionalChildren [ +*42 (Circle +uid 1831,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22092,41546,23000,42454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1827,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "21342,41625,22092,42375" +) +tg (CPTG +uid 1828,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1829,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,41500,25700,42900" +st "in1" +blo "23000,42700" +) +s (Text +uid 1830,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23000,42900,23000,42900" +blo "23000,42900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*43 (CptPort +uid 1832,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1833,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28000,41625,28750,42375" +) +tg (CPTG +uid 1834,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1835,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "24050,41500,27750,42900" +st "out1" +ju 2 +blo "27750,42700" +) +s (Text +uid 1836,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "27750,42900,27750,42900" +ju 2 +blo "27750,42900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1818,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,39000,28000,45000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 1819,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 1820,0 +va (VaSet +) +xt "24460,44700,28060,45900" +st "Board" +blo "24460,45700" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 1821,0 +va (VaSet +) +xt "24460,45700,30860,46900" +st "inverterIn" +blo "24460,46700" +tm "CptNameMgr" +) +*46 (Text +uid 1822,0 +va (VaSet +) +xt "24460,46700,28460,47900" +st "I_inv1" +blo "24460,47700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1823,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1824,0 +text (MLText +uid 1825,0 +va (VaSet +) +xt "23000,45400,23000,45400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 2439,0 +optionalChildren [ +*48 (CptPort +uid 2427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "73000,29625,73750,30375" +) +tg (CPTG +uid 2429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2430,0 +va (VaSet +) +xt "66600,29550,72000,30750" +st "countOut" +ju 2 +blo "72000,30550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*49 (CptPort +uid 2431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,29625,57000,30375" +) +tg (CPTG +uid 2433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2434,0 +va (VaSet +) +xt "58000,29400,61400,30600" +st "clock" +blo "58000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*50 (CptPort +uid 2435,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2436,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,31625,57000,32375" +) +tg (CPTG +uid 2437,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2438,0 +va (VaSet +) +xt "58000,31550,61300,32750" +st "reset" +blo "58000,32550" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 2440,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,26000,73000,34000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 2441,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 2442,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,33800,68400,35000" +st "PipelinedOperators" +blo "57600,34800" +tm "BdLibraryNameMgr" +) +*52 (Text +uid 2443,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,34700,66600,35900" +st "pipelineCounter" +blo "57600,35700" +tm "CptNameMgr" +) +*53 (Text +uid 2444,0 +va (VaSet +font "Verdana,9,1" +) +xt "57600,35600,60900,36800" +st "I_cnt" +blo "57600,36600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2445,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2446,0 +text (MLText +uid 2447,0 +va (VaSet +font "Verdana,8,0" +) +xt "57000,37400,76400,39400" +st "bitNb = counterBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*54 (Net +uid 2475,0 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 20,0 +) +declText (MLText +uid 2476,0 +va (VaSet +) +xt "-1000,10400,26300,11600" +st "countOut : unsigned(counterBitNb-1 downto 0)" +) +) +*55 (PortIoOut +uid 2483,0 +shape (CompositeShape +uid 2484,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2485,0 +sl 0 +ro 270 +xt "81500,29625,83000,30375" +) +(Line +uid 2486,0 +sl 0 +ro 270 +xt "81000,30000,81500,30000" +pts [ +"81000,30000" +"81500,30000" +] +) +] +) +tg (WTG +uid 2487,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2488,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "84000,29350,110300,30750" +st "countOut : (counterBitNb-1 downto 0)" +blo "84000,30550" +tm "WireNameMgr" +) +) +) +*56 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "17000,30000,56250,30000" +pts [ +"17000,30000" +"56250,30000" +] +) +start &1 +end &49 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,28600,20800,30000" +st "clock" +blo "17000,29800" +tm "WireNameMgr" +) +) +on &2 +) +*57 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "17000,42000,22092,42000" +pts [ +"17000,42000" +"22092,42000" +] +) +start &3 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,40600,21800,42000" +st "reset_N" +blo "16000,41800" +tm "WireNameMgr" +) +) +on &16 +) +*58 (Wire +uid 245,0 +shape (OrthoPolyLine +uid 246,0 +va (VaSet +vasetType 3 +) +xt "50000,32000,56250,34000" +pts [ +"50000,34000" +"53000,34000" +"53000,32000" +"56250,32000" +] +) +start &36 +end &50 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 251,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,30600,58600,32000" +st "resetSynch" +blo "50000,31800" +tm "WireNameMgr" +) +) +on &23 +) +*59 (Wire +uid 873,0 +shape (OrthoPolyLine +uid 874,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,34000,38000" +pts [ +"32000,38000" +"34000,38000" +] +) +end &26 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 878,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,36600,33800,38000" +st "clock" +blo "30000,37800" +tm "WireNameMgr" +) +) +on &2 +) +*60 (Wire +uid 879,0 +shape (OrthoPolyLine +uid 880,0 +va (VaSet +vasetType 3 +) +xt "28000,40000,37000,42000" +pts [ +"28000,42000" +"37000,42000" +"37000,40000" +] +) +start &43 +end &28 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 882,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,40600,33100,42000" +st "reset" +blo "29000,41800" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 883,0 +shape (OrthoPolyLine +uid 884,0 +va (VaSet +vasetType 3 +) +xt "40000,34000,44092,34000" +pts [ +"40000,34000" +"44092,34000" +] +) +start &29 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,32600,48600,34000" +st "resetSnch_N" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &21 +) +*62 (Wire +uid 887,0 +shape (OrthoPolyLine +uid 888,0 +va (VaSet +vasetType 3 +) +xt "29000,34000,34000,34000" +pts [ +"34000,34000" +"29000,34000" +] +) +start &25 +end &17 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 891,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 892,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,32600,34400,34000" +st "logic1" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &22 +) +*63 (Wire +uid 2477,0 +shape (OrthoPolyLine +uid 2478,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "73750,30000,81000,30000" +pts [ +"73750,30000" +"81000,30000" +] +) +start &48 +end &55 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2481,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2482,0 +va (VaSet +font "Verdana,12,0" +) +xt "76000,28700,82600,30100" +st "countOut" +blo "76000,29900" +tm "WireNameMgr" +) +) +on &54 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 84,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*66 (MLText +uid 86,0 +va (VaSet +) +xt "-3000,1000,14500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 87,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 88,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*68 (Text +uid 89,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*69 (MLText +uid 90,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*70 (Text +uid 91,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*71 (MLText +uid 92,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*72 (Text +uid 93,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*73 (MLText +uid 94,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1921,1080" +viewArea "-4400,-1400,122575,68200" +cachedDiagramExtent "-3000,0,110300,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 2710,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "450,2150,1450,3350" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*75 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*76 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*78 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*79 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*81 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*82 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*84 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*85 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*87 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*88 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*90 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*92 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*94 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6000,4000,7000" +st "Declarations" +blo "-3000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,7000,400,8000" +st "Ports:" +blo "-3000,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,11600,1800,12600" +st "Pre User:" +blo "-3000,12400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-1000,12600,22200,13800" +st "constant pipelineStageNb: positive := 5;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,13800,6000,14800" +st "Diagram Signals:" +blo "-3000,14600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,6000,3000,7000" +st "Post User:" +blo "-3000,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,6000,-3000,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *95 (LEmptyRow +) +uid 1406,0 +optionalChildren [ +*96 (RefLabelRowHdr +) +*97 (TitleRowHdr +) +*98 (FilterRowHdr +) +*99 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*100 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*101 (GroupColHdr +tm "GroupColHdrMgr" +) +*102 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*103 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*104 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*105 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*106 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*107 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*108 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 1377,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2,0 +) +) +uid 1379,0 +) +*110 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 1381,0 +) +*111 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSnch_N" +t "std_ulogic" +o 6 +suid 10,0 +) +) +uid 1395,0 +) +*112 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 4 +suid 11,0 +) +) +uid 1397,0 +) +*113 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 7 +suid 12,0 +) +) +uid 1399,0 +) +*114 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 20,0 +) +) +uid 2489,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1419,0 +optionalChildren [ +*115 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *116 (MRCItem +litem &95 +pos 7 +dimension 20 +) +uid 1421,0 +optionalChildren [ +*117 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 1422,0 +) +*118 (MRCItem +litem &97 +pos 1 +dimension 23 +uid 1423,0 +) +*119 (MRCItem +litem &98 +pos 2 +hidden 1 +dimension 20 +uid 1424,0 +) +*120 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 1378,0 +) +*121 (MRCItem +litem &109 +pos 3 +dimension 20 +uid 1380,0 +) +*122 (MRCItem +litem &110 +pos 1 +dimension 20 +uid 1382,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 20 +uid 1396,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 20 +uid 1398,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 20 +uid 1400,0 +) +*126 (MRCItem +litem &114 +pos 2 +dimension 20 +uid 2490,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1425,0 +optionalChildren [ +*127 (MRCItem +litem &99 +pos 0 +dimension 20 +uid 1426,0 +) +*128 (MRCItem +litem &101 +pos 1 +dimension 50 +uid 1427,0 +) +*129 (MRCItem +litem &102 +pos 2 +dimension 100 +uid 1428,0 +) +*130 (MRCItem +litem &103 +pos 3 +dimension 50 +uid 1429,0 +) +*131 (MRCItem +litem &104 +pos 4 +dimension 100 +uid 1430,0 +) +*132 (MRCItem +litem &105 +pos 5 +dimension 100 +uid 1431,0 +) +*133 (MRCItem +litem &106 +pos 6 +dimension 50 +uid 1432,0 +) +*134 (MRCItem +litem &107 +pos 7 +dimension 80 +uid 1433,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1420,0 +vaOverrides [ +] +) +] +) +uid 1405,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *135 (LEmptyRow +) +uid 1435,0 +optionalChildren [ +*136 (RefLabelRowHdr +) +*137 (TitleRowHdr +) +*138 (FilterRowHdr +) +*139 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*140 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*141 (GroupColHdr +tm "GroupColHdrMgr" +) +*142 (NameColHdr +tm "GenericNameColHdrMgr" +) +*143 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*144 (InitColHdr +tm "GenericValueColHdrMgr" +) +*145 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*146 (EolColHdr +tm "GenericEolColHdrMgr" +) +*147 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 2709,0 +) +] +) +pdm (PhysicalDM +uid 1447,0 +optionalChildren [ +*148 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *149 (MRCItem +litem &135 +pos 1 +dimension 20 +) +uid 1449,0 +optionalChildren [ +*150 (MRCItem +litem &136 +pos 0 +dimension 20 +uid 1450,0 +) +*151 (MRCItem +litem &137 +pos 1 +dimension 23 +uid 1451,0 +) +*152 (MRCItem +litem &138 +pos 2 +hidden 1 +dimension 20 +uid 1452,0 +) +*153 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 2710,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1453,0 +optionalChildren [ +*154 (MRCItem +litem &139 +pos 0 +dimension 20 +uid 1454,0 +) +*155 (MRCItem +litem &141 +pos 1 +dimension 50 +uid 1455,0 +) +*156 (MRCItem +litem &142 +pos 2 +dimension 100 +uid 1456,0 +) +*157 (MRCItem +litem &143 +pos 3 +dimension 100 +uid 1457,0 +) +*158 (MRCItem +litem &144 +pos 4 +dimension 50 +uid 1458,0 +) +*159 (MRCItem +litem &145 +pos 5 +dimension 50 +uid 1459,0 +) +*160 (MRCItem +litem &146 +pos 6 +dimension 80 +uid 1460,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1448,0 +vaOverrides [ +] +) +] +) +uid 1434,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/symbol.sb b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/symbol.sb new file mode 100644 index 0000000..263c0c5 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs2/symbol.sb @@ -0,0 +1,1531 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 164,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 168,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 2006,0 +) +) +uid 427,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 169,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 104,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 107,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 109,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 111,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 130,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 134,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 426,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 105,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 117,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 119,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 121,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 123,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 125,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 127,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 103,0 +vaOverrides [ +] +) +] +) +uid 162,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 574,0 +) +] +) +pdm (PhysicalDM +uid 172,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 136,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 139,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 141,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 143,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 573,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 137,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 145,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 149,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 151,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 153,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 155,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 157,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 159,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 135,0 +vaOverrides [ +] +) +] +) +uid 170,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs2" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_ebs2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:15:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "pipelineCounter_ebs2" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:15:42" +) +(vvPair +variable "unit" +value "pipelineCounter_ebs2" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +) +xt "33000,17400,36400,18600" +st "clock" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14800,12000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 84,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +) +xt "33000,19400,37700,20600" +st "reset_N" +blo "33000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,15300,12900" +st "reset_N : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_N" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,10625,48750,11375" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +va (VaSet +font "Verdana,8,0" +) +xt "42900,10550,47000,11550" +st "countOut" +ju 2 +blo "47000,11350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,26300,13800" +st "countOut : OUT unsigned (counterBitNb-1 downto 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 2006,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,10000,48000,22000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,21800,36100,23000" +st "Board" +blo "32600,22800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "32600,23000,45100,24200" +st "pipelineCounter_ebs2" +blo "32600,24000" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,25600,43900,28600" +st "Generic Declarations + +counterBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "59,39,954,780" +viewArea "-1900,-1000,71140,49248" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9000,7000,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10000,3400,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13700,3000,14700" +st "User:" +blo "0,14500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,9000,7600,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,2000,14600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 574,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/struct.bd b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/struct.bd new file mode 100644 index 0000000..810c09b --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/struct.bd @@ -0,0 +1,4418 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_inv1" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 130,0 +) +(Instance +name "I_dff" +duLibraryName "Board" +duName "DFF" +elements [ +] +mwi 0 +uid 150,0 +) +(Instance +name "I_inv2" +duLibraryName "Board" +duName "inverterIn" +elements [ +] +mwi 0 +uid 176,0 +) +(Instance +name "I_cnt" +duLibraryName "PipelinedOperators" +duName "pipelineCounter" +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +mwi 0 +uid 196,0 +) +(Instance +name "I_pll" +duLibraryName "Lattice" +duName "pll" +elements [ +] +mwi 0 +uid 323,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +(EmbeddedInstance +name "eb6" +number "6" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "pipelineCounter_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:16:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "pipelineCounter_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs3\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:16:01" +) +(vvPair +variable "unit" +value "pipelineCounter_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,4000,59000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "42200,4000,53600,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,0,63000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,0,62200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,2000,59000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "42200,2000,52200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,2000,42000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,2000,40300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,1000,79000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,1200,68600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "63000,0,79000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "63200,0,64800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,0,59000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "43350,400,53650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,3000,42000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,3000,40300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,4000,42000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "38200,4000,40900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,3000,59000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "42200,3000,55000,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "38000,0,79000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "29000,33625,30500,34375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "30500,34000,31000,34000" +pts [ +"30500,34000" +"31000,34000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "22300,33300,28000,34700" +st "reset_n" +ju 2 +blo "28000,34500" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 115,0 +shape (CompositeShape +uid 116,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 117,0 +sl 0 +ro 270 +xt "28000,14625,29500,15375" +) +(Line +uid 118,0 +sl 0 +ro 270 +xt "29500,15000,30000,15000" +pts [ +"29500,15000" +"30000,15000" +] +) +] +) +tg (WTG +uid 119,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "23200,14300,27000,15700" +st "clock" +ju 2 +blo "27000,15500" +tm "WireNameMgr" +) +) +) +*14 (HdlText +uid 121,0 +optionalChildren [ +*15 (EmbeddedText +uid 126,0 +commentText (CommentText +uid 127,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 128,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "36000,25000,42000,27000" +) +oxt "0,0,18000,5000" +text (MLText +uid 129,0 +va (VaSet +) +xt "36200,25200,41700,26400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 122,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "35000,24000,43000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 123,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*16 (Text +uid 124,0 +va (VaSet +) +xt "35400,28000,38000,29200" +st "eb5" +blo "35400,29000" +tm "HdlTextNameMgr" +) +*17 (Text +uid 125,0 +va (VaSet +) +xt "35400,29000,36800,30200" +st "5" +blo "35400,30000" +tm "HdlTextNumberMgr" +) +] +) +) +*18 (SaComponent +uid 130,0 +optionalChildren [ +*19 (CptPort +uid 139,0 +optionalChildren [ +*20 (Circle +uid 144,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36092,33546,37000,34454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "35342,33625,36092,34375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37000,33500,39700,34900" +st "in1" +blo "37000,34700" +) +s (Text +uid 143,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37000,34900,37000,34900" +blo "37000,34900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*21 (CptPort +uid 145,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 146,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42000,33625,42750,34375" +) +tg (CPTG +uid 147,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 148,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "38050,33500,41750,34900" +st "out1" +ju 2 +blo "41750,34700" +) +s (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "41750,34900,41750,34900" +ju 2 +blo "41750,34900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,31000,42000,37000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 132,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 133,0 +va (VaSet +) +xt "38460,36700,42060,37900" +st "Board" +blo "38460,37700" +tm "BdLibraryNameMgr" +) +*23 (Text +uid 134,0 +va (VaSet +) +xt "38460,37700,44860,38900" +st "inverterIn" +blo "38460,38700" +tm "CptNameMgr" +) +*24 (Text +uid 135,0 +va (VaSet +) +xt "38460,38700,42460,39900" +st "I_inv1" +blo "38460,39700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 136,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 137,0 +text (MLText +uid 138,0 +va (VaSet +) +xt "37000,37400,37000,37400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*25 (SaComponent +uid 150,0 +optionalChildren [ +*26 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47250,25625,48000,26375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,25300,50700,26700" +st "D" +blo "49000,26500" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*27 (CptPort +uid 163,0 +optionalChildren [ +*28 (FFT +pts [ +"48750,30000" +"48000,30375" +"48000,29625" +] +uid 167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,29625,48750,30375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 164,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47250,29625,48000,30375" +) +tg (CPTG +uid 165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 166,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,29400,52200,30800" +st "CLK" +blo "49000,30600" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*29 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50625,32000,51375,32750" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +font "Verdana,12,0" +) +xt "50000,30600,53200,32000" +st "CLR" +blo "50000,31800" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*30 (CptPort +uid 172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 173,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "54000,25625,54750,26375" +) +tg (CPTG +uid 174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 175,0 +va (VaSet +font "Verdana,12,0" +) +xt "51200,25300,53000,26700" +st "Q" +ju 2 +blo "53000,26500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 151,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "48000,24000,54000,32000" +) +showPorts 0 +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 152,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 153,0 +va (VaSet +) +xt "52600,31700,56200,32900" +st "Board" +blo "52600,32700" +tm "BdLibraryNameMgr" +) +*32 (Text +uid 154,0 +va (VaSet +) +xt "52600,32700,55300,33900" +st "DFF" +blo "52600,33700" +tm "CptNameMgr" +) +*33 (Text +uid 155,0 +va (VaSet +) +xt "52600,33700,55600,34900" +st "I_dff" +blo "52600,34700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 156,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 157,0 +text (MLText +uid 158,0 +va (VaSet +) +xt "25000,21000,25000,21000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*34 (SaComponent +uid 176,0 +optionalChildren [ +*35 (CptPort +uid 185,0 +optionalChildren [ +*36 (Circle +uid 190,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58092,25546,59000,26454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 186,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "57342,25625,58092,26375" +) +tg (CPTG +uid 187,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 188,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "59000,25500,61700,26900" +st "in1" +blo "59000,26700" +) +s (Text +uid 189,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "59000,26900,59000,26900" +blo "59000,26900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*37 (CptPort +uid 191,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 192,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "64000,25625,64750,26375" +) +tg (CPTG +uid 193,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "60050,25500,63750,26900" +st "out1" +ju 2 +blo "63750,26700" +) +s (Text +uid 195,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "63750,26900,63750,26900" +ju 2 +blo "63750,26900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "59000,23000,64000,29000" +) +showPorts 0 +oxt "23000,4000,28000,10000" +ttg (MlTextGroup +uid 178,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 179,0 +va (VaSet +) +xt "60460,28700,64060,29900" +st "Board" +blo "60460,29700" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 180,0 +va (VaSet +) +xt "60460,29700,66860,30900" +st "inverterIn" +blo "60460,30700" +tm "CptNameMgr" +) +*40 (Text +uid 181,0 +va (VaSet +) +xt "60460,30700,64460,31900" +st "I_inv2" +blo "60460,31700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 182,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 183,0 +text (MLText +uid 184,0 +va (VaSet +) +xt "59000,29400,59000,29400" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*41 (SaComponent +uid 196,0 +optionalChildren [ +*42 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87000,21625,87750,22375" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +) +xt "80600,21550,86000,22750" +st "countOut" +ju 2 +blo "86000,22550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +) +) +) +*43 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,21625,71000,22375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +) +xt "72000,21400,75400,22600" +st "clock" +blo "72000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*44 (CptPort +uid 213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,23625,71000,24375" +) +tg (CPTG +uid 215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 216,0 +va (VaSet +) +xt "72000,23550,75300,24750" +st "reset" +blo "72000,24550" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +] +shape (Rectangle +uid 197,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "71000,18000,87000,26000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 198,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 199,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,25800,82400,27000" +st "PipelinedOperators" +blo "71600,26800" +tm "BdLibraryNameMgr" +) +*46 (Text +uid 200,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,26700,80600,27900" +st "pipelineCounter" +blo "71600,27700" +tm "CptNameMgr" +) +*47 (Text +uid 201,0 +va (VaSet +font "Verdana,9,1" +) +xt "71600,27600,74900,28800" +st "I_cnt" +blo "71600,28600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 202,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 203,0 +text (MLText +uid 204,0 +va (VaSet +font "Verdana,8,0" +) +xt "71000,29400,90400,31400" +st "bitNb = counterBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*48 (PortIoOut +uid 217,0 +shape (CompositeShape +uid 218,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 219,0 +sl 0 +ro 270 +xt "95500,21625,97000,22375" +) +(Line +uid 220,0 +sl 0 +ro 270 +xt "95000,22000,95500,22000" +pts [ +"95000,22000" +"95500,22000" +] +) +] +) +tg (WTG +uid 221,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 222,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "98000,21300,124300,22700" +st "countOut : (counterBitNb-1 downto 0)" +blo "98000,22500" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 259,0 +decl (Decl +n "reset_n" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 260,0 +va (VaSet +) +xt "2000,11600,15600,12800" +st "reset_n : std_ulogic +" +) +) +*50 (Net +uid 261,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 262,0 +va (VaSet +) +xt "2000,21200,21100,22400" +st "SIGNAL resetSynch : std_ulogic +" +) +) +*51 (Net +uid 263,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 264,0 +va (VaSet +) +xt "2000,10400,15100,11600" +st "clock : std_ulogic +" +) +) +*52 (Net +uid 265,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 266,0 +va (VaSet +) +xt "2000,20000,19500,21200" +st "SIGNAL reset : std_ulogic +" +) +) +*53 (Net +uid 269,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 270,0 +va (VaSet +) +xt "2000,18800,20000,20000" +st "SIGNAL logic1 : std_uLogic +" +) +) +*54 (Net +uid 271,0 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 7 +suid 7,0 +) +declText (MLText +uid 272,0 +va (VaSet +) +xt "2000,12800,29600,14000" +st "countOut : unsigned(counterBitNb-1 downto 0) +" +) +) +*55 (SaComponent +uid 323,0 +optionalChildren [ +*56 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,18625,58750,19375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +font "Verdana,8,0" +) +xt "52700,18500,57000,19500" +st "clk10MHz" +ju 2 +blo "57000,19300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*57 (CptPort +uid 291,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 292,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,17625,58750,18375" +) +tg (CPTG +uid 293,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 294,0 +va (VaSet +font "Verdana,8,0" +) +xt "52700,17500,57000,18500" +st "clk50MHz" +ju 2 +blo "57000,18300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*58 (CptPort +uid 295,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 296,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,14625,58750,15375" +) +tg (CPTG +uid 297,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 298,0 +va (VaSet +font "Verdana,8,0" +) +xt "52700,14500,57000,15500" +st "clk60MHz" +ju 2 +blo "57000,15300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +) +*59 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,16625,58750,17375" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 302,0 +va (VaSet +font "Verdana,8,0" +) +xt "52700,16500,57000,17500" +st "clk75MHz" +ju 2 +blo "57000,17300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*60 (CptPort +uid 303,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 304,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,18625,46000,19375" +) +tg (CPTG +uid 305,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 306,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,18500,50200,19500" +st "en10M" +blo "47000,19300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*61 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,17625,46000,18375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,17500,50200,18500" +st "en50M" +blo "47000,18300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*62 (CptPort +uid 311,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 312,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,16625,46000,17375" +) +tg (CPTG +uid 313,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 314,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,16500,50200,17500" +st "en75M" +blo "47000,17300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*63 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,20625,58750,21375" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 318,0 +va (VaSet +font "Verdana,8,0" +) +xt "52800,20500,57000,21500" +st "pllLocked" +ju 2 +blo "57000,21300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*64 (CptPort +uid 319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,14625,46000,15375" +) +tg (CPTG +uid 321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 322,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,14500,51600,15500" +st "clkIn100M" +blo "47000,15300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 324,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,14000,58000,22000" +) +oxt "20000,20000,32000,28000" +ttg (MlTextGroup +uid 325,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 326,0 +va (VaSet +font "Verdana,8,1" +) +xt "54400,11000,58100,12000" +st "Lattice" +blo "54400,11800" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 327,0 +va (VaSet +font "Verdana,8,1" +) +xt "54400,12000,56200,13000" +st "pll" +blo "54400,12800" +tm "CptNameMgr" +) +*67 (Text +uid 328,0 +va (VaSet +font "Verdana,8,1" +) +xt "54400,13000,57200,14000" +st "I_pll" +blo "54400,13800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 329,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 330,0 +text (MLText +uid 331,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,-55200,22000,-55200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 332,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "46250,20250,47750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +*68 (Net +uid 339,0 +lang 11 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 8 +suid 9,0 +) +declText (MLText +uid 340,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17200,19500,18000" +st "SIGNAL clk_sys : std_ulogic +" +) +) +*69 (HdlText +uid 341,0 +optionalChildren [ +*70 (EmbeddedText +uid 346,0 +commentText (CommentText +uid 347,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 348,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "33000,17000,39000,19000" +) +oxt "0,0,18000,5000" +text (MLText +uid 349,0 +va (VaSet +) +xt "33200,17200,38700,18400" +st " +logic0 <= '0'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 342,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "32000,16000,40000,20000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 343,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 344,0 +va (VaSet +) +xt "32400,20000,35000,21200" +st "eb6" +blo "32400,21000" +tm "HdlTextNameMgr" +) +*72 (Text +uid 345,0 +va (VaSet +) +xt "32400,21000,33800,22200" +st "6" +blo "32400,22000" +tm "HdlTextNumberMgr" +) +] +) +) +*73 (Net +uid 370,0 +lang 11 +decl (Decl +n "logic0" +t "std_ulogic" +o 9 +suid 11,0 +) +declText (MLText +uid 371,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18000,19500,18800" +st "SIGNAL logic0 : std_ulogic +" +) +) +*74 (Net +uid 376,0 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 5 +suid 12,0 +) +declText (MLText +uid 377,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22400,19500,23200" +st "SIGNAL resetSynch_n : std_ulogic +" +) +) +*75 (Wire +uid 223,0 +shape (OrthoPolyLine +uid 224,0 +va (VaSet +vasetType 3 +) +xt "43000,26000,48000,26000" +pts [ +"48000,26000" +"43000,26000" +] +) +start &26 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 227,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 228,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,24600,48400,26000" +st "logic1" +blo "44000,25800" +tm "WireNameMgr" +) +) +on &53 +) +*76 (Wire +uid 229,0 +shape (OrthoPolyLine +uid 230,0 +va (VaSet +vasetType 3 +) +xt "46000,30000,48000,30000" +pts [ +"46000,30000" +"48000,30000" +] +) +end &27 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 233,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 234,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,28600,47800,30000" +st "clock" +blo "44000,29800" +tm "WireNameMgr" +) +) +on &51 +) +*77 (Wire +uid 235,0 +shape (OrthoPolyLine +uid 236,0 +va (VaSet +vasetType 3 +) +xt "54000,26000,58092,26000" +pts [ +"54000,26000" +"58092,26000" +] +) +start &30 +end &35 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 237,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 238,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,24600,63200,26000" +st "resetSynch_n" +blo "53000,25800" +tm "WireNameMgr" +) +) +on &74 +) +*78 (Wire +uid 239,0 +shape (OrthoPolyLine +uid 240,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "87750,22000,95000,22000" +pts [ +"87750,22000" +"95000,22000" +] +) +start &42 +end &48 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 241,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 242,0 +va (VaSet +font "Verdana,12,0" +) +xt "90000,20700,96600,22100" +st "countOut" +blo "90000,21900" +tm "WireNameMgr" +) +) +on &54 +) +*79 (Wire +uid 243,0 +shape (OrthoPolyLine +uid 244,0 +va (VaSet +vasetType 3 +) +xt "31000,34000,36092,34000" +pts [ +"31000,34000" +"36092,34000" +] +) +start &12 +end &19 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 245,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 246,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,32600,35700,34000" +st "reset_n" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &49 +) +*80 (Wire +uid 247,0 +shape (OrthoPolyLine +uid 248,0 +va (VaSet +vasetType 3 +) +xt "30000,15000,45250,15000" +pts [ +"30000,15000" +"45250,15000" +] +) +start &13 +end &64 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 249,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 250,0 +va (VaSet +font "Verdana,12,0" +) +xt "30000,13600,33800,15000" +st "clock" +blo "30000,14800" +tm "WireNameMgr" +) +) +on &51 +) +*81 (Wire +uid 251,0 +shape (OrthoPolyLine +uid 252,0 +va (VaSet +vasetType 3 +) +xt "64000,24000,70250,26000" +pts [ +"64000,26000" +"67000,26000" +"67000,24000" +"70250,24000" +] +) +start &37 +end &44 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 253,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 254,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,22600,72600,24000" +st "resetSynch" +blo "64000,23800" +tm "WireNameMgr" +) +) +on &50 +) +*82 (Wire +uid 255,0 +shape (OrthoPolyLine +uid 256,0 +va (VaSet +vasetType 3 +) +xt "42000,32000,51000,34000" +pts [ +"42000,34000" +"51000,34000" +"51000,32000" +] +) +start &21 +end &29 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 257,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 258,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,32600,47100,34000" +st "reset" +blo "43000,33800" +tm "WireNameMgr" +) +) +on &52 +) +*83 (Wire +uid 335,0 +shape (OrthoPolyLine +uid 336,0 +va (VaSet +vasetType 3 +) +xt "58750,15000,70250,22000" +pts [ +"58750,15000" +"67000,15000" +"67000,22000" +"70250,22000" +] +) +start &58 +end &43 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "60750,13800,65350,15000" +st "clk_sys" +blo "60750,14800" +tm "WireNameMgr" +) +) +on &68 +) +*84 (Wire +uid 352,0 +optionalChildren [ +*85 (BdJunction +uid 362,0 +ps "OnConnectorStrategy" +shape (Circle +uid 363,0 +va (VaSet +vasetType 1 +) +xt "41600,16600,42400,17400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 353,0 +va (VaSet +vasetType 3 +) +xt "40000,17000,45250,17000" +pts [ +"40000,17000" +"45250,17000" +] +) +start &69 +end &62 +sat 2 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +) +xt "42000,15800,45800,17000" +st "logic0" +blo "42000,16800" +tm "WireNameMgr" +) +) +on &73 +) +*86 (Wire +uid 358,0 +optionalChildren [ +*87 (BdJunction +uid 368,0 +ps "OnConnectorStrategy" +shape (Circle +uid 369,0 +va (VaSet +vasetType 1 +) +xt "41600,17600,42400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 359,0 +va (VaSet +vasetType 3 +) +xt "42000,17000,45250,19000" +pts [ +"45250,19000" +"42000,19000" +"42000,17000" +] +) +start &60 +end &85 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 360,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 361,0 +va (VaSet +isHidden 1 +) +xt "41250,17800,45050,19000" +st "logic0" +blo "41250,18800" +tm "WireNameMgr" +) +) +on &73 +) +*88 (Wire +uid 364,0 +shape (OrthoPolyLine +uid 365,0 +va (VaSet +vasetType 3 +) +xt "42000,18000,45250,18000" +pts [ +"45250,18000" +"42000,18000" +] +) +start &61 +end &87 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 366,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 367,0 +va (VaSet +isHidden 1 +) +xt "41250,16800,45050,18000" +st "logic0" +blo "41250,17800" +tm "WireNameMgr" +) +) +on &73 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*91 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*93 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*94 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*95 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*96 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*97 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*98 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-300,-5300,97894,48524" +cachedDiagramExtent "0,0,124300,39900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 470,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*100 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*101 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*103 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*104 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*106 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*117 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*119 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,8000,7400,9200" +st "Declarations" +blo "0,9000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,9200,3700,10400" +st "Ports:" +blo "0,10200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,14000,5200,15200" +st "Pre User:" +blo "0,15000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15200,24000,16000" +st "constant pipelineStageNb: positive := 5;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,16000,9500,17200" +st "Diagram Signals:" +blo "0,17000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,8000,6400,9200" +st "Post User:" +blo "0,9000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,8000,0,8000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *120 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*121 (RefLabelRowHdr +) +*122 (TitleRowHdr +) +*123 (FilterRowHdr +) +*124 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*125 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*126 (GroupColHdr +tm "GroupColHdrMgr" +) +*127 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*128 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*129 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*130 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*131 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*132 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 273,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 275,0 +) +*135 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 277,0 +) +*136 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 279,0 +) +*137 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 283,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 7 +suid 7,0 +) +) +uid 285,0 +) +*139 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk_sys" +t "std_ulogic" +o 8 +suid 9,0 +) +) +uid 372,0 +) +*140 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "logic0" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 374,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 5 +suid 12,0 +) +) +uid 378,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*142 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *143 (MRCItem +litem &120 +pos 9 +dimension 20 +) +uid 69,0 +optionalChildren [ +*144 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 70,0 +) +*145 (MRCItem +litem &122 +pos 1 +dimension 23 +uid 71,0 +) +*146 (MRCItem +litem &123 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*147 (MRCItem +litem &133 +pos 0 +dimension 20 +uid 274,0 +) +*148 (MRCItem +litem &134 +pos 1 +dimension 20 +uid 276,0 +) +*149 (MRCItem +litem &135 +pos 2 +dimension 20 +uid 278,0 +) +*150 (MRCItem +litem &136 +pos 3 +dimension 20 +uid 280,0 +) +*151 (MRCItem +litem &137 +pos 4 +dimension 20 +uid 284,0 +) +*152 (MRCItem +litem &138 +pos 5 +dimension 20 +uid 286,0 +) +*153 (MRCItem +litem &139 +pos 6 +dimension 20 +uid 373,0 +) +*154 (MRCItem +litem &140 +pos 7 +dimension 20 +uid 375,0 +) +*155 (MRCItem +litem &141 +pos 8 +dimension 20 +uid 379,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*156 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 74,0 +) +*157 (MRCItem +litem &126 +pos 1 +dimension 50 +uid 75,0 +) +*158 (MRCItem +litem &127 +pos 2 +dimension 100 +uid 76,0 +) +*159 (MRCItem +litem &128 +pos 3 +dimension 50 +uid 77,0 +) +*160 (MRCItem +litem &129 +pos 4 +dimension 100 +uid 78,0 +) +*161 (MRCItem +litem &130 +pos 5 +dimension 100 +uid 79,0 +) +*162 (MRCItem +litem &131 +pos 6 +dimension 50 +uid 80,0 +) +*163 (MRCItem +litem &132 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *164 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*165 (RefLabelRowHdr +) +*166 (TitleRowHdr +) +*167 (FilterRowHdr +) +*168 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*169 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*170 (GroupColHdr +tm "GroupColHdrMgr" +) +*171 (NameColHdr +tm "GenericNameColHdrMgr" +) +*172 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*173 (InitColHdr +tm "GenericValueColHdrMgr" +) +*174 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*175 (EolColHdr +tm "GenericEolColHdrMgr" +) +*176 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 469,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*177 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *178 (MRCItem +litem &164 +pos 1 +dimension 20 +) +uid 97,0 +optionalChildren [ +*179 (MRCItem +litem &165 +pos 0 +dimension 20 +uid 98,0 +) +*180 (MRCItem +litem &166 +pos 1 +dimension 23 +uid 99,0 +) +*181 (MRCItem +litem &167 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*182 (MRCItem +litem &176 +pos 0 +dimension 20 +uid 470,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*183 (MRCItem +litem &168 +pos 0 +dimension 20 +uid 102,0 +) +*184 (MRCItem +litem &170 +pos 1 +dimension 50 +uid 103,0 +) +*185 (MRCItem +litem &171 +pos 2 +dimension 100 +uid 104,0 +) +*186 (MRCItem +litem &172 +pos 3 +dimension 100 +uid 105,0 +) +*187 (MRCItem +litem &173 +pos 4 +dimension 50 +uid 106,0 +) +*188 (MRCItem +litem &174 +pos 5 +dimension 50 +uid 107,0 +) +*189 (MRCItem +litem &175 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/symbol.sb b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/symbol.sb new file mode 100644 index 0000000..efcb031 --- /dev/null +++ b/10-PipelinedOperators/Board/hds/pipeline@counter_ebs3/symbol.sb @@ -0,0 +1,1466 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 3,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 3,0 +) +) +uid 113,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "16" +) +uid 270,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 97,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 98,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 269,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 101,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 102,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 103,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 104,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 105,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 106,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs3" +) +(vvPair +variable "date" +value "08.05.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "08" +) +(vvPair +variable "entity_name" +value "pipelineCounter_ebs3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "08.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:16:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "pipelineCounter_ebs3" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipeline@counter_ebs3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\Board\\hds\\pipelineCounter_ebs3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:16:01" +) +(vvPair +variable "unit" +value "pipelineCounter_ebs3" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,21700,7700" +st "reset_n" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "reset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*61 (CptPort +uid 119,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 120,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 122,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,19800,8700" +st "clock" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 123,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61000,3200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*62 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,6625,45750,7375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +font "Verdana,12,0" +) +xt "22800,6300,44000,7700" +st "countOut : (counterBitNb-1:0)" +ju 2 +blo "44000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,72000,4800" +st "countOut : OUT unsigned (counterBitNb-1 downto 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,45000,26000" +) +oxt "15000,6000,44000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,30300,16000" +st "Board" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,39300,17200" +st "pipelineCounter_ebs3" +blo "26800,17000" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,14500,14400" +st "Generic Declarations + +counterBitNb positive 16 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*77 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 270,0 +activeModelName "Symbol:CDM" +) diff --git a/10-PipelinedOperators/Board/ise/pipelinedOperators.xise b/10-PipelinedOperators/Board/ise/pipelinedOperators.xise new file mode 100644 index 0000000..4c288f1 --- /dev/null +++ b/10-PipelinedOperators/Board/ise/pipelinedOperators.xise @@ -0,0 +1,328 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/10-PipelinedOperators/PipelinedOperators/hdl/parallelAdder_studentVersion.vhd b/10-PipelinedOperators/PipelinedOperators/hdl/parallelAdder_studentVersion.vhd new file mode 100644 index 0000000..63da026 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hdl/parallelAdder_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF parallelAdder IS +BEGIN + + sum <= (others => '0'); + cOut <= '0'; + +END ARCHITECTURE studentVersion; diff --git a/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_noPipe.vhd b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_noPipe.vhd new file mode 100644 index 0000000..8da0b7a --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_noPipe.vhd @@ -0,0 +1,45 @@ +ARCHITECTURE noPipe OF pipelineAdder IS + + constant stageBitNb : positive := sum'length/stageNb; + subtype stageOperandType is signed(stageBitNb-1 downto 0); + type stageOperandArrayType is array(stageNb-1 downto 0) of stageOperandType; + subtype carryType is std_ulogic_vector(stageNb downto 0); + + signal a_int, b_int, sum_int : stageOperandArrayType; + signal carryIn : carryType; + + COMPONENT parallelAdder + GENERIC ( + bitNb : positive := 32 + ); + PORT ( + sum : OUT signed (bitNb-1 DOWNTO 0); + cIn : IN std_ulogic ; + cOut : OUT std_ulogic ; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + + carryIn(0) <= cIn; + + pipeline: for index in stageOperandArrayType'range generate + a_int(index) <= a(index*stageBitNb+stageBitNb-1 downto index*stageBitNb); + b_int(index) <= b(index*stageBitNb+stageBitNb-1 downto index*stageBitNb); + partialAdder: parallelAdder + GENERIC MAP (bitNb => stageBitNb) + PORT MAP ( + a => a_int(index), + b => b_int(index), + sum => sum_int(index), + cIn => carryIn(index), + cOut => carryIn(index+1) + ); + sum(index*stageBitNb+stageBitNb-1 downto index*stageBitNb) <= sum_int(index); + end generate pipeline; + + cOut <= carryIn(carryIn'high); + +END ARCHITECTURE noPipe; diff --git a/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_studentVersion.vhd b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_studentVersion.vhd new file mode 100644 index 0000000..c5475b6 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineAdder_studentVersion.vhd @@ -0,0 +1,7 @@ +ARCHITECTURE studentVersion OF pipelineAdder IS +BEGIN + + sum <= (others => '0'); + cOut <= '0'; + +END ARCHITECTURE studentVersion; diff --git a/10-PipelinedOperators/PipelinedOperators/hdl/pipelineCounter_studentVersion.vhd b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineCounter_studentVersion.vhd new file mode 100644 index 0000000..fff62b4 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hdl/pipelineCounter_studentVersion.vhd @@ -0,0 +1,43 @@ +ARCHITECTURE studentVersion OF pipelineCounter IS + + signal b : signed(countOut'range); + signal sum : signed(countOut'range); + + COMPONENT pipelineAdder + GENERIC ( + bitNb : positive := 32; + stageNb : positive := 4 + ); + PORT ( + reset : IN std_ulogic; + clock : IN std_ulogic; + cIn : IN std_ulogic; + a : IN signed (bitNb-1 DOWNTO 0); + b : IN signed (bitNb-1 DOWNTO 0); + sum : OUT signed (bitNb-1 DOWNTO 0); + cOut : OUT std_ulogic + ); + END COMPONENT; + +BEGIN + + b <= to_signed(1, b'length); + + adder: pipelineAdder + GENERIC MAP ( + bitNb => countOut'length, + stageNb => stageNb + ) + PORT MAP ( + reset => reset, + clock => clock, + cIn => '0', + a => sum, + b => b, + sum => sum, + cOut => open + ); + + countOut <= unsigned(sum); + +END ARCHITECTURE studentVersion; diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_parallelAdder_studentVersion.vhd._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_parallelAdder_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_parallelAdder_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_paralleladder_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_paralleladder_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_paralleladder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_noPipe.vhd._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_noPipe.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_noPipe.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_studentVersion.vhd._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineAdder_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineCounter_studentVersion.vhd._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineCounter_studentVersion.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineCounter_studentVersion.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineadder_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineadder_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelineadder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelinecounter_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelinecounter_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/.hdlsidedata/_pipelinecounter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/10-PipelinedOperators/PipelinedOperators/hds/_paralleladder._epf b/10-PipelinedOperators/PipelinedOperators/hds/_paralleladder._epf new file mode 100644 index 0000000..da7dc94 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/_paralleladder._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom parallelAdder_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion diff --git a/10-PipelinedOperators/PipelinedOperators/hds/_pipelineadder._epf b/10-PipelinedOperators/PipelinedOperators/hds/_pipelineadder._epf new file mode 100644 index 0000000..d791dd8 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/_pipelineadder._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipelineAdder_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/_pipelinecounter._epf b/10-PipelinedOperators/PipelinedOperators/hds/_pipelinecounter._epf new file mode 100644 index 0000000..a214d7f --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/_pipelinecounter._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipelineCounter_studentVersion.vhd +DEFAULT_ARCHITECTURE atom studentVersion +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/PipelinedOperators/hds/parallel@adder/symbol.sb b/10-PipelinedOperators/PipelinedOperators/hds/parallel@adder/symbol.sb new file mode 100644 index 0000000..a824846 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/parallel@adder/symbol.sb @@ -0,0 +1,1603 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 161,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +uid 162,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +uid 197,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 4 +suid 2006,0 +) +) +uid 209,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 5 +suid 2007,0 +) +) +uid 211,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 100,0 +optionalChildren [ +*21 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 198,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 210,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*29 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 109,0 +) +*30 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 117,0 +) +*33 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 121,0 +) +*35 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 123,0 +) +*36 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "32" +) +uid 155,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 146,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 148,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 150,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 152,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallel@adder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallel@adder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallel@adder" +) +(vvPair +variable "d_logical" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallelAdder" +) +(vvPair +variable "date" +value "25.04.2012" +) +(vvPair +variable "day" +value "mer." +) +(vvPair +variable "day_long" +value "mercredi" +) +(vvPair +variable "dd" +value "25" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "parallelAdder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "pipelinedOperators" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SEm/pipelinedOperators/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "parallelAdder" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallel@adder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Labs\\SEm_labs\\Prefs\\..\\pipelinedOperators\\hds\\parallelAdder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-pipelinedOperators" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:03:50" +) +(vvPair +variable "unit" +value "parallelAdder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2012" +) +(vvPair +variable "yy" +value "12" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,23000,40375,23750" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,21800,41800,23000" +st "sum" +blo "39000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11000,24500,11800" +st "sum : OUT signed (bitNb-1 downto 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45334,18625,46084,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "Verdana,9,0" +) +xt "41934,18400,44334,19600" +st "cIn" +ju 2 +blo "44334,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,17000,12600" +st "cIn : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*66 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33917,18625,34667,19375" +) +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 195,0 +va (VaSet +font "Verdana,9,0" +) +xt "35667,18400,38867,19600" +st "cOut" +blo "35667,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,17000,13400" +st "cOut : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*67 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 238,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +font "Verdana,9,0" +) +xt "35000,15000,36300,16200" +st "a" +ju 2 +blo "36300,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,24500,14200" +st "a : IN signed (bitNb-1 downto 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 4 +suid 2006,0 +) +) +) +*68 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,14250,44375,15000" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "Verdana,9,0" +) +xt "43000,15000,44300,16200" +st "b" +ju 2 +blo "44300,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,23000,15000" +st "b : IN signed (bitNb-1 downto 0) +" +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 5 +suid 2007,0 +) +) +) +] +shape (Alu +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "41600,22800,52300,24000" +st "pipelinedOperators" +blo "41600,23800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "41600,24000,49500,25200" +st "parallelAdder" +blo "41600,25000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "42000,26600,53500,29000" +st "Generic Declarations + +bitNb positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "104,37,1389,882" +viewArea "-1053,-1053,76925,50372" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,15000,2400,16000" +st "User:" +blo "0,15800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16000,2000,16000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 261,0 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/PipelinedOperators/hds/pipeline@adder/symbol.sb b/10-PipelinedOperators/PipelinedOperators/hds/pipeline@adder/symbol.sb new file mode 100644 index 0000000..7c08fde --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/pipeline@adder/symbol.sb @@ -0,0 +1,1795 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 161,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +uid 162,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 197,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 6 +suid 2006,0 +) +) +uid 209,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 7 +suid 2007,0 +) +) +uid 211,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 100,0 +optionalChildren [ +*23 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 103,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*26 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 127,0 +) +*28 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 128,0 +) +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 198,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 210,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 212,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*33 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 109,0 +) +*34 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 115,0 +) +*36 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 117,0 +) +*37 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 119,0 +) +*38 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 121,0 +) +*39 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 123,0 +) +*40 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "" +) +uid 155,0 +) +*54 (LogGeneric +generic (GiElement +name "stageNb" +type "positive" +value "" +) +uid 262,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 134,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 136,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 156,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 263,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 140,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 144,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 146,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 148,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 150,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 152,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@adder/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@adder/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@adder" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipelineAdder" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineAdder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:50:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "pipelineAdder" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@adder/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipelineAdder/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "PipelinedOperators" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:50:15" +) +(vvPair +variable "unit" +value "pipelineAdder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,23000,40375,23750" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "39000,21800,40500,22700" +st "sum" +blo "39000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,23500,11900" +st "sum : OUT signed (bitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,20625,36000,21375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "37000,20400,39500,21300" +st "clock" +blo "37000,21100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11800,16500,12700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35917,21625,36667,22375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "37667,21400,40167,22300" +st "reset" +blo "37667,22100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,16500,13500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45334,18625,46084,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +font "courier,9,0" +) +xt "42834,18400,44334,19300" +st "cIn" +ju 2 +blo "44334,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,16500,14300" +st "cIn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33917,18625,34667,19375" +) +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 195,0 +va (VaSet +font "courier,9,0" +) +xt "35667,18400,37667,19300" +st "cOut" +blo "35667,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14200,16500,15100" +st "cOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +*75 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 238,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +font "courier,9,0" +) +xt "35800,15000,36300,15900" +st "a" +ju 2 +blo "36300,15700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,23500,15900" +st "a : IN signed (bitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 6 +suid 2006,0 +) +) +) +*76 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,14250,44375,15000" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "courier,9,0" +) +xt "43800,15000,44300,15900" +st "b" +ju 2 +blo "44300,15700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15800,22500,16700" +st "b : IN signed (bitNb-1 downto 0)" +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 7 +suid 2007,0 +) +) +) +] +shape (Alu +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "41600,22800,51100,23700" +st "PipelinedOperators" +blo "41600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "41600,23700,48600,24600" +st "pipelineAdder" +blo "41600,24400" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,26600,52500,30200" +st "Generic Declarations + +bitNb positive +stageNb positive +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "" +) +(GiElement +name "stageNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "96,43,1381,888" +viewArea "-1100,-1100,80640,44125" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10000,3000,10900" +st "Ports:" +blo "0,10700" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16600,2500,17500" +st "User:" +blo "0,17300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 286,0 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/PipelinedOperators/hds/pipeline@counter/symbol.sb b/10-PipelinedOperators/PipelinedOperators/hds/pipeline@counter/symbol.sb new file mode 100644 index 0000000..593b289 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators/hds/pipeline@counter/symbol.sb @@ -0,0 +1,1547 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +uid 162,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 100,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 103,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 105,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 109,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 113,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 115,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 117,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 119,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 121,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 123,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "" +) +uid 155,0 +) +*46 (LogGeneric +generic (GiElement +name "stageNb" +type "positive" +value "" +) +uid 262,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 134,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 136,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 156,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 263,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 140,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 146,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 148,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 150,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 152,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipelineCounter" +) +(vvPair +variable "date" +value "03/11/19" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/11/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "08:50:00" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\SEm_curves\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "pipelineCounter" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipeline@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/SEm/SEm_labs/VHDL/PipelinedOperators/Prefs/../PipelinedOperators/hds/pipelineCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "PipelinedOperators" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:50:00" +) +(vvPair +variable "unit" +value "pipelineCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,18625,48750,19375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +font "courier,9,0" +) +xt "43000,18550,47000,19450" +st "countOut" +ju 2 +blo "47000,19250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,26000,11700" +st "countOut : OUT unsigned (bitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 83,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 88,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 86,0 +va (VaSet +font "courier,9,0" +) +xt "33000,18400,35500,19300" +st "clock" +blo "33000,19100" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,18000,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "33000,20550,35500,21450" +st "reset" +blo "33000,21250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,17000,13500" +st "reset : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 312,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,48000,23000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32600,22800,42100,23700" +st "PipelinedOperators" +blo "32600,23500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32600,23700,40600,24600" +st "pipelineCounter" +blo "32600,24400" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,26400,42500,30000" +st "Generic Declarations + +bitNb positive +stageNb positive +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "" +) +(GiElement +name "stageNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 16,0 +optionalChildren [ +*67 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*72 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*79 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "80,51,1197,899" +viewArea "-1100,-1100,73592,47038" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9000,6500,9900" +st "Declarations" +blo "0,9700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9900,3000,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9000,7500,9900" +st "Internal User:" +blo "0,9700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9000,0,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 335,0 +activeModelName "Symbol" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hdl/parallelAdder_tester_test.vhd b/10-PipelinedOperators/PipelinedOperators_test/hdl/parallelAdder_tester_test.vhd new file mode 100644 index 0000000..ce32375 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hdl/parallelAdder_tester_test.vhd @@ -0,0 +1,48 @@ +ARCHITECTURE test OF parallelAdder_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + + constant aMax: signed(a'range) := (a'high => '0', others => '1'); + constant aIncr: signed(a'range) := shift_right(aMax, 4)+1; + constant bIncr: signed(b'range) := shift_right(aMax, 4)+1; + signal a_int, b_int, sum_int: signed(a'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + sReset <= '1', '0' after 2*clockPeriod; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + a_int <= (a_int'high => '1', others => '0'); + b_int <= (b_int'high => '1', others => '0'); + wait until sReset = '0'; + -- data values + while a_int < aMax-aIncr loop + a_int <= a_int + aIncr; + b_int <= b_int + bIncr; + wait until rising_edge(sClock); + assert sum = a_int + b_int + report "sum is wrong !" + severity error; + end loop; + -- stop simulation + assert false + report cr & cr & + "End of Simulation" & + cr + severity failure; + wait; + end process; + + cIn <= '0'; + a <= a_int; + b <= b_int; + sum_int <= a_int + b_int; + +END ARCHITECTURE test; diff --git a/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineAdder_tester_test.vhd b/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineAdder_tester_test.vhd new file mode 100644 index 0000000..2388559 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineAdder_tester_test.vhd @@ -0,0 +1,68 @@ +ARCHITECTURE test OF pipelineAdder_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + signal sReset: std_uLogic := '1'; + + constant pipeDelay: positive := 4; + constant aMax: signed(a'range) := (a'high => '0', others => '1'); + constant aIncr: signed(a'range) := shift_right(aMax, 3)+1 + 32; + constant bIncr: signed(b'range) := shift_right(aMax, 3)+1 + 32; + signal a_int, b_int, sumNoPipe: signed(a'range); + + type sumArrayType is array(1 to stageNb-1) of signed(sumNoPipe'range); + signal sumArray : sumArrayType := (others => (others => '0')); + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + sReset <= '1', '0' after 2*clockPeriod; + reset <= sReset; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + a_int <= (a_int'high => '1', others => '0'); + b_int <= (b_int'high => '1', others => '0'); + wait until sReset = '0'; + -- data values + while a_int < aMax-aIncr loop + a_int <= a_int + aIncr; + b_int <= b_int + bIncr; + wait until rising_edge(sClock); + end loop; + -- stop simulation + for index in 1 to pipeDelay loop + wait until rising_edge(sClock); + end loop; + assert false + report cr & cr & + "End of Simulation" & + cr + severity failure; + wait; + end process; + + cIn <= '0'; + a <= a_int; + b <= b_int; + sumNoPipe <= a_int + b_int; + + ------------------------------------------------------------------------------ + -- delay sum + process(sClock) + begin + if rising_edge(sClock) then + sumArray(1) <= sumNoPipe; + sumArray(2 to sumArray'length) <= sumArray(1 to sumArray'length-1); + assert sum = sumArray(sumArray'length-1) + report "sum is wrong !" + severity error; + end if; + end process; + +END ARCHITECTURE test; diff --git a/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineCounter_tester_test.vhd b/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineCounter_tester_test.vhd new file mode 100644 index 0000000..b6f992b --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hdl/pipelineCounter_tester_test.vhd @@ -0,0 +1,24 @@ +-- +-- VHDL Architecture PipelinedOperators_test.PipelineCounter_tester.test +-- +-- Created: +-- by - zas.UNKNOWN (ZELL) +-- at - 16:00:38 02/20/2020 +-- +-- using Mentor Graphics HDL Designer(TM) 2019.2 (Build 5) +-- +ARCHITECTURE test OF PipelineCounter_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- clock and reset + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + reset <= '1', '0' after 2*clockPeriod; + +END ARCHITECTURE test; + diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_parallelAdder_tester_test.vhd._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_parallelAdder_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_parallelAdder_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_struct.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tester_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_paralleladder_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineAdder_tester_test.vhd._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineAdder_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineAdder_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineCounter_tester_test.vhd._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineCounter_tester_test.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineCounter_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_struct.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tester_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelineadder_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_struct.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tester_entity.vhg._fpf b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tester_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/.hdlsidedata/_pipelinecounter_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/@d@a@c_tester_1/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/@d@a@c_tester_1/interface new file mode 100644 index 0000000..b372831 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/@d@a@c_tester_1/interface @@ -0,0 +1,1035 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\@d@a@c_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\DAC_tester" +) +(vvPair +variable "date" +value "01/30/06" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "30" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE0787" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "month" +value "Jan" +) +(vvPair +variable "month_long" +value "January" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\@d@a@c_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\DAC_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "12:48:31" +) +(vvPair +variable "unit" +value "DAC_tester" +) +(vvPair +variable "user" +value "userE" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2006" +) +(vvPair +variable "yy" +value "06" +) +] +) +uid 71,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,5250,24375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 180,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "23400,7000,24600,9700" +st "clock" +ju 2 +blo "24400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 181,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62000,4400" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 183,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "82625,5250,83375,6000" +) +n "lowpassOut" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 185,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "82400,7000,83600,24900" +st "lowpassOut : (signalBitNb-1:0)" +ju 2 +blo "83400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 186,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "lowpassOut : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*4 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +n "parallelIn" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +m 1 +o 4 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 190,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "19400,7000,20600,23800" +st "parallelIn : (signalBitNb-1:0)" +ju 2 +blo "20400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "parallelIn : OUT unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*5 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,5250,26375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 195,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "25400,7000,26600,9600" +st "reset" +ju 2 +blo "26400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "reset : OUT std_ulogic +" +) +) +*6 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53625,5250,54375,6000" +) +n "serialOut" +t "std_ulogic" +o 5 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "53400,7000,54600,11700" +st "serialOut" +ju 2 +blo "54400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,62000,3600" +st "serialOut : IN std_ulogic ; +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,90000,14000" +) +oxt "15000,6000,66000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "48900,8800,56100,10000" +st "Curves_test" +blo "48900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "48900,10000,55900,11200" +st "DAC_tester" +blo "48900,11000" +) +) +gi *7 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "27500,6000,41000,8400" +st "Generic Declarations + +signalBitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*8 (Grouping +uid 16,0 +optionalChildren [ +*9 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,45500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*14 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*17 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48700,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *19 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*20 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*21 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "DAC_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *22 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *23 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44000,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 201,0 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/@pipeline@counter_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/@pipeline@counter_tester/interface new file mode 100644 index 0000000..fddb104 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/@pipeline@counter_tester/interface @@ -0,0 +1,1492 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 261,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 8,0 +) +) +uid 263,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 9,0 +) +) +uid 265,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 262,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 264,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 266,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "counterBitNb" +type "integer" +value "" +) +uid 152,0 +) +*46 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 221,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 117,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 118,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 119,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 153,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 222,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 122,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 123,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 124,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 125,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 126,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 127,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\@pipeline@counter_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\@pipeline@counter_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\@pipeline@counter_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\PipelineCounter_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "PipelineCounter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:21:00" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "PipelineCounter_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\@pipeline@counter_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\PipelineCounter_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:21:00" +) +(vvPair +variable "unit" +value "PipelineCounter_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 247,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,5250,24375,6000" +) +tg (CPTG +uid 248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 249,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "23300,7000,24700,10800" +st "clock" +ju 2 +blo "24500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 250,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*63 (CptPort +uid 251,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 252,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47625,5250,48375,6000" +) +tg (CPTG +uid 253,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 254,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "47300,7000,48700,13600" +st "countOut" +ju 2 +blo "48500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 255,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,73000,3200" +st "countOut : IN unsigned (counterBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 8,0 +) +) +) +*64 (CptPort +uid 256,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 257,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,5250,26375,6000" +) +tg (CPTG +uid 258,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 259,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "25300,7000,26700,11100" +st "reset" +ju 2 +blo "26500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 260,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60000,4800" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,58000,13000" +) +oxt "15000,6000,58000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "29450,8300,43550,9500" +st "PipelinedOperators_test" +blo "29450,9300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "29450,9500,42850,10700" +st "PipelineCounter_tester" +blo "29450,10500" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "7000,5500,21000,8700" +st "Generic Declarations + +counterBitNb integer +clockFrequency real " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "integer" +value "" +) +(GiElement +name "clockFrequency" +type "real" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 16,0 +optionalChildren [ +*67 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,50000,48000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "31200,50000,42600,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,46000,52000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,46000,51200,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,48000,48000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "31200,48000,41200,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,31000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,29300,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,47000,68000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,47200,57600,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,46000,68000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "52200,46000,53800,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,48000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32350,46400,42650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,49000,31000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,49000,29300,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,50000,31000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,50000,29900,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,49000,48000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "31200,49000,40700,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "27000,46000,68000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*79 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "86,260,1103,950" +viewArea "-500,-500,70510,47560" +cachedDiagramExtent "0,0,73000,51000" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PipelinedOperators_test" +entityName "pipelineCounter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 266,0 +activeModelName "Symbol:GEN" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tb._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tb._epf new file mode 100644 index 0000000..f844456 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom parallel@adder_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 0 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tester._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tester._epf new file mode 100644 index 0000000..d3edd4f --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_paralleladder_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom parallelAdder_tester_test.vhd diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tb._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tb._epf new file mode 100644 index 0000000..598ecd8 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipeline@adder_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tester._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tester._epf new file mode 100644 index 0000000..af4309e --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelineadder_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom pipelineAdder_tester_test.vhd diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tb._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tb._epf new file mode 100644 index 0000000..19636be --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom pipeline@counter_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tester._epf b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tester._epf new file mode 100644 index 0000000..cf9322b --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/_pipelinecounter_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom pipelineCounter_tester_test.vhd diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/beamer@periph@blanking_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/beamer@periph@blanking_tester/interface new file mode 100644 index 0000000..49eb239 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/beamer@periph@blanking_tester/interface @@ -0,0 +1,1408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester" +) +(vvPair +variable "date" +value "04/24/08" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1635" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\beamer@periph@blanking_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\beamerPeriphBlanking_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "13:33:53" +) +(vvPair +variable "unit" +value "beamerPeriphBlanking_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "addr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +m 1 +o 11 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,22200" +st "addr : (addressBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,73500,6000" +st "addr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +) +*3 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "40400,7000,41600,9700" +st "clock" +ju 2 +blo "41400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61500,6800" +st "clock : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +n "cs" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "36400,7000,37600,8200" +st "cs" +ju 2 +blo "37400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,61500,7600" +st "cs : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +m 1 +o 10 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,21500" +st "dataIn : (dataBitNb-1:0)" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,76500,8400" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*6 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "dataOut" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,22300" +st "dataOut : (dataBitNb-1:0)" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,76000,2800" +st "dataOut : IN std_logic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +n "outX" +t "std_ulogic" +o 8 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "78400,7000,79600,9300" +st "outX" +ju 2 +blo "79400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61500,3600" +st "outX : IN std_ulogic ; +" +) +) +*8 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +n "outY" +t "std_ulogic" +o 7 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "76400,7000,77600,9300" +st "outY" +ju 2 +blo "77400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "outY : IN std_ulogic ; +" +) +) +*9 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +n "outZ" +t "std_ulogic" +o 15 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "74400,7000,75600,9300" +st "outZ" +ju 2 +blo "75400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61500,5200" +st "outZ : IN std_ulogic ; +" +) +) +*10 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "rd" +t "std_ulogic" +m 1 +o 6 +r 9 +d 0 +s 0 +sf 1 +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,8000" +st "rd" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,61500,9200" +st "rd : OUT std_ulogic ; +" +) +) +*11 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 10 +d 0 +s 0 +sf 1 +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "42400,7000,43600,9600" +st "reset" +ju 2 +blo "43400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,61500,10000" +st "reset : OUT std_ulogic ; +" +) +) +*12 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +n "selSinCos" +t "std_ulogic" +m 1 +o 14 +r 11 +d 0 +s 0 +sf 1 +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "70400,7000,71600,12100" +st "selSinCos" +ju 2 +blo "71400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,61500,10800" +st "selSinCos : OUT std_ulogic ; +" +) +) +*13 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +n "wrH" +t "std_ulogic" +m 1 +o 5 +r 12 +d 0 +s 0 +sf 1 +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "32400,7000,33600,8900" +st "wrH" +ju 2 +blo "33400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,61500,11600" +st "wrH : OUT std_ulogic ; +" +) +) +*14 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +n "wrL" +t "std_ulogic" +m 1 +o 4 +r 13 +d 0 +s 0 +sf 1 +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "34400,7000,35600,8700" +st "wrL" +ju 2 +blo "35400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,60500,12400" +st "wrL : OUT std_ulogic +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,87000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,8800,49850,10000" +st "Curves_test" +blo "42650,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "42650,10000,59350,11200" +st "beamerPeriphBlanking_tester" +blo "42650,11000" +) +) +gi *15 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6000,40000,9200" +st "Generic Declarations + +dataBitNb positive 16 +addressBitNb positive 24 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*16 (Grouping +uid 16,0 +optionalChildren [ +*17 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*22 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*23 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*24 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*25 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*26 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52100,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *27 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*29 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "beamerPeriphBlanking_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *30 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *31 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,12400,44000,13400" +st "User:" +blo "42000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13400,44000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 115,0 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/struct.bd b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/struct.bd new file mode 100644 index 0000000..4e1760f --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/struct.bd @@ -0,0 +1,2791 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "pipelinedOperators_test" +duName "parallelAdder_tester" +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 2178,0 +) +(Instance +name "I_DUT" +duLibraryName "pipelinedOperators" +duName "parallelAdder" +elements [ +(GiElement +name "bitNb" +type "positive" +value "adderBitNb" +) +] +mwi 0 +uid 2425,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "parallelAdder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:19:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "parallelAdder_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:19:47" +) +(vvPair +variable "unit" +value "parallelAdder_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 2178,0 +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "19000,29000,59000,37000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2180,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 2181,0 +va (VaSet +) +xt "18850,37200,30850,38100" +st "pipelinedOperators_test" +blo "18850,37900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 2182,0 +va (VaSet +) +xt "18850,38400,29350,39300" +st "parallelAdder_tester" +blo "18850,39100" +tm "BlkNameMgr" +) +*15 (Text +uid 2183,0 +va (VaSet +) +xt "18850,39600,22850,40500" +st "I_tester" +blo "18850,40300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2184,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2185,0 +text (MLText +uid 2186,0 +va (VaSet +) +xt "19000,41000,45200,43400" +st "adderBitNb = adderBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*16 (Net +uid 2240,0 +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 20,0 +) +declText (MLText +uid 2241,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14800,13500,15800" +st "SIGNAL cOut : std_ulogic +" +) +) +*17 (Net +uid 2248,0 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 21,0 +) +declText (MLText +uid 2249,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13800,13200,14800" +st "SIGNAL cIn : std_ulogic +" +) +) +*18 (Net +uid 2256,0 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +declText (MLText +uid 2257,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,23100,13800" +st "SIGNAL b : signed(adderBitNb-1 DOWNTO 0) +" +) +) +*19 (Net +uid 2264,0 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 23,0 +) +declText (MLText +uid 2265,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11800,23100,12800" +st "SIGNAL a : signed(adderBitNb-1 DOWNTO 0) +" +) +) +*20 (Net +uid 2284,0 +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 5 +suid 24,0 +) +declText (MLText +uid 2285,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15800,23700,16800" +st "SIGNAL sum : signed(adderBitNb-1 DOWNTO 0) +" +) +) +*21 (SaComponent +uid 2425,0 +optionalChildren [ +*22 (CptPort +uid 2405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2406,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21000,37375,21750" +) +tg (CPTG +uid 2407,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2408,0 +va (VaSet +) +xt "36000,19800,37500,20700" +st "sum" +blo "36000,20500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*23 (CptPort +uid 2409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2410,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42334,16625,43084,17375" +) +tg (CPTG +uid 2411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2412,0 +va (VaSet +) +xt "39834,16400,41334,17300" +st "cIn" +ju 2 +blo "41334,17100" +) +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*24 (CptPort +uid 2413,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2414,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30917,16625,31667,17375" +) +tg (CPTG +uid 2415,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2416,0 +va (VaSet +) +xt "32667,16400,34667,17300" +st "cOut" +blo "32667,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 2005,0 +) +) +) +*25 (CptPort +uid 2417,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2418,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,12250,33375,13000" +) +tg (CPTG +uid 2419,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2420,0 +va (VaSet +) +xt "32800,13000,33300,13900" +st "a" +ju 2 +blo "33300,13700" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 4 +suid 2006,0 +) +) +) +*26 (CptPort +uid 2421,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2422,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,12250,41375,13000" +) +tg (CPTG +uid 2423,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2424,0 +va (VaSet +) +xt "40800,13000,41300,13900" +st "b" +ju 2 +blo "41300,13700" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 5 +suid 2007,0 +) +) +) +] +shape (Alu +uid 2426,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,13000,45000,21000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 2427,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 2428,0 +va (VaSet +font "Verdana,9,1" +) +xt "38600,20800,48100,21700" +st "pipelinedOperators" +blo "38600,21500" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 2429,0 +va (VaSet +font "Verdana,9,1" +) +xt "38600,22000,45600,22900" +st "parallelAdder" +blo "38600,22700" +tm "CptNameMgr" +) +*29 (Text +uid 2430,0 +va (VaSet +font "Verdana,9,1" +) +xt "38600,23200,41100,24100" +st "I_DUT" +blo "38600,23900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2431,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2432,0 +text (MLText +uid 2433,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,24600,54600,25600" +st "bitNb = adderBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "adderBitNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*30 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "27000,17000,30917,29000" +pts [ +"30917,17000" +"27000,17000" +"27000,29000" +] +) +start &24 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2246,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2247,0 +va (VaSet +font "Verdana,12,0" +) +xt "25917,15600,29617,17000" +st "cOut" +blo "25917,16800" +tm "WireNameMgr" +) +) +on &16 +) +*31 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "43084,17000,47000,29000" +pts [ +"43084,17000" +"47000,17000" +"47000,29000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2254,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2255,0 +va (VaSet +font "Verdana,12,0" +) +xt "45084,15600,47784,17000" +st "cIn" +blo "45084,16800" +tm "WireNameMgr" +) +) +on &17 +) +*32 (Wire +uid 2258,0 +shape (OrthoPolyLine +uid 2259,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,9000,49000,29000" +pts [ +"41000,12250" +"41000,9000" +"49000,9000" +"49000,29000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2262,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2263,0 +va (VaSet +font "Verdana,12,0" +) +xt "39500,9350,41100,10750" +st "b" +blo "39500,10550" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 2266,0 +shape (OrthoPolyLine +uid 2267,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,7000,51000,29000" +pts [ +"33000,12250" +"33000,7000" +"51000,7000" +"51000,29000" +] +) +start &25 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2270,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2271,0 +va (VaSet +font "Verdana,12,0" +) +xt "31500,9350,33100,10750" +st "a" +blo "31500,10550" +tm "WireNameMgr" +) +) +on &19 +) +*34 (Wire +uid 2286,0 +shape (OrthoPolyLine +uid 2287,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,21750,37000,29000" +pts [ +"37000,21750" +"37000,29000" +] +) +start &22 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2290,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2291,0 +va (VaSet +font "Verdana,12,0" +) +xt "34000,25600,37400,27000" +st "sum" +blo "34000,26800" +tm "WireNameMgr" +) +) +on &20 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *35 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*37 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*39 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*40 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*42 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*44 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1065,-1065,93672,50408" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2631,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*49 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*50 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*55 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*56 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*58 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*59 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*63 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*65 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5200,6500,6100" +st "Declarations" +blo "0,5900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6100,3000,7000" +st "Ports:" +blo "0,6800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,4500,7900" +st "Pre User:" +blo "0,7700" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7900,22100,10900" +st "constant adderBitNb: positive := 8; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10900,8500,11800" +st "Diagram Signals:" +blo "0,11600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5200,5500,6100" +st "Post User:" +blo "0,5900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5200,0,5200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 20,0 +) +) +uid 2276,0 +) +*80 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 21,0 +) +) +uid 2278,0 +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +uid 2280,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 23,0 +) +) +uid 2282,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 5 +suid 24,0 +) +) +uid 2292,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &66 +pos 5 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*86 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 1337,0 +) +*87 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 1338,0 +) +*88 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 2277,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 2279,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 2281,0 +) +*92 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 2283,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 2293,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*94 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 1341,0 +) +*95 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 1342,0 +) +*96 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 1343,0 +) +*97 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 1344,0 +) +*98 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 1345,0 +) +*99 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 1346,0 +) +*100 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 1347,0 +) +*101 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 1365,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 1366,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 1369,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 1370,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 1371,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 1372,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 1373,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 1374,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/symbol.sb b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/symbol.sb new file mode 100644 index 0000000..ac0be72 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tb/symbol.sb @@ -0,0 +1,1216 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tb" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "parallelAdder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:11:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "parallelAdder_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:11:08" +) +(vvPair +variable "unit" +value "parallelAdder_tb" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,36700,16000" +st "PipelinedOperators_test" +blo "22600,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,32200,17200" +st "parallelAdder_tb" +blo "22600,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,2000,44400,3000" +st "User:" +blo "42000,2800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3000,44000,3000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 109,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tester/interface new file mode 100644 index 0000000..1fcd6f6 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/parallel@adder_tester/interface @@ -0,0 +1,1346 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 25,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 56,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 21,0 +) +) +uid 383,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +uid 385,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 23,0 +) +) +uid 387,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 24,0 +) +) +uid 389,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 5 +suid 25,0 +) +) +uid 391,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 69,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 71,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 72,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 73,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 74,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 384,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 386,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 388,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 390,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 392,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 75,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 76,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 77,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 78,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 79,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 80,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 81,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 82,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 83,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 70,0 +vaOverrides [ +] +) +] +) +uid 55,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 85,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "adderBitNb" +type "positive" +value "32" +) +uid 134,0 +) +*50 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 333,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 97,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 99,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 100,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 101,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 135,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 334,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 103,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 104,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 105,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 106,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 107,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 108,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 109,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 110,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 98,0 +vaOverrides [ +] +) +] +) +uid 84,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "parallelAdder_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:19:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "pipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "parallelAdder_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallel@adder_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\parallelAdder_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:19:47" +) +(vvPair +variable "unit" +value "parallelAdder_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 54,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 358,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 359,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,5250,47375,6000" +) +tg (CPTG +uid 360,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 361,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "46300,7000,47700,8600" +st "a" +ju 2 +blo "47500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 362,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,69000,4200" +st "a : OUT signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 21,0 +) +) +) +*67 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,5250,45375,6000" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "44300,7000,45700,8600" +st "b" +ju 2 +blo "45500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,69000,5000" +st "b : OUT signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +) +*68 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "42300,7000,43700,9700" +st "cIn" +ju 2 +blo "43500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,58000,5800" +st "cIn : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 23,0 +) +) +) +*69 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10700" +st "cOut" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,59000,2600" +st "cOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 24,0 +) +) +) +*70 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 381,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,10400" +st "sum" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 382,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,69000,3400" +st "sum : IN signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 5 +suid 25,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,55000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "28450,9000,41550,10000" +st "pipelinedOperators_test" +blo "28450,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "28450,10000,39650,11000" +st "parallelAdder_tester" +blo "28450,10800" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "11000,6000,25400,10000" +st "Generic Declarations + +adderBitNb positive 32 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "32" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *72 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 17,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*74 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "72,45,1089,735" +viewArea "-500,-500,71299,48094" +cachedDiagramExtent "0,0,69000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PipelinedOperators_test" +entityName "parallelAdder_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,5800,44500,6700" +st "User:" +blo "42000,6500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,6700,44000,6700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 392,0 +activeModelName "Symbol:GEN" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pc_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/pc_tester/interface new file mode 100644 index 0000000..1e51dfb --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pc_tester/interface @@ -0,0 +1,1502 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 10 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 10,0 +) +) +uid 303,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 11,0 +) +) +uid 305,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 12,0 +) +) +uid 307,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 304,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 306,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 308,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "32" +) +uid 152,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 117,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 118,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 119,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 122,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 123,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 124,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 125,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 126,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 127,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tester" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:11:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "pipelineCounter_tester" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "17:11:08" +) +(vvPair +variable "unit" +value "pipelineCounter_tester" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2002LangMgr" +uid 72,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 289,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 290,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 291,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10800" +st "clock" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 292,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,61000,3400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +*61 (CptPort +uid 293,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 294,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,5250,47375,6000" +) +tg (CPTG +uid 295,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 296,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "46300,7000,47700,13600" +st "countOut" +ju 2 +blo "47500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 297,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,73000,2600" +st "countOut : IN unsigned (counterBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 11,0 +) +) +) +*62 (CptPort +uid 298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 299,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 301,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,11100" +st "reset" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 302,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,60000,4200" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,55000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "28450,9050,41550,10050" +st "PipelinedOperators_test" +blo "28450,9850" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "28450,10050,40950,11050" +st "pipelineCounter_tester" +blo "28450,10850" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "8000,6000,19900,9000" +st "Generic Declarations + +counterBitNb positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,50000,45000,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,46000,52900,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,48000,44700,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,48000,30800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "49200,47200,60800,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "53200,46000,61700,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "33350,46400,43650,47600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,49000,30900,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "28200,50000,31600,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Verdana,8,0" +) +xt "32200,49000,43600,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*77 (MLText +uid 50,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,900,13600,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "57,32,1072,722" +viewArea "-500,-500,83100,45260" +cachedDiagramExtent "0,0,71500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PipelinedOperators_test" +entityName "pipelineCounter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 10 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,4200,44500,5100" +st "User:" +blo "42000,4900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,5100,44000,5100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 308,0 +activeModelName "Symbol:GEN" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/struct.bd b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/struct.bd new file mode 100644 index 0000000..3ecf5eb --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/struct.bd @@ -0,0 +1,3025 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "pipelinedOperators_test" +duName "pipelineAdder_tester" +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 2178,0 +) +(Instance +name "I_DUT" +duLibraryName "pipelinedOperators" +duName "pipelineAdder" +elements [ +(GiElement +name "bitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +mwi 0 +uid 2359,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineAdder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:20:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "pipelineAdder_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:20:22" +) +(vvPair +variable "unit" +value "pipelineAdder_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 2178,0 +shape (Rectangle +uid 2179,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "16000,30000,60000,38000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2180,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 2181,0 +va (VaSet +) +xt "15850,38200,27850,39100" +st "pipelinedOperators_test" +blo "15850,38900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 2182,0 +va (VaSet +) +xt "15850,39400,26350,40300" +st "pipelineAdder_tester" +blo "15850,40100" +tm "BlkNameMgr" +) +*15 (Text +uid 2183,0 +va (VaSet +) +xt "15850,40600,19850,41500" +st "I_tester" +blo "15850,41300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2184,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2185,0 +text (MLText +uid 2186,0 +va (VaSet +) +xt "16000,42000,42500,45600" +st "adderBitNb = adderBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*16 (Net +uid 2224,0 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 18,0 +) +declText (MLText +uid 2225,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18200,13700,19200" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2232,0 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 19,0 +) +declText (MLText +uid 2233,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17200,13700,18200" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 2240,0 +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 20,0 +) +declText (MLText +uid 2241,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,13800,17200" +st "SIGNAL cOut : std_ulogic" +) +) +*19 (Net +uid 2248,0 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 21,0 +) +declText (MLText +uid 2249,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15200,13500,16200" +st "SIGNAL cIn : std_ulogic" +) +) +*20 (Net +uid 2256,0 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +declText (MLText +uid 2257,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14200,23400,15200" +st "SIGNAL b : signed(adderBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 2264,0 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 23,0 +) +declText (MLText +uid 2265,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13200,23400,14200" +st "SIGNAL a : signed(adderBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 2284,0 +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 7 +suid 24,0 +) +declText (MLText +uid 2285,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19200,24000,20200" +st "SIGNAL sum : signed(adderBitNb-1 DOWNTO 0)" +) +) +*23 (SaComponent +uid 2359,0 +optionalChildren [ +*24 (CptPort +uid 2331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2332,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37625,22000,38375,22750" +) +tg (CPTG +uid 2333,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2334,0 +va (VaSet +) +xt "37000,20800,38500,21700" +st "sum" +blo "37000,21500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sum" +t "signed" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*25 (CptPort +uid 2335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 2337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2338,0 +va (VaSet +) +xt "35000,19400,37500,20300" +st "clock" +blo "35000,20100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*26 (CptPort +uid 2339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33917,20625,34667,21375" +) +tg (CPTG +uid 2341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2342,0 +va (VaSet +) +xt "35667,20400,38167,21300" +st "reset" +blo "35667,21100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*27 (CptPort +uid 2343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2344,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43334,17625,44084,18375" +) +tg (CPTG +uid 2345,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2346,0 +va (VaSet +) +xt "40834,17400,42334,18300" +st "cIn" +ju 2 +blo "42334,18100" +) +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*28 (CptPort +uid 2347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2348,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31917,17625,32667,18375" +) +tg (CPTG +uid 2349,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2350,0 +va (VaSet +) +xt "33667,17400,35667,18300" +st "cOut" +blo "33667,18100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +*29 (CptPort +uid 2351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2352,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,13250,34375,14000" +) +tg (CPTG +uid 2353,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2354,0 +va (VaSet +) +xt "33800,14000,34300,14900" +st "a" +ju 2 +blo "34300,14700" +) +) +thePort (LogicalPort +decl (Decl +n "a" +t "signed" +b "(bitNb-1 downto 0)" +o 6 +suid 2006,0 +) +) +) +*30 (CptPort +uid 2355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2356,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41625,13250,42375,14000" +) +tg (CPTG +uid 2357,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2358,0 +va (VaSet +) +xt "41800,14000,42300,14900" +st "b" +ju 2 +blo "42300,14700" +) +) +thePort (LogicalPort +decl (Decl +n "b" +t "signed" +b "(bitNb-1 downto 0)" +o 7 +suid 2007,0 +) +) +) +] +shape (Alu +uid 2360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,14000,46000,22000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 2361,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 2362,0 +va (VaSet +font "Verdana,9,1" +) +xt "39600,21800,49100,22700" +st "pipelinedOperators" +blo "39600,22500" +tm "BdLibraryNameMgr" +) +*32 (Text +uid 2363,0 +va (VaSet +font "Verdana,9,1" +) +xt "39600,23000,46600,23900" +st "pipelineAdder" +blo "39600,23700" +tm "CptNameMgr" +) +*33 (Text +uid 2364,0 +va (VaSet +font "Verdana,9,1" +) +xt "39600,24200,42100,25100" +st "I_DUT" +blo "39600,24900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2365,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2366,0 +text (MLText +uid 2367,0 +va (VaSet +font "Verdana,8,0" +) +xt "40000,25600,59400,27600" +st "bitNb = adderBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "adderBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*34 (Wire +uid 2226,0 +shape (OrthoPolyLine +uid 2227,0 +va (VaSet +vasetType 3 +) +xt "30000,21000,33917,30000" +pts [ +"33917,21000" +"30000,21000" +"30000,30000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2230,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2231,0 +va (VaSet +font "Verdana,12,0" +) +xt "28917,19600,33017,21000" +st "reset" +blo "28917,20800" +tm "WireNameMgr" +) +) +on &16 +) +*35 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "28000,20000,33250,30000" +pts [ +"33250,20000" +"28000,20000" +"28000,30000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2238,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2239,0 +va (VaSet +font "Verdana,12,0" +) +xt "28250,18600,32050,20000" +st "clock" +blo "28250,19800" +tm "WireNameMgr" +) +) +on &17 +) +*36 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "24000,18000,31917,30000" +pts [ +"31917,18000" +"24000,18000" +"24000,30000" +] +) +start &28 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2246,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2247,0 +va (VaSet +font "Verdana,12,0" +) +xt "26917,16600,30617,18000" +st "cOut" +blo "26917,17800" +tm "WireNameMgr" +) +) +on &18 +) +*37 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "44084,18000,48000,30000" +pts [ +"44084,18000" +"48000,18000" +"48000,30000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2254,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2255,0 +va (VaSet +font "Verdana,12,0" +) +xt "46084,16600,48784,18000" +st "cIn" +blo "46084,17800" +tm "WireNameMgr" +) +) +on &19 +) +*38 (Wire +uid 2258,0 +shape (OrthoPolyLine +uid 2259,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "42000,10000,50000,30000" +pts [ +"42000,13250" +"42000,10000" +"50000,10000" +"50000,30000" +] +) +start &30 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2262,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2263,0 +va (VaSet +font "Verdana,12,0" +) +xt "40500,10350,42100,11750" +st "b" +blo "40500,11550" +tm "WireNameMgr" +) +) +on &20 +) +*39 (Wire +uid 2266,0 +shape (OrthoPolyLine +uid 2267,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,8000,52000,30000" +pts [ +"34000,13250" +"34000,8000" +"52000,8000" +"52000,30000" +] +) +start &29 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2270,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2271,0 +va (VaSet +font "Verdana,12,0" +) +xt "32500,10350,34100,11750" +st "a" +blo "32500,11550" +tm "WireNameMgr" +) +) +on &21 +) +*40 (Wire +uid 2286,0 +shape (OrthoPolyLine +uid 2287,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,22750,38000,30000" +pts [ +"38000,22750" +"38000,30000" +] +) +start &24 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2290,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2291,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,26600,37100,27900" +st "sum" +blo "35000,27600" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *41 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*43 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*45 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*46 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*48 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*50 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1064,-1064,93573,50355" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2663,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*53 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*55 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*56 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*59 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*61 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*62 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*64 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*65 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*67 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*69 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*71 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5600,6500,6500" +st "Declarations" +blo "0,6300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6500,3000,7400" +st "Ports:" +blo "0,7200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,4500,8300" +st "Pre User:" +blo "0,8100" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8300,22100,12300" +st "constant adderBitNb: positive := 32; +constant pipelineStageNb: positive := 4; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12300,8500,13200" +st "Diagram Signals:" +blo "0,13000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5600,5500,6500" +st "Post User:" +blo "0,6300" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5600,0,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *72 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*73 (RefLabelRowHdr +) +*74 (TitleRowHdr +) +*75 (FilterRowHdr +) +*76 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*77 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*78 (GroupColHdr +tm "GroupColHdrMgr" +) +*79 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*80 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*81 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*82 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*83 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*84 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 18,0 +) +) +uid 2272,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 19,0 +) +) +uid 2274,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 20,0 +) +) +uid 2276,0 +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 21,0 +) +) +uid 2278,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 22,0 +) +) +uid 2280,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 23,0 +) +) +uid 2282,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 7 +suid 24,0 +) +) +uid 2292,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &72 +pos 7 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*94 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 1337,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 23 +uid 1338,0 +) +*96 (MRCItem +litem &75 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*97 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 2273,0 +) +*98 (MRCItem +litem &86 +pos 1 +dimension 20 +uid 2275,0 +) +*99 (MRCItem +litem &87 +pos 2 +dimension 20 +uid 2277,0 +) +*100 (MRCItem +litem &88 +pos 3 +dimension 20 +uid 2279,0 +) +*101 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 2281,0 +) +*102 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 2283,0 +) +*103 (MRCItem +litem &91 +pos 6 +dimension 20 +uid 2293,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*104 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 1341,0 +) +*105 (MRCItem +litem &78 +pos 1 +dimension 50 +uid 1342,0 +) +*106 (MRCItem +litem &79 +pos 2 +dimension 100 +uid 1343,0 +) +*107 (MRCItem +litem &80 +pos 3 +dimension 50 +uid 1344,0 +) +*108 (MRCItem +litem &81 +pos 4 +dimension 100 +uid 1345,0 +) +*109 (MRCItem +litem &82 +pos 5 +dimension 100 +uid 1346,0 +) +*110 (MRCItem +litem &83 +pos 6 +dimension 50 +uid 1347,0 +) +*111 (MRCItem +litem &84 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 1365,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 1366,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 1369,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 1370,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 1371,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 1372,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 1373,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 1374,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/symbol.sb b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/symbol.sb new file mode 100644 index 0000000..b00baa6 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tb/symbol.sb @@ -0,0 +1,1216 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tb" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "pipelineAdder_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:11:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "pipelineAdder_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:11:08" +) +(vvPair +variable "unit" +value "pipelineAdder_tb" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,36700,16000" +st "PipelinedOperators_test" +blo "22600,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,32400,17200" +st "pipelineAdder_tb" +blo "22600,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,2000,44400,3000" +st "User:" +blo "42000,2800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3000,44000,3000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 109,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tester/interface new file mode 100644 index 0000000..86cc1ad --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@adder_tester/interface @@ -0,0 +1,1497 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +uid 706,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +uid 708,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 51,0 +) +) +uid 710,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 52,0 +) +) +uid 712,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 53,0 +) +) +uid 714,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 54,0 +) +) +uid 716,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 7 +suid 55,0 +) +) +uid 718,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 36,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 707,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 709,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 711,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 713,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 715,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 717,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 719,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "adderBitNb" +type "positive" +value "32" +) +uid 99,0 +) +*54 (LogGeneric +generic (GiElement +name "stageNb" +type "positive" +value "4" +) +uid 405,0 +) +*55 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +uid 646,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 64,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 65,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 66,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 100,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 406,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 647,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 69,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 70,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 71,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 72,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 73,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 74,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineAdder_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:20:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "pipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "pipelineAdder_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@adder_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineAdder_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:20:22" +) +(vvPair +variable "unit" +value "pipelineAdder_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 671,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 672,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 673,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 674,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,8600" +st "a" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 675,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,69500,4200" +st "a : OUT signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "a" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +) +*73 (CptPort +uid 676,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 677,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 678,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 679,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "48300,7000,49700,8600" +st "b" +ju 2 +blo "49500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 680,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,69500,5000" +st "b : OUT signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "b" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +) +*74 (CptPort +uid 681,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 682,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,5250,47375,6000" +) +tg (CPTG +uid 683,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 684,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "46300,7000,47700,9700" +st "cIn" +ju 2 +blo "47500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 685,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,59500,5800" +st "cIn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 3 +suid 51,0 +) +) +) +*75 (CptPort +uid 686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 687,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 688,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 689,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 690,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,59500,6600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 52,0 +) +) +) +*76 (CptPort +uid 691,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 692,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 693,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 694,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10700" +st "cOut" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 695,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,59500,2600" +st "cOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 4 +suid 53,0 +) +) +) +*77 (CptPort +uid 696,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 697,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 698,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 699,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 700,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,58500,7400" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 54,0 +) +) +) +*78 (CptPort +uid 701,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 702,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 703,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 704,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,10400" +st "sum" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 705,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,69500,3400" +st "sum : IN signed (adderBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "sum" +t "signed" +b "(adderBitNb-1 DOWNTO 0)" +o 7 +suid 55,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +oxt "15000,6000,78000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "30450,9000,43550,10000" +st "pipelinedOperators_test" +blo "30450,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "30450,10000,41950,11000" +st "pipelineAdder_tester" +blo "30450,10800" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "13000,6000,27400,11000" +st "Generic Declarations + +adderBitNb positive 32 +stageNb positive 4 +clockFrequency real 60.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "adderBitNb" +type "positive" +value "32" +) +(GiElement +name "stageNb" +type "positive" +value "4" +) +(GiElement +name "clockFrequency" +type "real" +value "60.0E6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 17,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*82 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "177,106,1194,796" +viewArea "-500,-500,76822,51832" +cachedDiagramExtent "0,0,69500,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PipelinedOperators_test" +entityName "pipelineAdder_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,7400,44500,8300" +st "User:" +blo "42000,8100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,8300,44000,8300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 719,0 +activeModelName "Symbol:GEN" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/struct.bd b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/struct.bd new file mode 100644 index 0000000..1e4ae6f --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/struct.bd @@ -0,0 +1,2568 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_DUT" +duLibraryName "PipelinedOperators" +duName "pipelineCounter" +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +mwi 0 +uid 2719,0 +) +(Instance +name "I_tester" +duLibraryName "PipelinedOperators_test" +duName "PipelineCounter_tester" +elements [ +(GiElement +name "counterBitNb" +type "integer" +value "counterBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 2970,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:21:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "pipelineCounter_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:21:02" +) +(vvPair +variable "unit" +value "pipelineCounter_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 153,0 +optionalChildren [ +*1 (Grouping +uid 110,0 +optionalChildren [ +*2 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 121,0 +shape (Rectangle +uid 122,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 123,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 124,0 +shape (Rectangle +uid 125,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 126,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 127,0 +shape (Rectangle +uid 128,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 129,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 130,0 +shape (Rectangle +uid 131,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 132,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 111,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 2648,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 25,0 +) +declText (MLText +uid 2649,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15200,14600,16200" +st "SIGNAL reset : std_ulogic" +) +) +*13 (Net +uid 2656,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +declText (MLText +uid 2657,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13200,14600,14200" +st "SIGNAL clock : std_ulogic" +) +) +*14 (SaComponent +uid 2719,0 +optionalChildren [ +*15 (CptPort +uid 2707,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2708,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,17625,46750,18375" +) +tg (CPTG +uid 2709,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2710,0 +va (VaSet +) +xt "41000,17550,45000,18450" +st "countOut" +ju 2 +blo "45000,18250" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 downto 0)" +o 1 +suid 1,0 +) +) +) +*16 (CptPort +uid 2711,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2712,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,17625,30000,18375" +) +tg (CPTG +uid 2713,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2714,0 +va (VaSet +) +xt "31000,17400,33500,18300" +st "clock" +blo "31000,18100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*17 (CptPort +uid 2715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2716,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,19625,30000,20375" +) +tg (CPTG +uid 2717,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2718,0 +va (VaSet +) +xt "31000,19550,33500,20450" +st "reset" +blo "31000,20250" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 2720,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,14000,46000,22000" +) +oxt "32000,15000,48000,23000" +ttg (MlTextGroup +uid 2721,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*18 (Text +uid 2722,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,22800,40100,23700" +st "PipelinedOperators" +blo "30600,23500" +tm "BdLibraryNameMgr" +) +*19 (Text +uid 2723,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,23700,38600,24600" +st "pipelineCounter" +blo "30600,24400" +tm "CptNameMgr" +) +*20 (Text +uid 2724,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,24600,33100,25500" +st "I_DUT" +blo "30600,25300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2725,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2726,0 +text (MLText +uid 2727,0 +va (VaSet +font "Verdana,8,0" +) +xt "30000,25400,49400,27400" +st "bitNb = counterBitNb ( positive ) +stageNb = pipelineStageNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "stageNb" +type "positive" +value "pipelineStageNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*21 (Net +uid 2728,0 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 28,0 +) +declText (MLText +uid 2729,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14200,26300,15200" +st "SIGNAL countOut : unsigned(counterBitNb-1 downto 0)" +) +) +*22 (Blk +uid 2970,0 +shape (Rectangle +uid 2971,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "17000,30000,60000,37000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2972,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 2973,0 +va (VaSet +) +xt "17800,37200,32200,38400" +st "PipelinedOperators_test" +blo "17800,38200" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 2974,0 +va (VaSet +) +xt "17800,38400,31500,39600" +st "PipelineCounter_tester" +blo "17800,39400" +tm "BlkNameMgr" +) +*25 (Text +uid 2975,0 +va (VaSet +) +xt "17800,39600,22600,40800" +st "I_tester" +blo "17800,40600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2976,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2977,0 +text (MLText +uid 2978,0 +va (VaSet +isHidden 1 +) +xt "17800,43200,43700,45600" +st "counterBitNb = counterBitNb ( integer ) +clockFrequency = clockFrequency ( real ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "integer" +value "counterBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +) +*26 (Wire +uid 2650,0 +shape (OrthoPolyLine +uid 2651,0 +va (VaSet +vasetType 3 +) +xt "28000,20000,29250,30000" +pts [ +"29250,20000" +"28000,20000" +"28000,30000" +] +) +start &17 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2654,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2655,0 +va (VaSet +font "Verdana,12,0" +) +xt "24250,18700,27750,20000" +st "reset" +blo "24250,19700" +tm "WireNameMgr" +) +) +on &12 +) +*27 (Wire +uid 2658,0 +shape (OrthoPolyLine +uid 2659,0 +va (VaSet +vasetType 3 +) +xt "26000,18000,29250,30000" +pts [ +"29250,18000" +"26000,18000" +"26000,30000" +] +) +start &16 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2662,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2663,0 +va (VaSet +font "Verdana,12,0" +) +xt "24250,16700,27750,18000" +st "clock" +blo "24250,17700" +tm "WireNameMgr" +) +) +on &13 +) +*28 (Wire +uid 2730,0 +shape (OrthoPolyLine +uid 2731,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46750,18000,50000,30000" +pts [ +"46750,18000" +"50000,18000" +"50000,30000" +] +) +start &15 +end &22 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2735,0 +va (VaSet +font "Verdana,12,0" +) +xt "48750,16700,54350,18000" +st "countOut" +blo "48750,17700" +tm "WireNameMgr" +) +) +on &21 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *29 (PackageList +uid 142,0 +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 143,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*31 (MLText +uid 144,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 145,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*33 (Text +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*34 (MLText +uid 148,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*35 (Text +uid 149,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*36 (MLText +uid 150,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*37 (Text +uid 151,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*38 (MLText +uid 152,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1064,-1064,93622,50381" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3007,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +va (VaSet +) +xt "1700,3200,6300,4400" +st "" +blo "1700,4200" +tm "BdLibraryNameMgr" +) +*40 (Text +va (VaSet +) +xt "1700,4400,5800,5600" +st "" +blo "1700,5400" +tm "BlkNameMgr" +) +*41 (Text +va (VaSet +) +xt "1700,5600,2900,6800" +st "I0" +blo "1700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1700,13200,1700,13200" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*43 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*44 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*47 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*49 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*50 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*52 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*53 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*55 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*57 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*59 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5600,6500,6500" +st "Declarations" +blo "0,6300" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6500,3000,7400" +st "Ports:" +blo "0,7200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,4500,8300" +st "Pre User:" +blo "0,8100" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8300,22100,12300" +st "constant counterBitNb: positive := 20; +constant pipelineStageNb: positive := 5; +constant clockFrequency : real := 60.0E6; +--constant clockFrequency : real := 66.0E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12300,8500,13200" +st "Diagram Signals:" +blo "0,13000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5600,5500,6500" +st "Post User:" +blo "0,6300" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5600,0,5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *60 (LEmptyRow +) +uid 1321,0 +optionalChildren [ +*61 (RefLabelRowHdr +) +*62 (TitleRowHdr +) +*63 (FilterRowHdr +) +*64 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*65 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*66 (GroupColHdr +tm "GroupColHdrMgr" +) +*67 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*68 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*69 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*70 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*71 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*72 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*73 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 25,0 +) +) +uid 2672,0 +) +*74 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 26,0 +) +) +uid 2674,0 +) +*75 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "countOut" +t "unsigned" +b "(counterBitNb-1 downto 0)" +o 2 +suid 28,0 +) +) +uid 2736,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1334,0 +optionalChildren [ +*76 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *77 (MRCItem +litem &60 +pos 3 +dimension 20 +) +uid 1336,0 +optionalChildren [ +*78 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 1337,0 +) +*79 (MRCItem +litem &62 +pos 1 +dimension 23 +uid 1338,0 +) +*80 (MRCItem +litem &63 +pos 2 +hidden 1 +dimension 20 +uid 1339,0 +) +*81 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 2673,0 +) +*82 (MRCItem +litem &74 +pos 1 +dimension 20 +uid 2675,0 +) +*83 (MRCItem +litem &75 +pos 2 +dimension 20 +uid 2737,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1340,0 +optionalChildren [ +*84 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 1341,0 +) +*85 (MRCItem +litem &66 +pos 1 +dimension 50 +uid 1342,0 +) +*86 (MRCItem +litem &67 +pos 2 +dimension 100 +uid 1343,0 +) +*87 (MRCItem +litem &68 +pos 3 +dimension 50 +uid 1344,0 +) +*88 (MRCItem +litem &69 +pos 4 +dimension 100 +uid 1345,0 +) +*89 (MRCItem +litem &70 +pos 5 +dimension 100 +uid 1346,0 +) +*90 (MRCItem +litem &71 +pos 6 +dimension 50 +uid 1347,0 +) +*91 (MRCItem +litem &72 +pos 7 +dimension 80 +uid 1348,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1335,0 +vaOverrides [ +] +) +] +) +uid 1320,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *92 (LEmptyRow +) +uid 1350,0 +optionalChildren [ +*93 (RefLabelRowHdr +) +*94 (TitleRowHdr +) +*95 (FilterRowHdr +) +*96 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*97 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*98 (GroupColHdr +tm "GroupColHdrMgr" +) +*99 (NameColHdr +tm "GenericNameColHdrMgr" +) +*100 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*101 (InitColHdr +tm "GenericValueColHdrMgr" +) +*102 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*103 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 1362,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &92 +pos 0 +dimension 20 +) +uid 1364,0 +optionalChildren [ +*106 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 1365,0 +) +*107 (MRCItem +litem &94 +pos 1 +dimension 23 +uid 1366,0 +) +*108 (MRCItem +litem &95 +pos 2 +hidden 1 +dimension 20 +uid 1367,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1368,0 +optionalChildren [ +*109 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 1369,0 +) +*110 (MRCItem +litem &98 +pos 1 +dimension 50 +uid 1370,0 +) +*111 (MRCItem +litem &99 +pos 2 +dimension 100 +uid 1371,0 +) +*112 (MRCItem +litem &100 +pos 3 +dimension 100 +uid 1372,0 +) +*113 (MRCItem +litem &101 +pos 4 +dimension 50 +uid 1373,0 +) +*114 (MRCItem +litem &102 +pos 5 +dimension 50 +uid 1374,0 +) +*115 (MRCItem +litem &103 +pos 6 +dimension 80 +uid 1375,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1363,0 +vaOverrides [ +] +) +] +) +uid 1349,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/symbol.sb b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/symbol.sb new file mode 100644 index 0000000..5cd8928 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/pipeline@counter_tb/symbol.sb @@ -0,0 +1,1243 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 105,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 106,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 53,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 56,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 58,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 54,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 66,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 68,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 70,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 72,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 76,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 78,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 52,0 +vaOverrides [ +] +) +] +) +uid 104,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 108,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 109,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 80,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 83,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 85,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 87,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 81,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 89,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 93,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 95,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 97,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 99,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 101,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 79,0 +vaOverrides [ +] +) +] +) +uid 107,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tb" +) +(vvPair +variable "date" +value "02/17/2020" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "pipelineCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "02/17/2020" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "17:11:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PipelinedOperators_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Projects\\Biquad\\Development\\Tools\\VHDL\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/PipelinedOperators_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "pipelineCounter_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipeline@counter_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\..\\PipelinedOperators_test\\hds\\pipelineCounter_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:11:08" +) +(vvPair +variable "unit" +value "pipelineCounter_tb" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2020" +) +(vvPair +variable "yy" +value "20" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,36700,16000" +st "PipelinedOperators_test" +blo "22600,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,33300,17200" +st "pipelineCounter_tb" +blo "22600,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,10900,3000" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1800,45000,2800" +st "User:" +blo "42000,2600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,2800,44000,2800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 132,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/sine@gen_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/sine@gen_tester/interface new file mode 100644 index 0000000..2f7045f --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/sine@gen_tester/interface @@ -0,0 +1,1182 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\sine@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester" +) +(vvPair +variable "date" +value "04/01/08" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2636" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "month" +value "Apr" +) +(vvPair +variable "month_long" +value "April" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\sine@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\sineGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "12:48:44" +) +(vvPair +variable "unit" +value "sineGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 61,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 163,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 5 +d 0 +s 0 +sf 1 +tg (CPTG +uid 164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 165,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 166,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62000,6000" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 6 +d 0 +s 0 +sf 1 +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 170,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 171,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62000,6800" +st "reset : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 173,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +n "sawtooth" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 7 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 175,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "60400,7000,61600,23500" +st "sawtooth : (signalBitNb-1:0)" +ju 2 +blo "61400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73500,2800" +st "sawtooth : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*5 (CptPort +uid 177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 178,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +n "shiftPhase" +t "std_ulogic" +m 1 +o 3 +r 7 +d 0 +s 0 +sf 1 +tg (CPTG +uid 179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 180,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "24400,7000,25600,13700" +st "shiftPhase" +ju 2 +blo "25400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 181,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,62000,7600" +st "shiftPhase : OUT std_ulogic ; +" +) +) +*6 (CptPort +uid 182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 183,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +n "sine" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 185,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "54400,7000,55600,21100" +st "sine : (signalBitNb-1:0)" +ju 2 +blo "55400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 186,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "sine : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*7 (CptPort +uid 187,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 188,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +n "square" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 6 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 189,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 190,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "58400,7000,59600,22400" +st "square : (signalBitNb-1:0)" +ju 2 +blo "59400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,73500,4400" +st "square : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +*8 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(phaseBitNb-1 DOWNTO 0)" +m 1 +o 8 +r 8 +d 0 +s 0 +sf 1 +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 195,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,21200" +st "step : (phaseBitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,72000,8400" +st "step : OUT unsigned (phaseBitNb-1 DOWNTO 0) +" +) +) +*9 (CptPort +uid 197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 198,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +n "triangle" +t "unsigned" +b "(signalBitNb-1 DOWNTO 0)" +o 5 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 199,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 200,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "56400,7000,57600,22800" +st "triangle : (signalBitNb-1:0)" +ju 2 +blo "57400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 201,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,73500,5200" +st "triangle : IN unsigned (signalBitNb-1 DOWNTO 0) ; +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +oxt "15000,6000,61000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,8800,44800,10000" +st "Curves_test" +blo "37600,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37600,10000,46400,11200" +st "sineGen_tester" +blo "37600,11000" +) +) +gi *10 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,6000,30500,9200" +st "Generic Declarations + +phaseBitNb positive 16 +signalBitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "phaseBitNb" +type "positive" +value "16" +) +(GiElement +name "signalBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*11 (Grouping +uid 16,0 +optionalChildren [ +*12 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,44500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*17 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*19 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*20 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*21 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49800,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *22 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*24 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "sineGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *25 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *26 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,8400,44000,9400" +st "User:" +blo "42000,9200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9400,44000,9400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 201,0 +) diff --git a/10-PipelinedOperators/PipelinedOperators_test/hds/waveform@gen_tester/interface b/10-PipelinedOperators/PipelinedOperators_test/hds/waveform@gen_tester/interface new file mode 100644 index 0000000..c5124f5 --- /dev/null +++ b/10-PipelinedOperators/PipelinedOperators_test/hds/waveform@gen_tester/interface @@ -0,0 +1,988 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +packageRefs [ +(PackageRef +library "ieee" +unitName "std_logic_1164" +itemName "all" +) +(PackageRef +library "ieee" +unitName "numeric_std" +itemName "all" +) +] +) +version "15.1" +appVersion "2002.1a (Build 22)" +model (Symbol +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Users\\ELN_labs\\VHDL_gen" +) +(vvPair +variable "SideDataDesignDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "U:\\SEm_curves\\Test" +) +(vvPair +variable "appl" +value "HDL Designer - Pro" +) +(vvPair +variable "d" +value "U:\\SEm_curves\\Test\\waveform@gen_tester" +) +(vvPair +variable "d_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester" +) +(vvPair +variable "date" +value "06/09/08" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE1647" +) +(vvPair +variable "library" +value "Curves_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "U:\\SEm_curves\\Test\\waveform@gen_tester\\interface" +) +(vvPair +variable "p_logical" +value "U:\\SEm_curves\\Test\\waveformGen_tester\\interface" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "time" +value "17:30:05" +) +(vvPair +variable "unit" +value "waveformGen_tester" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2002.1a (Build 22)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2008" +) +(vvPair +variable "yy" +value "08" +) +] +) +uid 66,0 +optionalChildren [ +*1 (SymbolBody +uid 8,0 +optionalChildren [ +*2 (CptPort +uid 107,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 108,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +n "clock" +t "std_ulogic" +m 1 +o 3 +r 1 +d 0 +s 0 +sf 1 +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 110,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "28400,7000,29600,9700" +st "clock" +ju 2 +blo "29400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,59500,2800" +st "clock : OUT std_ulogic ; +" +) +) +*3 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +n "en" +t "std_ulogic" +m 1 +o 4 +r 2 +d 0 +s 0 +sf 1 +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 115,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "26400,7000,27600,8200" +st "en" +ju 2 +blo "27400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,59500,3600" +st "en : OUT std_ulogic ; +" +) +) +*4 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +n "reset" +t "std_ulogic" +m 1 +o 2 +r 3 +d 0 +s 0 +sf 1 +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "30400,7000,31600,9600" +st "reset" +ju 2 +blo "31400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,59500,4400" +st "reset : OUT std_ulogic ; +" +) +) +*5 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +m 1 +o 3 +r 4 +d 0 +s 0 +sf 1 +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 125,0 +ro 270 +va (VaSet +font "Verdana,9,0" +) +xt "22400,7000,23600,17400" +st "step : (bitNb-1:0)" +ju 2 +blo "23400,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,67000,5200" +st "step : OUT unsigned (bitNb-1 DOWNTO 0) +" +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,66000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,8800,42250,10000" +st "Curves_test" +blo "35050,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "35050,10000,46950,11200" +st "waveformGen_tester" +blo "35050,11000" +) +) +gi *6 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,27500,8400" +st "Generic Declarations + +bitNb positive 16 +" +) +header "Generic Declarations" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +*7 (Grouping +uid 16,0 +optionalChildren [ +*8 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,45500,49000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,55800,45000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,33900,47000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*13 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,33900,48000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34500,49000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*17 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51900,48000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +LanguageMgr "VhdlLangMgr" +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *18 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*20 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,11300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2200,1400" +st " +Text" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentViewRef (DesignUnitViewRef +libraryName "Curves_test" +duName "waveformGen_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *21 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +m 3 +o 0 +r 0 +d 0 +s 0 +sf 1 +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +) +DeclarativeBlock *22 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44300,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,5200,44000,6200" +st "User:" +blo "42000,6000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6200,44000,6200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 126,0 +) diff --git a/10-PipelinedOperators/Prefs/hds.hdp b/10-PipelinedOperators/Prefs/hds.hdp new file mode 100644 index 0000000..e8e0234 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds.hdp @@ -0,0 +1,28 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board +Lattice = $SCRATCH_DIR/Lattice +PipelinedOperators = $SCRATCH_DIR/PipelinedOperators +PipelinedOperators_test = $SCRATCH_DIR/PipelinedOperators_test +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hdl +PipelinedOperators = $HDS_PROJECT_DIR/../PipelinedOperators/hdl +PipelinedOperators_test = $HDS_PROJECT_DIR/../PipelinedOperators_test/hdl +std = $HDS_HOME/hdl_libs/std/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +Lattice = $HDS_PROJECT_DIR/../../Libs/Lattice/hds +PipelinedOperators = $HDS_PROJECT_DIR/../PipelinedOperators/hds +PipelinedOperators_test = $HDS_PROJECT_DIR/../PipelinedOperators_test/hds +std = $HDS_HOME/hdl_libs/std/hds +[hds_settings] +design_root = Board.pipelineCounter_ebs3(struct)pipeline@counter_ebs3/struct.bd +[library_type] +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/10-PipelinedOperators/Prefs/hds_team/shared.hdp b/10-PipelinedOperators/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/10-PipelinedOperators/Prefs/hds_team/v2018.1/hds_team_prefs b/10-PipelinedOperators/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/10-PipelinedOperators/Prefs/hds_team/v2018.1/title_block.tmpl b/10-PipelinedOperators/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/10-PipelinedOperators/Prefs/hds_team/v2019.2/hds_team_prefs b/10-PipelinedOperators/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/10-PipelinedOperators/Prefs/hds_team/v2019.2/title_block.tmpl b/10-PipelinedOperators/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/10-PipelinedOperators/Prefs/hds_team/v2019.3/hds_team_prefs b/10-PipelinedOperators/Prefs/hds_team/v2019.3/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2019.3/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/10-PipelinedOperators/Prefs/hds_team/v2019.3/title_block.tmpl b/10-PipelinedOperators/Prefs/hds_team/v2019.3/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_team/v2019.3/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/hds_user_prefs b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/hds_user_prefs new file mode 100644 index 0000000..437abb4 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/hds_user_prefs @@ -0,0 +1,6094 @@ +version "49.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl %(p):%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Sublime" +second "subl '%(p)':%(l)" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Sublime" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs_SI/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/ElN/Labs/ElN_labs/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/04-Lissajous/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/Labs/SEm_labs/10-PipelinedOperators/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SEm/SEm_labs/10-PipelinedOperators/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"\"USER:Xilinx Project Navigator\"" +] +tasksMenubarOrder [ +"USER:Generate" +"\"USER:ModelSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +"\"USER:Prepare for Synthesis\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" \"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Microsemi Libero\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Update Project\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "899x918-9+51" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "COR_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Lissajous_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "PipelinedOperators" +showingHierarchy 0 +openLibs [ +"PipelinedOperators" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "PipelinedOperators_test" +showingHierarchy 0 +openLibs [ +"PipelinedOperators_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 19 +yPos 151 +width 1066 +height 937 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserXpos 59 +componentBrowserYpos 18 +componentBrowserWidth 413 +componentBrowserHeight 846 +componentBrowserLibraryNames [ +"PHA" +"gates" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk new file mode 100644 index 0000000..0b7cc38 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7a023d8 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/pipelineCounter.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..4eeef16 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..6cd6247 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,114 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "/usr/opt/HDS/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update Project" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilinx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$SYNTHESIS_BASE_DIR/../../Scripts/update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR/$DESIGN_NAME.vhd $CONCAT_DIR/$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"/usr/bin/perl" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"$SYNTHESIS_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$SYNTHESIS_HOME/bin/lin64/ise" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exePath" +"/usr/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user-linux/v2019.3/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/hds_user_prefs b/10-PipelinedOperators/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..9186cf1 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6831 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1228+98" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 297 +yPos 173 +width 1070 +height 838 +activeSidePanelTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/generate.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..4490ea2 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR\\IND.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/hds_user_prefs b/10-PipelinedOperators/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..5228a7c --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6870 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Sublime Text" +second "sublime_text.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 11 +defaultVhdlDialect 11 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +measurementUnits 1 +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\01-WaveformGenerator\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\hd-labs\\10-PipelinedOperators\\Prefs\\hds.hdp" +"C:\\work\\repo\\edu\\sem\\labo\\solution\\sem_labs\\10-PipelinedOperators\\Prefs\\hds.hdp" +"C:\\work\\edu\\sem\\labo\\sem_labs\\10-PipelinedOperators\\Prefs\\hds.hdp" +"C:\\dev\\sem-labs\\10-PipelinedOperators\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\vmenpprint1.hevs.ch\\VS-FOLLOWME-PRN" +pageSizes [ +(PageSizeInfo +name "12\" x 18\"" +type 512 +width 1106 +height 1658 +) +(PageSizeInfo +name "11\" x 17\"" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal (8,5\" x 14\")" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Letter (8,5\" x 11\")" +width 783 +height 1013 +) +(PageSizeInfo +name "Executive (7,25\"x10,5\")" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "5,5\" x 8,5\"" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "A3 (297 x 420 mm)" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4 (210 x 297 mm)" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5 (148 x 210 mm)" +type 11 +width 538 +height 761 +) +(PageSizeInfo +name "A6 (105 x 148 mm)" +type 70 +width 380 +height 538 +) +(PageSizeInfo +name "B4 JIS (257 x 364 mm)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 JIS (182 x 257 mm)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "B6 JIS (128 x 182 mm)" +type 88 +width 464 +height 660 +) +(PageSizeInfo +name "8\" x 13\"" +type 518 +width 737 +height 1198 +) +(PageSizeInfo +name "8,25\" x 13\"" +type 519 +width 760 +height 1198 +) +(PageSizeInfo +name "8,5\" x 13\"" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "8.5\" x 13.4\"" +type 551 +width 783 +height 1235 +) +(PageSizeInfo +name "Com10 Env.(4,125\"x9,5\")" +type 20 +width 380 +height 875 +) +(PageSizeInfo +name "Env.Monar.(3,875\"x7,5\")" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Env. DL (110 x 220 mm)" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Env. C6 (114 x 162 mm)" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Env. C5 (162 x 229 mm)" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "8K (267 x 390 mm)" +type 520 +width 968 +height 1415 +) +(PageSizeInfo +name "16K (195 x 267 mm)" +type 521 +width 707 +height 968 +) +(PageSizeInfo +name "8,25\" x 14\"" +type 522 +width 760 +height 1290 +) +(PageSizeInfo +name "11\" x 14\"" +type 524 +width 1013 +height 1290 +) +(PageSizeInfo +name "13\" x 19,2\"" +type 525 +width 1198 +height 1769 +) +(PageSizeInfo +name "13\" x 19\"" +type 526 +width 1198 +height 1751 +) +(PageSizeInfo +name "12,6\" x 19,2\"" +type 527 +width 1161 +height 1769 +) +(PageSizeInfo +name "12,6\" x 18,5\"" +type 528 +width 1161 +height 1704 +) +(PageSizeInfo +name "13\" x 18\"" +type 529 +width 1198 +height 1658 +) +(PageSizeInfo +name "10\" x 14\"" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "10\" x 15\"" +type 546 +width 921 +height 1382 +) +(PageSizeInfo +name "11\" x 15\"" +type 539 +width 1013 +height 1382 +) +(PageSizeInfo +name "SRA3 (320 x 450 mm)" +type 530 +width 1161 +height 1632 +) +(PageSizeInfo +name "SRA4 (225 x 320 mm)" +type 531 +width 816 +height 1161 +) +(PageSizeInfo +name "Format papier personnalisé" +type 256 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size1(215,9 x 279,4 mm)" +type 257 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size2(215,9 x 279,4 mm)" +type 258 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size3(215,9 x 279,4 mm)" +type 259 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size4(215,9 x 279,4 mm)" +type 260 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size5(215,9 x 279,4 mm)" +type 261 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size6(215,9 x 279,4 mm)" +type 262 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size7(215,9 x 279,4 mm)" +type 263 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size8(215,9 x 279,4 mm)" +type 264 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size9(215,9 x 279,4 mm)" +type 265 +width 783 +height 1013 +) +(PageSizeInfo +name "Custom Paper Size10(215,9 x 279,4 mm)" +type 266 +width 783 +height 1013 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Diamond Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" \"USER:Diamond Project IDE\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Diamond Project Navigator\" USER:Update.ldf" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "613x682+1114+208" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Language" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +width 140 +) +(TreeListVPData_Column +name "Extends" +width 100 +) +(TreeListVPData_Column +name "Size" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "WaveformGenerator_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "PipelinedOperators" +showingHierarchy 0 +openLibs [ +"PipelinedOperators" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "PipelinedOperators_test" +showingHierarchy 0 +openLibs [ +"PipelinedOperators_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 0 +yPos 0 +width 1936 +height 1056 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos -1351 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"Board" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk new file mode 100644 index 0000000..43e7dbe --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/diamond_project_navigator.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Diamond Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Diamond synthesis Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.ldf" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Diamond project .prjx file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_diamond.pl $DESIGN_NAME.ldf $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.lpf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$DIAMOND_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Diamond Project IDE" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Diamond tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\start_diamond.pl $DESIGN_NAME.ldf" +"captureOutput" +"1" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$LIBERO_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/generate.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..7a023d8 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"$SIMULATION_DIR/pipelineCounter.do" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseCLI" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/10-PipelinedOperators/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/10-PipelinedOperators/Scripts/cleanGenerated.bash b/10-PipelinedOperators/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/10-PipelinedOperators/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/10-PipelinedOperators/Scripts/cleanGenerated.bat b/10-PipelinedOperators/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/10-PipelinedOperators/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/10-PipelinedOperators/Scripts/cleanScratch.bat b/10-PipelinedOperators/Scripts/cleanScratch.bat new file mode 100644 index 0000000..098bf97 --- /dev/null +++ b/10-PipelinedOperators/Scripts/cleanScratch.bat @@ -0,0 +1,39 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof \ No newline at end of file diff --git a/10-PipelinedOperators/Scripts/generateSSHKey.bash b/10-PipelinedOperators/Scripts/generateSSHKey.bash new file mode 100644 index 0000000..c906a16 --- /dev/null +++ b/10-PipelinedOperators/Scripts/generateSSHKey.bash @@ -0,0 +1,42 @@ +#!/bin/bash + +#============================================================================== +# generates an SSH key under default user location ~/.ssh/ +# and add the public key to the clipboard +# +# the email could be given when calling the script or be prompted later + +email='' +filename=$(hostname) +user=$(whoami) + +usage='Usage: generateSSH.bash [-e email]' + +# handle options +while getopts 'e:v' flag; do + case "${flag}" in + e) email=${OPTARG};; + esac +done + +# if the mail is not given, prompt it +if [ -z "$email" ] +then + echo -n "Please enter your mail: " + read email +fi +# generates the key-couple +# cat /dev/zero create an empty file to be filled by the key +# then ssh-keygen is called with: +# -q to call it silently (no verbose) +# -N with empty string to set no-password +# -t to specify the type of key +# -C to set the user mail +cat /dev/zero | ssh-keygen -q -N "" -t ed25519 -C "${user}@${filename}" -f ~/.ssh/$filename + +# then copy the public key into the clipboard +clip < ~/.ssh/${filename}.pub + +# print output for user +echo -e "\nThe keyfiles are generated under ~/.ssh/" +echo -e "\nThe public key is in your clipboard, ready to be added to your Github account." \ No newline at end of file diff --git a/10-PipelinedOperators/Scripts/hdlDesigner.bash b/10-PipelinedOperators/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/10-PipelinedOperators/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/10-PipelinedOperators/Scripts/hdlDesigner.bat b/10-PipelinedOperators/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..2a612a6 --- /dev/null +++ b/10-PipelinedOperators/Scripts/hdlDesigner.bat @@ -0,0 +1,301 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +IF NOT DEFINED REQUIRE_LIBS SET "REQUIRE_LIBS=0" +IF NOT DEFINED REQUIRE_HDS SET "REQUIRE_HDS=0" +IF NOT DEFINED REQUIRE_MODELSIM SET "REQUIRE_MODELSIM=0" +IF NOT DEFINED REQUIRE_ISE SET "REQUIRE_ISE=0" +IF NOT DEFINED REQUIRE_LIBERO SET "REQUIRE_LIBERO=0" +IF NOT DEFINED REQUIRE_DIAMOND SET "REQUIRE_DIAMOND=0" +IF NOT DEFINED REQUIRE_ICECUBE2 SET "REQUIRE_ICECUBE2=0" +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +if %REQUIRE_DIAMOND% == 1 ( + set synthesis_subdirectory="Board\diamond" +) +if %REQUIRE_ICECUBE2% == 1 ( + set synthesis_subdirectory="Board\icecube2" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo Search Commandline Parameters +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo %INDENT:"=%verbose enabled + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo %INDENT:"=%design_name=!design_name:"=! + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo %INDENT:"=%design_directory=!design_directory:"=! + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo %INDENT:"=%prefs_directory=!prefs_directory:"=! + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo %INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo %INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo %INDENT:"=%scratch_directory=%scratch_directory:"=% + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo %INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo %INDENT:"=%library_matchings=%library_matchings:"=% + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +echo. +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set DIAMOND_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set DIAMOND_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ICECUBE2_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo Program Parameters + echo %INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=% + echo %INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=% + echo %INDENT:"=%HDS_LIBS is %HDS_LIBS:"=% + echo %INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=% + echo %INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=% + echo %INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=% + echo %INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=% + echo %INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=% + if %REQUIRE_HDS% == 1 ( + echo %INDENT:"=%HDS_HOME is %HDS_HOME:"=% + ) + if %REQUIRE_MODELSIM% == 1 ( + echo %INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=% + ) + if %REQUIRE_ISE% == 1 ( + echo %INDENT:"=%ISE_HOME is %ISE_HOME:"=% + echo %INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=% + echo %INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=% + ) + if %REQUIRE_LIBERO% == 1 ( + echo %INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=% + echo %INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=% + echo %INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=% + ) + if %REQUIRE_DIAMOND% == 1 ( + echo %INDENT:"=%DIAMOND_HOME is %DIAMOND_HOME:"=% + echo %INDENT:"=%DIAMOND_BASE_DIR is %DIAMOND_BASE_DIR:"=% + echo %INDENT:"=%DIAMOND_WORK_DIR is %DIAMOND_WORK_DIR:"=% + ) + if %REQUIRE_ICECUBE2% == 1 ( + echo %INDENT:"=%ICECUBE2_HOME is %ICECUBE2_HOME:"=% + echo %INDENT:"=%ICECUBE2_BASE_DIR is %ICECUBE2_BASE_DIR:"=% + echo %INDENT:"=%ICECUBE2_WORK_DIR is %ICECUBE2_WORK_DIR:"=% + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +echo. +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo %ISE_BASE_DIR:"=% + echo -> %ISE_WORK_DIR:"=% + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo %LIBERO_BASE_DIR:"=% + echo -> %LIBERO_BASE_DIR:"=% + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +if %REQUIRE_DIAMOND% == 1 ( + if exist %DIAMOND_BASE_DIR% ( + echo %DIAMOND_BASE_DIR:"=% + echo -> %DIAMOND_BASE_DIR:"=% + if exist %DIAMOND_WORK_DIR% ( + rmdir /S /Q "%DIAMOND_WORK_DIR%" + ) + mkdir "%DIAMOND_WORK_DIR%" + xcopy /S /Y "%DIAMOND_BASE_DIR%" "%DIAMOND_WORK_DIR%\" + ) +) + +if %REQUIRE_ICECUBE2% == 1 ( + if exist %ICECUBE2_BASE_DIR% ( + echo %ICECUBE2_BASE_DIR:"=% + echo -> %ICECUBE2_BASE_DIR:"=% + if exist %ICECUBE2_WORK_DIR% ( + rmdir /S /Q "%ICECUBE2_WORK_DIR%" + ) + mkdir "%ICECUBE2_WORK_DIR%" + xcopy /S /Y "%ICECUBE2_BASE_DIR%" "%ICECUBE2_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo -- Launching program +::echo %INDENT:"=%Waiting until programs finished... +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start !HDS_HOME!\bin\hdldesigner.exe +::echo -- Finished... YOU CAN CLOSE THIS WINDOW NOW! + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + endlocal + goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/10-PipelinedOperators/Scripts/searchPaths.bat b/10-PipelinedOperators/Scripts/searchPaths.bat new file mode 100644 index 0000000..9b37b1b --- /dev/null +++ b/10-PipelinedOperators/Scripts/searchPaths.bat @@ -0,0 +1,209 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR=-------------------------------------------------------------------------------- + set INDENT=" " + + echo %SEPARATOR% + echo -- %~nx0 Started! + echo. + +echo Search HEI Library +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo %INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings. + pause&goto:eof + ) +) + + +echo Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero, Lattice Diamond, Lattice IceCube2 +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo %INDENT:"=%Found HDL-Designer at !HDS_HOME! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo %INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo %INDENT:"=%Found ISE at !ISE_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings. + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo %INDENT:"=%Found Libero at !LIBERO_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice Diamond directory +:: +if %REQUIRE_DIAMOND% == 1 ( + if "%DIAMOND_HOME%" == "" ( + set DIAMOND_HOME=C:\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\tools\eda\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + set DIAMOND_HOME=C:\lscc\diamond\3.12 + if not exist !DIAMOND_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice Diamond found - please verify your DIAMOND_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !DIAMOND_HOME! ( + echo %INDENT:"=%Found Diamond at !DIAMOND_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of Diamond found - please verify your DIAMOND_HOME settings. + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Lattice IceCube2 directory +:: +if %REQUIRE_ICECUBE2% == 1 ( + if "%ICECUBE2_HOME%" == "" ( + set ICECUBE2_HOME=C:\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\tools\eda\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + set ICECUBE2_HOME=C:\lscc\iCEcube2.2020.12 + if not exist !ICECUBE2_HOME!\ ( + echo %INDENT:"=%ERROR: No valid installation of Lattice IceCube2 found - please verify your ICECUBE2_HOME settings. + pause&goto:eof + ) + ) + ) + ) + if exist !ICECUBE2_HOME! ( + echo %INDENT:"=%Found IceCube2 at !ICECUBE2_HOME:"=! + ) else ( + echo %INDENT:"=%ERROR: No valid installation of IceCube2 found - please verify your ICECUBE2_HOME settings. + pause + goto:eof + ) +) + +:end + echo. + echo -- %~nx0 Finished! + echo %SEPARATOR% + echo. && echo. + popd + goto:eof \ No newline at end of file diff --git a/10-PipelinedOperators/Scripts/trimLibs.pl b/10-PipelinedOperators/Scripts/trimLibs.pl new file mode 100644 index 0000000..76d8d36 --- /dev/null +++ b/10-PipelinedOperators/Scripts/trimLibs.pl @@ -0,0 +1,170 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ama: [Amand Axel](axel.amand@hevs.ch) +# ------------------------------------------------------------------------------ +# Changelog: +# 2023.05.16 : ama +# * Add: ice40 libs support +# 2023.02.01 : ama +# * Add: ECP5U libs support +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim or ecp5u or ice40 + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i) and + not($testline =~ m/\becp5u\./i) and + not($testline =~ m/ice40.*\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim or ecp5u or ice40 + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i) and + not($testline =~ m/ecp5u/i) and + not($testline =~ m/ice40/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/10-PipelinedOperators/Scripts/update_ise.pl b/10-PipelinedOperators/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/10-PipelinedOperators/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ '0'); + for index in hSel'range loop + mask := to_unsigned(ahbMemoryLocation(index).addressMask, mask'length); + if (hAddr and mask) = ahbMemoryLocation(index).baseAddress then + hSel(index) <= '1'; + end if; + end loop; + end process decodeAddress; + +END ARCHITECTURE RTL; diff --git a/Libs/AhbLite/hdl/ahbLite_pkg.vhd b/Libs/AhbLite/hdl/ahbLite_pkg.vhd new file mode 100644 index 0000000..363bdb8 --- /dev/null +++ b/Libs/AhbLite/hdl/ahbLite_pkg.vhd @@ -0,0 +1,59 @@ +LIBRARY ieee; + USE ieee.std_logic_1164.all; + +PACKAGE ahbLite IS + ------------------------------------------------------------------------------ + -- bus components sizes + constant ahbAddressBitNb : positive := 16; + constant ahbDataBitNb : positive := 16; + constant ahbSlaveNb : positive := 16; + + constant ahbTransBitNb : positive := 2; + constant ahbSizeBitNb : positive := 1; + constant ahbBurstBitNb : positive := 3; + constant ahbProtBitNb : positive := 4; + + ------------------------------------------------------------------------------ + -- bus data vector type + subtype ahbDataType is std_logic_vector(ahbDataBitNb-1 downto 0); + type ahbDataVector is array(1 to ahbSlaveNb) of ahbDataType; + + ------------------------------------------------------------------------------ + -- address decoder + type ahbMemoryLocationType is + record + baseAddress: natural; + addressMask: natural; + end record; + type ahbMemoryLocationVector is array(1 to ahbSlaveNb) of ahbMemoryLocationType; + + ------------------------------------------------------------------------------ + -- bus signals + subtype transferType is std_ulogic_vector(ahbTransBitNb-1 downto 0); + constant transIdle : transferType := "00"; + constant transBusy : transferType := "01"; + constant transNonSeq: transferType := "10"; + constant transSeq : transferType := "11"; + + subtype transferSizeType is std_ulogic_vector(ahbSizeBitNb-1 downto 0); + constant size8 : transferSizeType := "0"; + constant size16 : transferSizeType := "1"; + + subtype burstType is std_ulogic_vector(ahbBurstBitNb-1 downto 0); + constant burstSingle : burstType := "000"; + constant burstIncr : burstType := "001"; + constant burstWrap4 : burstType := "010"; + constant burstIncr4 : burstType := "011"; + constant burstWrap8 : burstType := "100"; + constant burstIncr8 : burstType := "101"; + constant burstWrap16 : burstType := "110"; + constant burstIncr16 : burstType := "111"; + + subtype protectionType is std_ulogic_vector(ahbProtBitNb-1 downto 0); + constant protDefault : protectionType := "0011"; + + ------------------------------------------------------------------------------ + -- log2 + function addressBitNb (addressNb : natural) return natural; + +END ahbLite; diff --git a/Libs/AhbLite/hdl/ahbLite_pkg_body.vhd b/Libs/AhbLite/hdl/ahbLite_pkg_body.vhd new file mode 100644 index 0000000..ecba504 --- /dev/null +++ b/Libs/AhbLite/hdl/ahbLite_pkg_body.vhd @@ -0,0 +1,15 @@ +PACKAGE BODY ahbLite IS + + function addressBitNb (addressNb : natural) return natural is + variable powerOfTwo, bitNb : natural; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= addressNb loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end addressBitNb; + +END ahbLite; diff --git a/Libs/AhbLite/hdl/ahbMasterInterface_RTL.vhd b/Libs/AhbLite/hdl/ahbMasterInterface_RTL.vhd new file mode 100644 index 0000000..4658305 --- /dev/null +++ b/Libs/AhbLite/hdl/ahbMasterInterface_RTL.vhd @@ -0,0 +1,70 @@ +ARCHITECTURE RTL OF ahbMasterInterface IS + + signal addressReg: unsigned(pAddress'range); + signal newAddress: std_ulogic; + signal writeReg: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + hReset_n <= not reset; + hClk <= clock; + + ------------------------------------------------------------------------------ + -- address and controls + newAddress <= pReadStrobe or pWriteStrobe; + + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if newAddress = '1' then + addressReg <= pAddress; + end if; + end if; + end process storeAddress; + + hAddr <= pAddress when newAddress = '1' + else addressReg; + + storeWrite: process(reset, clock) + begin + if reset = '1' then + writeReg <= '0'; + elsif rising_edge(clock) then + if newAddress = '1' then + writeReg <= pWriteStrobe; + end if; + end if; + end process storeWrite; + + hWrite <= pWriteStrobe when newAddress = '1' + else writeReg; + + hTrans <= transNonSeq when newAddress = '1' + else transIdle; + + hSize <= size16; + hBurst <= burstSingle; + hProt <= protDefault; + hMastLock <= '0'; + + ------------------------------------------------------------------------------ + -- data out + delayData: process(reset, clock) + begin + if reset = '1' then + hWData <= (others => '0'); + elsif rising_edge(clock) then + if pWriteStrobe = '1' then + hWData <= pDataOut; + end if; + end if; + end process delayData; + + ------------------------------------------------------------------------------ + -- data in + pDataIn <= hRData; + +END ARCHITECTURE RTL; diff --git a/Libs/AhbLite/hdl/ahbMultiplexor_RTL.vhd b/Libs/AhbLite/hdl/ahbMultiplexor_RTL.vhd new file mode 100644 index 0000000..75eeec5 --- /dev/null +++ b/Libs/AhbLite/hdl/ahbMultiplexor_RTL.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF ahbMultiplexor IS +BEGIN + + multiplexData: process(hSel, hRDataV, hReadyV, hRespV) + begin + hRData <= (others => '0'); + hReady <= '1'; + hResp <= '0'; + for index in hSel'range loop + if hSel(index) = '1' then + hRData <= std_ulogic_vector(hRDataV(index)); + hReady <= hReadyV(index); + hResp <= hRespV(index); + end if; + end loop; + end process multiplexData; + +END ARCHITECTURE RTL; diff --git a/Libs/AhbLite/hdl/ahbMuxConnector_RTL.vhd b/Libs/AhbLite/hdl/ahbMuxConnector_RTL.vhd new file mode 100644 index 0000000..2436719 --- /dev/null +++ b/Libs/AhbLite/hdl/ahbMuxConnector_RTL.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE RTL OF ahbMuxConnector IS +BEGIN + + hSel <= hSelV(index); + + hRDataV(index) <= std_logic_vector(hRData); + hReadyV(index) <= hReady; + hRespV(index) <= hResp; + + hRDataV <= (others => (others => 'Z')); + hReadyV <= (others => 'Z'); + hRespV <= (others => 'Z'); + +END ARCHITECTURE RTL; diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbDecoder_RTL.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbDecoder_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbDecoder_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg_body.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg_body.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbLite_pkg_body.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbMasterInterface_RTL.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbMasterInterface_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbMasterInterface_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbMultiplexor_RTL.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbMultiplexor_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbMultiplexor_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbMuxConnector_RTL.vhd._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbMuxConnector_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbMuxConnector_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbdecoder_entity.vhg._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbdecoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbdecoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbmasterinterface_entity.vhg._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbmasterinterface_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbmasterinterface_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbmultiplexor_entity.vhg._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbmultiplexor_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbmultiplexor_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/.hdlsidedata/_ahbmuxconnector_entity.vhg._fpf b/Libs/AhbLite/hds/.hdlsidedata/_ahbmuxconnector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/AhbLite/hds/.hdlsidedata/_ahbmuxconnector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite/hds/_ahbdecoder._epf b/Libs/AhbLite/hds/_ahbdecoder._epf new file mode 100644 index 0000000..0281ad5 --- /dev/null +++ b/Libs/AhbLite/hds/_ahbdecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahbDecoder_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/AhbLite/hds/_ahbmasterinterface._epf b/Libs/AhbLite/hds/_ahbmasterinterface._epf new file mode 100644 index 0000000..55f5c53 --- /dev/null +++ b/Libs/AhbLite/hds/_ahbmasterinterface._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom ahbMasterInterface_RTL.vhd diff --git a/Libs/AhbLite/hds/_ahbmultiplexor._epf b/Libs/AhbLite/hds/_ahbmultiplexor._epf new file mode 100644 index 0000000..518a2f8 --- /dev/null +++ b/Libs/AhbLite/hds/_ahbmultiplexor._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahbMultiplexor_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/AhbLite/hds/_ahbmuxconnector._epf b/Libs/AhbLite/hds/_ahbmuxconnector._epf new file mode 100644 index 0000000..fbb037a --- /dev/null +++ b/Libs/AhbLite/hds/_ahbmuxconnector._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom ahbMuxConnector_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/AhbLite/hds/ahb@decoder/symbol.sb b/Libs/AhbLite/hds/ahb@decoder/symbol.sb new file mode 100644 index 0000000..53abeba --- /dev/null +++ b/Libs/AhbLite/hds/ahb@decoder/symbol.sb @@ -0,0 +1,1448 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2064,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2053,0 +) +) +uid 2526,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 254,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2527,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "" +) +uid 2744,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 296,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 298,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 2745,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 302,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 306,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 199 +uid 308,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 310,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 312,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 314,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahb@decoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahb@decoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahb@decoder" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahbDecoder" +) +(vvPair +variable "date" +value "14.04.2014" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/AhbLite/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbDecoder" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahb@decoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\Cansat\\StudentVersion\\AhbLite\\hds\\ahbDecoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:56:48" +) +(vvPair +variable "unit" +value "ahbDecoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2014" +) +(vvPair +variable "yy" +value "14" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2651,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,17625,39000,18375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,17300,44500,18700" +st "hAddr" +blo "40000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,32000,12600" +st "hAddr : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 2051,0 +) +) +) +*59 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,17625,55750,18375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,17300,54000,18700" +st "hSel" +ju 2 +blo "54000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,29000,13400" +st "hSel : OUT std_ulogic_vector (1 to ahbSlaveNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2053,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,14000,55000,22000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "39100,21700,42000,22700" +st "AhbLite" +blo "39100,22500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "39100,22700,44000,23700" +st "ahbDecoder" +blo "39100,23500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "39000,24400,67800,28000" +st "Generic Declarations + +ahbMemoryLocation ahbMemoryLocationVector " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 136,0 +optionalChildren [ +*62 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*72 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"39000,23000" +"55000,23000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "39000,23000,55000,23000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*75 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1419,895" +viewArea "-1100,-1100,74710,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,13400,3700,14600" +st "User:" +blo "0,14400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14600,2000,14600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2768,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/AhbLite/hds/ahb@master@interface/symbol.sb b/Libs/AhbLite/hds/ahb@master@interface/symbol.sb new file mode 100644 index 0000000..6aaf911 --- /dev/null +++ b/Libs/AhbLite/hds/ahb@master@interface/symbol.sb @@ -0,0 +1,2594 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2064,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 322,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 324,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "pReadStrobe" +t "std_uLogic" +o 7 +suid 2024,0 +) +) +uid 496,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "pWriteStrobe" +t "std_uLogic" +o 9 +suid 2026,0 +) +) +uid 500,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "pAddress" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2039,0 +) +) +uid 1168,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "pDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2040,0 +) +) +uid 1170,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "pDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 2050,0 +) +) +uid 2048,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 11 +suid 2051,0 +) +) +uid 2524,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 19 +suid 2053,0 +) +) +uid 2526,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 2054,0 +) +) +uid 2528,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 20 +suid 2055,0 +) +) +uid 2530,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 17 +suid 2056,0 +) +) +uid 2532,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 12 +suid 2057,0 +) +) +uid 2534,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 15 +suid 2058,0 +) +) +uid 2536,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 18 +suid 2059,0 +) +) +uid 2538,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 14 +suid 2060,0 +) +) +uid 2540,0 +) +*30 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 2061,0 +) +) +uid 2542,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 2062,0 +) +) +uid 2544,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 13 +suid 2063,0 +) +) +uid 2546,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 16 +suid 2064,0 +) +) +uid 2548,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*34 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *35 (MRCItem +litem &1 +pos 20 +dimension 20 +) +uid 254,0 +optionalChildren [ +*36 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 257,0 +) +*37 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 259,0 +) +*38 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*39 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 281,0 +) +*40 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 283,0 +) +*41 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 497,0 +) +*42 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 501,0 +) +*43 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 1169,0 +) +*44 (MRCItem +litem &19 +pos 4 +dimension 20 +uid 1171,0 +) +*45 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2049,0 +) +*46 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2525,0 +) +*47 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2527,0 +) +*48 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2529,0 +) +*49 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2531,0 +) +*50 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2533,0 +) +*51 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 2535,0 +) +*52 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 2537,0 +) +*53 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 2539,0 +) +*54 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 2541,0 +) +*55 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 2543,0 +) +*56 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 2545,0 +) +*57 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 2547,0 +) +*58 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 2549,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*59 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 263,0 +) +*60 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 267,0 +) +*61 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 269,0 +) +*62 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 271,0 +) +*63 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 273,0 +) +*64 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 275,0 +) +*65 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 277,0 +) +*66 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *67 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "GenericNameColHdrMgr" +) +*75 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*76 (InitColHdr +tm "GenericValueColHdrMgr" +) +*77 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*78 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*79 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *80 (MRCItem +litem &67 +pos 0 +dimension 20 +) +uid 293,0 +optionalChildren [ +*81 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 296,0 +) +*82 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 298,0 +) +*83 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*84 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 302,0 +) +*85 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 306,0 +) +*86 (MRCItem +litem &74 +pos 2 +dimension 199 +uid 308,0 +) +*87 (MRCItem +litem &75 +pos 3 +dimension 100 +uid 310,0 +) +*88 (MRCItem +litem &76 +pos 4 +dimension 50 +uid 312,0 +) +*89 (MRCItem +litem &77 +pos 5 +dimension 50 +uid 314,0 +) +*90 (MRCItem +litem &78 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@master@interface\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@master@interface\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@master@interface" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMasterInterface" +) +(vvPair +variable "date" +value "07.06.2013" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbMasterInterface" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/AhbLite/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "ahbMasterInterface" +) +(vvPair +variable "month" +value "juin" +) +(vvPair +variable "month_long" +value "juin" +) +(vvPair +variable "p" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@master@interface\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMasterInterface\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:13:19" +) +(vvPair +variable "unit" +value "ahbMasterInterface" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*91 (SymbolBody +uid 8,0 +optionalChildren [ +*92 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,37625,47000,38375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,37300,51800,38700" +st "clock" +blo "48000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,21000,12600" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*93 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,39625,47000,40375" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 251,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,39300,52100,40700" +st "reset" +blo "48000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18200,21000,19000" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*94 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2573,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,21625,47000,22375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,21300,57800,22700" +st "pReadStrobe" +blo "48000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16600,21000,17400" +st "pReadStrobe : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "pReadStrobe" +t "std_uLogic" +o 7 +suid 2024,0 +) +) +) +*95 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,23625,47000,24375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,23300,58000,24700" +st "pWriteStrobe" +blo "48000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17400,21000,18200" +st "pWriteStrobe : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "pWriteStrobe" +t "std_uLogic" +o 9 +suid 2026,0 +) +) +) +*96 (CptPort +uid 2003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2575,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,15625,47000,16375" +) +tg (CPTG +uid 2005,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2006,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,15300,54700,16700" +st "pAddress" +blo "48000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2007,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15000,35500,15800" +st "pAddress : IN unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "pAddress" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2039,0 +) +) +) +*97 (CptPort +uid 2008,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,17625,47000,18375" +) +tg (CPTG +uid 2010,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2011,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,17300,54900,18700" +st "pDataOut" +blo "48000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2012,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15800,37500,16600" +st "pDataOut : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "pDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +suid 2040,0 +) +) +) +*98 (CptPort +uid 2043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2577,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,19625,47000,20375" +) +tg (CPTG +uid 2045,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2046,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,19300,53900,20700" +st "pDataIn" +blo "48000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2047,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,27000,36500,27800" +st "pDataIn : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "pDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +suid 2050,0 +) +) +) +*99 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2578,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,15625,63750,16375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "57500,15300,62000,16700" +st "hAddr" +ju 2 +blo "62000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19000,35500,19800" +st "hAddr : OUT unsigned ( ahbAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 11 +suid 2051,0 +) +) +) +*100 (CptPort +uid 2464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,17625,63750,18375" +) +tg (CPTG +uid 2466,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2467,0 +va (VaSet +font "Verdana,12,0" +) +xt "56100,17300,62000,18700" +st "hWData" +ju 2 +blo "62000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2468,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25400,37500,26200" +st "hWData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 19 +suid 2053,0 +) +) +) +*101 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2579,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,31625,63750,32375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "56600,31300,62000,32700" +st "hRData" +ju 2 +blo "62000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,37500,13400" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +suid 2054,0 +) +) +) +*102 (CptPort +uid 2474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2477,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,21300,62000,22700" +st "hWrite" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2478,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26200,21000,27000" +st "hWrite : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 20 +suid 2055,0 +) +) +) +*103 (CptPort +uid 2479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2482,0 +va (VaSet +font "Verdana,12,0" +) +xt "57800,23300,62000,24700" +st "hSize" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2483,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23800,37500,24600" +st "hSize : OUT std_ulogic_vector (ahbSizeBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 17 +suid 2056,0 +) +) +) +*104 (CptPort +uid 2484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2485,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,25625,63750,26375" +) +tg (CPTG +uid 2486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2487,0 +va (VaSet +font "Verdana,12,0" +) +xt "57100,25300,62000,26700" +st "hBurst" +ju 2 +blo "62000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2488,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19800,38000,20600" +st "hBurst : OUT std_ulogic_vector (ahbBurstBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 12 +suid 2057,0 +) +) +) +*105 (CptPort +uid 2489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2490,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,27625,63750,28375" +) +tg (CPTG +uid 2491,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2492,0 +va (VaSet +font "Verdana,12,0" +) +xt "57800,27300,62000,28700" +st "hProt" +ju 2 +blo "62000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2493,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22200,37500,23000" +st "hProt : OUT std_ulogic_vector (ahbProtBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 15 +suid 2058,0 +) +) +) +*106 (CptPort +uid 2494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2497,0 +va (VaSet +font "Verdana,12,0" +) +xt "56900,19300,62000,20700" +st "hTrans" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2498,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,24600,38000,25400" +st "hTrans : OUT std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 18 +suid 2059,0 +) +) +) +*107 (CptPort +uid 2499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,29625,63750,30375" +) +tg (CPTG +uid 2501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2502,0 +va (VaSet +font "Verdana,12,0" +) +xt "54600,29300,62000,30700" +st "hMastLock" +ju 2 +blo "62000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2503,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21400,21000,22200" +st "hMastLock : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 14 +suid 2060,0 +) +) +) +*108 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2581,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,33625,63750,34375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "56500,33300,62000,34700" +st "hReady" +ju 2 +blo "62000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,21000,14200" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +suid 2061,0 +) +) +) +*109 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2510,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,35625,63750,36375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "57300,35300,62000,36700" +st "hResp" +ju 2 +blo "62000,36500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,21000,15000" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +suid 2062,0 +) +) +) +*110 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,37625,63750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "Verdana,12,0" +) +xt "58500,37300,62000,38700" +st "hClk" +ju 2 +blo "62000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20600,21000,21400" +st "hClk : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 13 +suid 2063,0 +) +) +) +*111 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,39625,63750,40375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "Verdana,12,0" +) +xt "55200,39300,62000,40700" +st "hReset_n" +ju 2 +blo "62000,40500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23000,21000,23800" +st "hReset_n : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 16 +suid 2064,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,12000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,50000,42700" +st "AhbLite" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,54400,43700" +st "ahbMasterInterface" +blo "47100,43500" +) +) +gi *112 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "47000,44400,60800,45600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*113 (Grouping +uid 136,0 +optionalChildren [ +*114 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,71200,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*115 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*116 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,71200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*117 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,59300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*118 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*119 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,92300,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*120 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "64150,61500,70850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*121 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,59300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*122 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,59900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*123 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,74100,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*124 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *125 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*126 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*127 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all; +" +tm "PackageList" +) +] +) +windowSize "117,42,1413,895" +viewArea "-1500,-1500,99738,67966" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *128 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *129 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,27800,3700,29000" +st "User:" +blo "0,28800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,29000,2000,29000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2627,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/AhbLite/hds/ahb@multiplexor/symbol.sb b/Libs/AhbLite/hds/ahb@multiplexor/symbol.sb new file mode 100644 index 0000000..be383a2 --- /dev/null +++ b/Libs/AhbLite/hds/ahb@multiplexor/symbol.sb @@ -0,0 +1,1750 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2067,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +suid 2054,0 +) +) +uid 2528,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +uid 2542,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +uid 2544,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2065,0 +) +) +uid 2643,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2066,0 +) +) +uid 2645,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +suid 2067,0 +) +) +uid 2647,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 254,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2529,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2543,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2545,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2644,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2646,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2648,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 0 +dimension 20 +) +uid 293,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 296,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 298,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 302,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 306,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 199 +uid 308,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 310,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 312,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 314,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@multiplexor\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@multiplexor\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@multiplexor" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMultiplexor" +) +(vvPair +variable "date" +value "07.06.2013" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbMultiplexor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/AhbLite/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "ahbMultiplexor" +) +(vvPair +variable "month" +value "juin" +) +(vvPair +variable "month_long" +value "juin" +) +(vvPair +variable "p" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@multiplexor\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMultiplexor\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:09:06" +) +(vvPair +variable "unit" +value "ahbMultiplexor" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2672,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "51500,12300,55000,13700" +st "hSel" +ju 2 +blo "55000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,32000,15000" +st "hSel : IN std_ulogic_vector ( 1 TO ahbSlaveNb ) ; +" +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +suid 2051,0 +) +) +) +*67 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2579,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,16625,56750,17375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "48800,16300,55000,17700" +st "hRDataV" +ju 2 +blo "55000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,20000,12600" +st "hRDataV : IN ahbDataVector ; +" +) +thePort (LogicalPort +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +suid 2054,0 +) +) +) +*68 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2673,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,18300,46500,19700" +st "hReady" +blo "41000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15800,18500,16600" +st "hReady : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*69 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2698,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,20300,45700,21700" +st "hResp" +blo "41000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16600,17500,17400" +st "hResp : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +) +*70 (CptPort +uid 2628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2674,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 2630,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2631,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,16300,46400,17700" +st "hRData" +blo "41000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2632,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15000,35000,15800" +st "hRData : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2065,0 +) +) +) +*71 (CptPort +uid 2633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2634,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,18625,56750,19375" +) +tg (CPTG +uid 2635,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2636,0 +va (VaSet +font "Verdana,12,0" +) +xt "48700,18300,55000,19700" +st "hReadyV" +ju 2 +blo "55000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2637,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,30500,13400" +st "hReadyV : IN std_logic_vector (1 to ahbSlaveNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2066,0 +) +) +) +*72 (CptPort +uid 2638,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2639,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,20625,56750,21375" +) +tg (CPTG +uid 2640,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "49500,20300,55000,21700" +st "hRespV" +ju 2 +blo "55000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2642,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,30500,14200" +st "hRespV : IN std_logic_vector (1 to ahbSlaveNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +suid 2067,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,25000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "40100,24700,43000,25700" +st "AhbLite" +blo "40100,25500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "40100,25700,45800,26700" +st "ahbMultiplexor" +blo "40100,26500" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "40000,27400,53800,28600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 136,0 +optionalChildren [ +*75 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*80 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*85 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"40000,27000" +"56000,27000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "40000,27000,56000,27000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*88 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1419,895" +viewArea "-1100,-1100,74710,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,17400,3700,18600" +st "User:" +blo "0,18400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18600,2000,18600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2790,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/AhbLite/hds/ahb@mux@connector/symbol.sb b/Libs/AhbLite/hds/ahb@mux@connector/symbol.sb new file mode 100644 index 0000000..6180f27 --- /dev/null +++ b/Libs/AhbLite/hds/ahb@mux@connector/symbol.sb @@ -0,0 +1,1835 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2068,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +suid 2051,0 +) +) +uid 2524,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +suid 2054,0 +) +) +uid 2528,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +uid 2542,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +uid 2544,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2065,0 +) +) +uid 2643,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2066,0 +) +) +uid 2645,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +suid 2067,0 +) +) +uid 2647,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 2068,0 +) +) +uid 2796,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 254,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2525,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2529,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2543,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2545,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2644,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2646,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2648,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2797,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "index" +type "positive" +value "1" +) +uid 2798,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 296,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 298,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 2799,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 302,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 306,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 199 +uid 308,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 310,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 312,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 314,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@mux@connector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@mux@connector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@mux@connector" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMuxConnector" +) +(vvPair +variable "date" +value "07.06.2013" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbMuxConnector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/AhbLite/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "ahbMuxConnector" +) +(vvPair +variable "month" +value "juin" +) +(vvPair +variable "month_long" +value "juin" +) +(vvPair +variable "p" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahb@mux@connector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\Cansat\\..\\Libs\\AhbLite\\hds\\ahbMuxConnector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:07:55" +) +(vvPair +variable "unit" +value "ahbMuxConnector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 2452,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2672,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 2454,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2455,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,14300,45300,15700" +st "hSelV" +blo "41000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2456,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,32000,15000" +st "hSelV : IN std_ulogic_vector ( 1 TO ahbSlaveNb ) ; +" +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +suid 2051,0 +) +) +) +*71 (CptPort +uid 2469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2823,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 2471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2472,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,16300,47200,17700" +st "hRDataV" +blo "41000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15000,20000,15800" +st "hRDataV : OUT ahbDataVector ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +suid 2054,0 +) +) +) +*72 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2824,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,18625,56750,19375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "Verdana,12,0" +) +xt "49500,18300,55000,19700" +st "hReady" +ju 2 +blo "55000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,18500,13400" +st "hReady : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +suid 2061,0 +) +) +) +*73 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2825,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,20625,56750,21375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "50300,20300,55000,21700" +st "hResp" +ju 2 +blo "55000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,18500,14200" +st "hResp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +suid 2062,0 +) +) +) +*74 (CptPort +uid 2628,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2826,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,16625,56750,17375" +) +tg (CPTG +uid 2630,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2631,0 +va (VaSet +font "Verdana,12,0" +) +xt "49600,16300,55000,17700" +st "hRData" +ju 2 +blo "55000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2632,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,35000,12600" +st "hRData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +suid 2065,0 +) +) +) +*75 (CptPort +uid 2633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2827,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 2635,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2636,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,18300,47300,19700" +st "hReadyV" +blo "41000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2637,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15800,30500,16600" +st "hReadyV : OUT std_logic_vector (1 to ahbSlaveNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +suid 2066,0 +) +) +) +*76 (CptPort +uid 2638,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2828,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 2640,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2641,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,20300,46500,21700" +st "hRespV" +blo "41000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2642,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16600,30500,17400" +st "hRespV : OUT std_logic_vector (1 to ahbSlaveNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +suid 2067,0 +) +) +) +*77 (CptPort +uid 2791,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2829,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,14625,56750,15375" +) +tg (CPTG +uid 2793,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2794,0 +va (VaSet +font "Verdana,12,0" +) +xt "51500,14300,55000,15700" +st "hSel" +ju 2 +blo "55000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2795,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17400,17500,18200" +st "hSel : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +suid 2068,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,11000,56000,25000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "40100,24700,43000,25700" +st "AhbLite" +blo "40100,25500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "40100,25700,47000,26700" +st "ahbMuxConnector" +blo "40100,26500" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "40000,27400,53800,31000" +st "Generic Declarations + +index positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "index" +type "positive" +value "1" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 136,0 +optionalChildren [ +*80 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,46200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,62400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,67300,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,44500,45850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,48700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*90 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"40000,27000" +"56000,27000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "40000,27000,56000,27000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1420,895" +viewArea "-1100,-1100,74780,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,18200,3700,19400" +st "User:" +blo "0,19200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19400,2000,19400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2829,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/AhbLite_test/doc/AMBA_AHB-Lite_spec.pdf b/Libs/AhbLite_test/doc/AMBA_AHB-Lite_spec.pdf new file mode 100644 index 0000000..99e720c Binary files /dev/null and b/Libs/AhbLite_test/doc/AMBA_AHB-Lite_spec.pdf differ diff --git a/Libs/AhbLite_test/hdl/ahbLite_tester_test.vhd b/Libs/AhbLite_test/hdl/ahbLite_tester_test.vhd new file mode 100644 index 0000000..4bf43cd --- /dev/null +++ b/Libs/AhbLite_test/hdl/ahbLite_tester_test.vhd @@ -0,0 +1,145 @@ +ARCHITECTURE test OF ahbLite_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + -- register access + signal registerAddress: natural; + signal registerData: integer; + signal registerWrite: std_uLogic; + signal registerRead: std_uLogic; + -- AHB lite registers + signal addressReg: unsigned(hAddr'range); + signal writeReg: std_uLogic; + signal selPeriph1Reg: std_uLogic; + signal selPeriph2Reg: std_uLogic; + signal hSel: std_uLogic; + constant registerNb: positive := 2*periph2BaseAddress; + subtype registerType is std_uLogic_vector(hWdata'range); + type registerArrayType is array (registerNb-1 downto 0) of registerType; + signal registerArray: registerArrayType; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 4*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9.0/10.0; + + + ------------------------------------------------------------------------------ + -- test sequence + testSequence: process + begin + registerAddress <= 0; + registerData <= 0; + registerWrite <= '0'; + registerRead <= '0'; + wait for 100 ns; + -- write periph1 register 0 + registerAddress <= 0; + registerData <= 1; + registerWrite <= '1', '0' after clockPeriod; + wait for 8*clockPeriod; + -- write periph1 register 1 + registerAddress <= 1; + registerData <= 2; + registerWrite <= '1', '0' after clockPeriod; + wait for 8*clockPeriod; + -- write periph2 register 0 + registerAddress <= periph2BaseAddress; + registerData <= periph2BaseAddress + 1; + registerWrite <= '1', '0' after clockPeriod; + wait for 2*clockPeriod; + -- write periph2 register 1 + registerAddress <= periph2BaseAddress + 1; + registerData <= periph2BaseAddress + 2; + registerWrite <= '1', '0' after clockPeriod; + wait for 8*clockPeriod; + -- read periph1 register 0 + registerAddress <= 0; + registerRead <= '1', '0' after clockPeriod; + wait for 8*clockPeriod; + -- read periph2 register 0 + registerAddress <= periph2BaseAddress; + registerRead <= '1', '0' after clockPeriod; + wait for 8*clockPeriod; + + wait; + end process testSequence; + + --============================================================================ + -- microprocessor bus access + busAccess: process + variable writeAccess: boolean; + begin + upAddress <= (others => '-'); + upDataOut <= (others => '-'); + upReadStrobe <= '0'; + upWriteStrobe <= '0'; + -- wait for transaction + wait on registerWrite, registerRead; + if not(hReset_n) = '0' then + writeAccess := false; + if rising_edge(registerWrite) then + writeAccess := true; + end if; + -- single-cycle bus access + wait until rising_edge(clock_int); + upAddress <= to_unsigned(registerAddress, hAddr'length); + if writeAccess then + upWriteStrobe <= '1'; + upDataOut <= std_uLogic_vector(to_signed(registerData, upDataOut'length)); + else + upReadStrobe <= '1'; + end if; + wait until rising_edge(clock_int); + end if; + end process; + + --============================================================================ + -- AHB bus access + hSel <= hSelPeriph1 or hSelPeriph2; + -- address and controls + storeControls: process(hReset_n, hClk) + begin + if not(hReset_n) = '1' then + addressReg <= (others => '0'); + writeReg <= '0'; + selPeriph1Reg <= '0'; + selPeriph2Reg <= '0'; + elsif rising_edge(hClk) then + writeReg <= '0'; + if (hSel = '1') and (hTrans = transNonSeq) then + addressReg <= hAddr; + writeReg <= hWrite; + selPeriph1Reg <= hSelPeriph1; + selPeriph2Reg <= hSelPeriph2; + end if; + end if; + end process storeControls; + -- write registers + storeRegisters: process(hReset_n, hClk) + begin + if not(hReset_n) = '1' then + registerArray <= (others => (others => '0')); + elsif rising_edge(hClk) then + if writeReg = '1' then + registerArray(to_integer(addressReg)) <= hWData; + end if; + end if; + end process storeRegisters; + -- read egisters + hRDataPeriph1 <= registerArray(to_integer(addressReg)) + when addressReg < periph2BaseAddress + else (others => '-'); + hReadyPeriph1 <= '1'; -- no wait state + hRespPeriph1 <= '0'; -- data OK + + hRDataPeriph2 <= registerArray(to_integer(addressReg)) + when addressReg >= periph2BaseAddress + else (others => '-'); + hReadyPeriph2 <= '1'; -- no wait state + hRespPeriph2 <= '0'; -- data OK + +END ARCHITECTURE test; diff --git a/Libs/AhbLite_test/hds/.hdlsidedata/_ahbLite_tester_test.vhd._fpf b/Libs/AhbLite_test/hds/.hdlsidedata/_ahbLite_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/AhbLite_test/hds/.hdlsidedata/_ahbLite_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/AhbLite_test/hds/_ahblite_tb._epf b/Libs/AhbLite_test/hds/_ahblite_tb._epf new file mode 100644 index 0000000..afa58fb --- /dev/null +++ b/Libs/AhbLite_test/hds/_ahblite_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom ahb@lite_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/AhbLite_test/hds/_ahblite_tester._epf b/Libs/AhbLite_test/hds/_ahblite_tester._epf new file mode 100644 index 0000000..27cb4f7 --- /dev/null +++ b/Libs/AhbLite_test/hds/_ahblite_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom ahbLite_tester_test.vhd diff --git a/Libs/AhbLite_test/hds/ahb@lite_tb/struct.bd b/Libs/AhbLite_test/hds/ahb@lite_tb/struct.bd new file mode 100644 index 0000000..ceb3707 --- /dev/null +++ b/Libs/AhbLite_test/hds/ahb@lite_tb/struct.bd @@ -0,0 +1,7355 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +instances [ +(Instance +name "I_mst" +duLibraryName "AhbLite" +duName "ahbMasterInterface" +elements [ +] +mwi 0 +uid 12494,0 +) +(Instance +name "I_mux" +duLibraryName "AhbLite" +duName "ahbMultiplexor" +elements [ +] +mwi 0 +uid 12583,0 +) +(Instance +name "I_dec" +duLibraryName "AhbLite" +duName "ahbDecoder" +elements [ +(GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "ahbMemoryLocation" +) +] +mwi 0 +uid 12620,0 +) +(Instance +name "I_connT" +duLibraryName "AhbLite" +duName "ahbMuxConnector" +elements [ +(GiElement +name "index" +type "positive" +value "periph1Index" +) +] +mwi 0 +uid 12637,0 +) +(Instance +name "I_connAdc" +duLibraryName "AhbLite" +duName "ahbMuxConnector" +elements [ +(GiElement +name "index" +type "positive" +value "periph2Index" +) +] +mwi 0 +uid 12678,0 +) +(Instance +name "I_tester" +duLibraryName "AhbLite_test" +duName "ahbLite_tester" +elements [ +(GiElement +name "periph2BaseAddress" +type "natural" +value "16#10#" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +mwi 0 +uid 13243,0 +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahbLite_tb" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbLite_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:16:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLite_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbLite_tb" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahbLite_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:16:10" +) +(vvPair +variable "unit" +value "ahbLite_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "173000,121000,192000,123000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "173200,121400,188600,122600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "141000,121000,167000,123000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "148250,121250,159750,122750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,127000,167000,129000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,127400,164000,128600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "167000,121000,173000,123000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "167200,121400,171900,122600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,123000,167000,125000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,123400,161400,124600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "141000,123000,146000,125000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "141200,123400,144600,124600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "141000,125000,146000,127000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "141200,125400,144600,126600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "167000,123000,192000,129000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "167200,123200,181300,124400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,125000,167000,127000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,125400,163900,126600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "141000,127000,146000,129000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "141200,127400,145500,128600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "141000,121000,192000,129000" +) +oxt "13000,22000,64000,30000" +) +*12 (SaComponent +uid 12494,0 +optionalChildren [ +*13 (CptPort +uid 12503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12504,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,63625,61000,64375" +) +tg (CPTG +uid 12505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12506,0 +va (VaSet +) +xt "62000,63400,65400,64600" +st "clock" +blo "62000,64400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*14 (CptPort +uid 12507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,65625,61000,66375" +) +tg (CPTG +uid 12509,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12510,0 +va (VaSet +) +xt "62000,65400,65300,66600" +st "reset" +blo "62000,66400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*15 (CptPort +uid 12511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12512,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,47625,61000,48375" +) +tg (CPTG +uid 12513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12514,0 +va (VaSet +) +xt "62000,47400,69800,48600" +st "pReadStrobe" +blo "62000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "pReadStrobe" +t "std_uLogic" +o 7 +) +) +) +*16 (CptPort +uid 12515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,49625,61000,50375" +) +tg (CPTG +uid 12517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12518,0 +va (VaSet +) +xt "62000,49400,69900,50600" +st "pWriteStrobe" +blo "62000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "pWriteStrobe" +t "std_uLogic" +o 9 +) +) +) +*17 (CptPort +uid 12519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12520,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,41625,61000,42375" +) +tg (CPTG +uid 12521,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12522,0 +va (VaSet +) +xt "62000,41400,67500,42600" +st "pAddress" +blo "62000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "pAddress" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 2 +) +) +) +*18 (CptPort +uid 12523,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12524,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,43625,61000,44375" +) +tg (CPTG +uid 12525,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12526,0 +va (VaSet +) +xt "62000,43400,67600,44600" +st "pDataOut" +blo "62000,44400" +) +) +thePort (LogicalPort +decl (Decl +n "pDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +*19 (CptPort +uid 12527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12528,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,45625,61000,46375" +) +tg (CPTG +uid 12529,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12530,0 +va (VaSet +) +xt "62000,45400,66800,46600" +st "pDataIn" +blo "62000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "pDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 10 +) +) +) +*20 (CptPort +uid 12531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,41625,77750,42375" +) +tg (CPTG +uid 12533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12534,0 +va (VaSet +) +xt "72300,41400,76000,42600" +st "hAddr" +ju 2 +blo "76000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 11 +) +) +) +*21 (CptPort +uid 12535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12536,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,43625,77750,44375" +) +tg (CPTG +uid 12537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12538,0 +va (VaSet +) +xt "71400,43400,76000,44600" +st "hWData" +ju 2 +blo "76000,44400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 19 +) +) +) +*22 (CptPort +uid 12539,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12540,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,57625,77750,58375" +) +tg (CPTG +uid 12541,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12542,0 +va (VaSet +) +xt "71600,57400,76000,58600" +st "hRData" +ju 2 +blo "76000,58400" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*23 (CptPort +uid 12543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,47625,77750,48375" +) +tg (CPTG +uid 12545,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12546,0 +va (VaSet +) +xt "72100,47400,76000,48600" +st "hWrite" +ju 2 +blo "76000,48400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hWrite" +t "std_uLogic" +o 20 +) +) +) +*24 (CptPort +uid 12547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,49625,77750,50375" +) +tg (CPTG +uid 12549,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12550,0 +va (VaSet +) +xt "72600,49400,76000,50600" +st "hSize" +ju 2 +blo "76000,50400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 17 +) +) +) +*25 (CptPort +uid 12551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,51625,77750,52375" +) +tg (CPTG +uid 12553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12554,0 +va (VaSet +) +xt "72000,51400,76000,52600" +st "hBurst" +ju 2 +blo "76000,52400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 12 +) +) +) +*26 (CptPort +uid 12555,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,53625,77750,54375" +) +tg (CPTG +uid 12557,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12558,0 +va (VaSet +) +xt "72600,53400,76000,54600" +st "hProt" +ju 2 +blo "76000,54400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 15 +) +) +) +*27 (CptPort +uid 12559,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12560,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,45625,77750,46375" +) +tg (CPTG +uid 12561,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12562,0 +va (VaSet +) +xt "71800,45400,76000,46600" +st "hTrans" +ju 2 +blo "76000,46400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 18 +) +) +) +*28 (CptPort +uid 12563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,55625,77750,56375" +) +tg (CPTG +uid 12565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12566,0 +va (VaSet +) +xt "69800,55400,76000,56600" +st "hMastLock" +ju 2 +blo "76000,56400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 14 +) +) +) +*29 (CptPort +uid 12567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12568,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,59625,77750,60375" +) +tg (CPTG +uid 12569,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12570,0 +va (VaSet +) +xt "71600,59400,76000,60600" +st "hReady" +ju 2 +blo "76000,60400" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 5 +) +) +) +*30 (CptPort +uid 12571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12572,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,61625,77750,62375" +) +tg (CPTG +uid 12573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12574,0 +va (VaSet +) +xt "72200,61400,76000,62600" +st "hResp" +ju 2 +blo "76000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 6 +) +) +) +*31 (CptPort +uid 12575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,63625,77750,64375" +) +tg (CPTG +uid 12577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12578,0 +va (VaSet +) +xt "73000,63400,76000,64600" +st "hClk" +ju 2 +blo "76000,64400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hClk" +t "std_uLogic" +o 13 +) +) +) +*32 (CptPort +uid 12579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,65625,77750,66375" +) +tg (CPTG +uid 12581,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12582,0 +va (VaSet +) +xt "70600,65400,76000,66600" +st "hReset_n" +ju 2 +blo "76000,66400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 16 +) +) +) +] +shape (Rectangle +uid 12495,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "61000,38000,77000,68000" +) +oxt "47000,12000,63000,42000" +ttg (MlTextGroup +uid 12496,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 12497,0 +va (VaSet +font "Verdana,10,0" +) +xt "61100,67700,65900,68900" +st "AhbLite" +blo "61100,68700" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 12498,0 +va (VaSet +font "Verdana,10,0" +) +xt "61100,68900,73300,70100" +st "ahbMasterInterface" +blo "61100,69900" +tm "CptNameMgr" +) +*35 (Text +uid 12499,0 +va (VaSet +font "Verdana,10,0" +) +xt "61100,70100,65000,71300" +st "I_mst" +blo "61100,71100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12500,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12501,0 +text (MLText +uid 12502,0 +va (VaSet +font "Courier New,8,0" +) +xt "61000,70400,61000,70400" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 13469,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "61250,66250,62750,67750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 12583,0 +optionalChildren [ +*37 (CptPort +uid 12592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12593,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,77625,117750,78375" +) +tg (CPTG +uid 12594,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12595,0 +va (VaSet +) +xt "113100,77400,116000,78600" +st "hSel" +ju 2 +blo "116000,78400" +) +) +thePort (LogicalPort +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*38 (CptPort +uid 12596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12597,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,81625,117750,82375" +) +tg (CPTG +uid 12598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12599,0 +va (VaSet +) +xt "110800,81400,116000,82600" +st "hRDataV" +ju 2 +blo "116000,82400" +) +) +thePort (LogicalPort +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*39 (CptPort +uid 12600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12601,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,83625,101000,84375" +) +tg (CPTG +uid 12602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12603,0 +va (VaSet +) +xt "102000,83400,106400,84600" +st "hReady" +blo "102000,84400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*40 (CptPort +uid 12604,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12605,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,85625,101000,86375" +) +tg (CPTG +uid 12606,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12607,0 +va (VaSet +) +xt "102000,85400,105800,86600" +st "hResp" +blo "102000,86400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*41 (CptPort +uid 12608,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12609,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,81625,101000,82375" +) +tg (CPTG +uid 12610,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12611,0 +va (VaSet +) +xt "102000,81400,106400,82600" +st "hRData" +blo "102000,82400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*42 (CptPort +uid 12612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12613,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,83625,117750,84375" +) +tg (CPTG +uid 12614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12615,0 +va (VaSet +) +xt "110800,83400,116000,84600" +st "hReadyV" +ju 2 +blo "116000,84400" +) +) +thePort (LogicalPort +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*43 (CptPort +uid 12616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12617,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,85625,117750,86375" +) +tg (CPTG +uid 12618,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12619,0 +va (VaSet +) +xt "111400,85400,116000,86600" +st "hRespV" +ju 2 +blo "116000,86400" +) +) +thePort (LogicalPort +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +] +shape (Rectangle +uid 12584,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "101000,74000,117000,90000" +) +oxt "40000,9000,56000,25000" +ttg (MlTextGroup +uid 12585,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 12586,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,89700,105900,90900" +st "AhbLite" +blo "101100,90700" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 12587,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,90900,110700,92100" +st "ahbMultiplexor" +blo "101100,91900" +tm "CptNameMgr" +) +*46 (Text +uid 12588,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,92100,105400,93300" +st "I_mux" +blo "101100,93100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12589,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12590,0 +text (MLText +uid 12591,0 +va (VaSet +font "Courier New,8,0" +) +xt "101000,92400,101000,92400" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 13470,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,88250,102750,89750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 12620,0 +optionalChildren [ +*48 (CptPort +uid 12629,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12630,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100250,61625,101000,62375" +) +tg (CPTG +uid 12631,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12632,0 +va (VaSet +) +xt "102000,61400,105700,62600" +st "hAddr" +blo "102000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "( ahbAddressBitNb-1 DOWNTO 0 )" +o 1 +) +) +) +*49 (CptPort +uid 12633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12634,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,61625,117750,62375" +) +tg (CPTG +uid 12635,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12636,0 +va (VaSet +) +xt "113100,61400,116000,62600" +st "hSel" +ju 2 +blo "116000,62400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_ulogic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +] +shape (Rectangle +uid 12621,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "101000,58000,117000,66000" +) +oxt "39000,14000,55000,22000" +ttg (MlTextGroup +uid 12622,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 12623,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,65700,105900,66900" +st "AhbLite" +blo "101100,66700" +tm "BdLibraryNameMgr" +) +*51 (Text +uid 12624,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,66900,109100,68100" +st "ahbDecoder" +blo "101100,67900" +tm "CptNameMgr" +) +*52 (Text +uid 12625,0 +va (VaSet +font "Verdana,10,0" +) +xt "101100,68100,104800,69300" +st "I_dec" +blo "101100,69100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12626,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12627,0 +text (MLText +uid 12628,0 +va (VaSet +font "Courier New,8,0" +) +xt "101000,68800,138000,69600" +st "ahbMemoryLocation = ahbMemoryLocation ( ahbMemoryLocationVector ) " +) +header "" +) +elements [ +(GiElement +name "ahbMemoryLocation" +type "ahbMemoryLocationVector" +value "ahbMemoryLocation" +) +] +) +viewicon (ZoomableIcon +uid 13471,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,64250,102750,65750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*53 (SaComponent +uid 12637,0 +optionalChildren [ +*54 (CptPort +uid 12646,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12647,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,53625,133000,54375" +) +tg (CPTG +uid 12648,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12649,0 +va (VaSet +) +xt "134000,53400,137700,54600" +st "hSelV" +blo "134000,54400" +) +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*55 (CptPort +uid 12650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12651,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,55625,133000,56375" +) +tg (CPTG +uid 12652,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12653,0 +va (VaSet +) +xt "134000,55400,139200,56600" +st "hRDataV" +blo "134000,56400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*56 (CptPort +uid 12654,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12655,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,57625,149750,58375" +) +tg (CPTG +uid 12656,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12657,0 +va (VaSet +) +xt "143600,57400,148000,58600" +st "hReady" +ju 2 +blo "148000,58400" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*57 (CptPort +uid 12658,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12659,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,59625,149750,60375" +) +tg (CPTG +uid 12660,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12661,0 +va (VaSet +) +xt "144200,59400,148000,60600" +st "hResp" +ju 2 +blo "148000,60400" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*58 (CptPort +uid 12662,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12663,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,55625,149750,56375" +) +tg (CPTG +uid 12664,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12665,0 +va (VaSet +) +xt "143600,55400,148000,56600" +st "hRData" +ju 2 +blo "148000,56400" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*59 (CptPort +uid 12666,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12667,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,57625,133000,58375" +) +tg (CPTG +uid 12668,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12669,0 +va (VaSet +) +xt "134000,57400,139200,58600" +st "hReadyV" +blo "134000,58400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*60 (CptPort +uid 12670,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12671,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,59625,133000,60375" +) +tg (CPTG +uid 12672,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12673,0 +va (VaSet +) +xt "134000,59400,138600,60600" +st "hRespV" +blo "134000,60400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +*61 (CptPort +uid 12674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12675,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,53625,149750,54375" +) +tg (CPTG +uid 12676,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12677,0 +va (VaSet +) +xt "145100,53400,148000,54600" +st "hSel" +ju 2 +blo "148000,54400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +) +) +) +] +shape (Rectangle +uid 12638,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "133000,50000,149000,64000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 12639,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 12640,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,63700,137900,64900" +st "AhbLite" +blo "133100,64700" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 12641,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,64900,144500,66100" +st "ahbMuxConnector" +blo "133100,65900" +tm "CptNameMgr" +) +*64 (Text +uid 12642,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,66100,138200,67300" +st "I_connT" +blo "133100,67100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12643,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12644,0 +text (MLText +uid 12645,0 +va (VaSet +font "Courier New,8,0" +) +xt "133000,66800,153500,67600" +st "index = periph1Index ( positive ) " +) +header "" +) +elements [ +(GiElement +name "index" +type "positive" +value "periph1Index" +) +] +) +viewicon (ZoomableIcon +uid 13472,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "133250,62250,134750,63750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 12678,0 +optionalChildren [ +*66 (CptPort +uid 12687,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12688,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,87625,133000,88375" +) +tg (CPTG +uid 12689,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12690,0 +va (VaSet +) +xt "134000,87400,137700,88600" +st "hSelV" +blo "134000,88400" +) +) +thePort (LogicalPort +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "( 1 TO ahbSlaveNb )" +o 5 +) +) +) +*67 (CptPort +uid 12691,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12692,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,89625,133000,90375" +) +tg (CPTG +uid 12693,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12694,0 +va (VaSet +) +xt "134000,89400,139200,90600" +st "hRDataV" +blo "134000,90400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 1 +) +) +) +*68 (CptPort +uid 12695,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12696,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,91625,149750,92375" +) +tg (CPTG +uid 12697,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12698,0 +va (VaSet +) +xt "143600,91400,148000,92600" +st "hReady" +ju 2 +blo "148000,92400" +) +) +thePort (LogicalPort +decl (Decl +n "hReady" +t "std_uLogic" +o 7 +) +) +) +*69 (CptPort +uid 12699,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12700,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,93625,149750,94375" +) +tg (CPTG +uid 12701,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12702,0 +va (VaSet +) +xt "144200,93400,148000,94600" +st "hResp" +ju 2 +blo "148000,94400" +) +) +thePort (LogicalPort +decl (Decl +n "hResp" +t "std_uLogic" +o 3 +) +) +) +*70 (CptPort +uid 12703,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12704,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,89625,149750,90375" +) +tg (CPTG +uid 12705,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12706,0 +va (VaSet +) +xt "143600,89400,148000,90600" +st "hRData" +ju 2 +blo "148000,90400" +) +) +thePort (LogicalPort +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 6 +) +) +) +*71 (CptPort +uid 12707,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12708,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,91625,133000,92375" +) +tg (CPTG +uid 12709,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12710,0 +va (VaSet +) +xt "134000,91400,139200,92600" +st "hReadyV" +blo "134000,92400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 2 +) +) +) +*72 (CptPort +uid 12711,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12712,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132250,93625,133000,94375" +) +tg (CPTG +uid 12713,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12714,0 +va (VaSet +) +xt "134000,93400,138600,94600" +st "hRespV" +blo "134000,94400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 to ahbSlaveNb)" +o 4 +) +) +) +*73 (CptPort +uid 12715,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12716,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "149000,87625,149750,88375" +) +tg (CPTG +uid 12717,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12718,0 +va (VaSet +) +xt "145100,87400,148000,88600" +st "hSel" +ju 2 +blo "148000,88400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "hSel" +t "std_uLogic" +o 8 +) +) +) +] +shape (Rectangle +uid 12679,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "133000,84000,149000,98000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 12680,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 12681,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,97700,137900,98900" +st "AhbLite" +blo "133100,98700" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 12682,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,98900,144500,100100" +st "ahbMuxConnector" +blo "133100,99900" +tm "CptNameMgr" +) +*76 (Text +uid 12683,0 +va (VaSet +font "Verdana,10,0" +) +xt "133100,100100,139400,101300" +st "I_connAdc" +blo "133100,101100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12684,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12685,0 +text (MLText +uid 12686,0 +va (VaSet +font "Courier New,8,0" +) +xt "133000,100800,153500,101600" +st "index = periph2Index ( positive ) " +) +header "" +) +elements [ +(GiElement +name "index" +type "positive" +value "periph2Index" +) +] +) +viewicon (ZoomableIcon +uid 13473,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "133250,96250,134750,97750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*77 (Net +uid 13077,0 +decl (Decl +n "reset" +t "std_ulogic" +o 27 +suid 107,0 +) +declText (MLText +uid 13078,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,200,44000,1400" +st "SIGNAL reset : std_ulogic" +) +) +*78 (Net +uid 13079,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 108,0 +) +declText (MLText +uid 13080,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,-2200,44000,-1000" +st "SIGNAL clock : std_ulogic" +) +) +*79 (Net +uid 13081,0 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 28 +suid 109,0 +) +declText (MLText +uid 13082,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,163800,57500,165000" +st "SIGNAL upAddress : unsigned(ahbAddressBitNb-1 DOWNTO 0)" +) +) +*80 (Net +uid 13083,0 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 30 +suid 110,0 +) +declText (MLText +uid 13084,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,165400,60500,166600" +st "SIGNAL upDataOut : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*81 (Net +uid 13085,0 +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 29 +suid 111,0 +) +declText (MLText +uid 13086,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,164600,60500,165800" +st "SIGNAL upDataIn : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*82 (Net +uid 13087,0 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 31 +suid 112,0 +) +declText (MLText +uid 13088,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,166200,44000,167400" +st "SIGNAL upReadStrobe : std_uLogic" +) +) +*83 (Net +uid 13089,0 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 32 +suid 113,0 +) +declText (MLText +uid 13090,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,167000,44000,168200" +st "SIGNAL upWriteStrobe : std_uLogic" +) +) +*84 (Net +uid 13091,0 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 2 +suid 114,0 +) +declText (MLText +uid 13092,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,105400,57500,106600" +st "SIGNAL hAddr : unsigned(ahbAddressBitNb-1 DOWNTO 0)" +) +) +*85 (Net +uid 13093,0 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 25 +suid 115,0 +) +declText (MLText +uid 13094,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,149400,60500,150600" +st "SIGNAL hWData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*86 (Net +uid 13095,0 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 7 +suid 116,0 +) +declText (MLText +uid 13096,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,109400,60500,110600" +st "SIGNAL hRData : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*87 (Net +uid 13097,0 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 24 +suid 117,0 +) +declText (MLText +uid 13098,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,148600,61000,149800" +st "SIGNAL hTrans : std_ulogic_vector(ahbTransBitNb-1 DOWNTO 0)" +) +) +*88 (Net +uid 13099,0 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 23 +suid 118,0 +) +declText (MLText +uid 13100,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,147800,60500,149000" +st "SIGNAL hSize : std_ulogic_vector(ahbSizeBitNb-1 DOWNTO 0)" +) +) +*89 (Net +uid 13101,0 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 3 +suid 119,0 +) +declText (MLText +uid 13102,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,106200,61000,107400" +st "SIGNAL hBurst : std_ulogic_vector(ahbBurstBitNb-1 DOWNTO 0)" +) +) +*90 (Net +uid 13103,0 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 6 +suid 120,0 +) +declText (MLText +uid 13104,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,108600,60500,109800" +st "SIGNAL hProt : std_ulogic_vector(ahbProtBitNb-1 DOWNTO 0)" +) +) +*91 (Net +uid 13105,0 +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 121,0 +) +declText (MLText +uid 13106,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,150200,44000,151400" +st "SIGNAL hWrite : std_uLogic" +) +) +*92 (Net +uid 13107,0 +decl (Decl +n "hReady" +t "std_uLogic" +o 11 +suid 122,0 +) +declText (MLText +uid 13108,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,119000,44000,120200" +st "SIGNAL hReady : std_uLogic" +) +) +*93 (Net +uid 13109,0 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 5 +suid 123,0 +) +declText (MLText +uid 13110,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,107800,44000,109000" +st "SIGNAL hMastLock : std_uLogic" +) +) +*94 (Net +uid 13111,0 +decl (Decl +n "hResp" +t "std_uLogic" +o 16 +suid 124,0 +) +declText (MLText +uid 13112,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,129400,44000,130600" +st "SIGNAL hResp : std_uLogic" +) +) +*95 (Net +uid 13113,0 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 125,0 +) +declText (MLText +uid 13114,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,107000,44000,108200" +st "SIGNAL hClk : std_uLogic" +) +) +*96 (Net +uid 13115,0 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 15 +suid 126,0 +) +declText (MLText +uid 13116,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,128600,44000,129800" +st "SIGNAL hReset_n : std_uLogic" +) +) +*97 (Net +uid 13117,0 +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "(1 TO ahbSlaveNb)" +o 22 +suid 127,0 +) +declText (MLText +uid 13118,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,147000,56500,148200" +st "SIGNAL hSelV : std_ulogic_vector(1 TO ahbSlaveNb)" +) +) +*98 (Net +uid 13119,0 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 10 +suid 128,0 +) +declText (MLText +uid 13120,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,118200,45500,119400" +st "SIGNAL hRDataV : ahbDataVector" +) +) +*99 (Net +uid 13121,0 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 14 +suid 129,0 +) +declText (MLText +uid 13122,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,127800,56000,129000" +st "SIGNAL hReadyV : std_logic_vector(1 TO ahbSlaveNb)" +) +) +*100 (Net +uid 13123,0 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 19 +suid 130,0 +) +declText (MLText +uid 13124,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "26000,138200,56000,139400" +st "SIGNAL hRespV : std_logic_vector(1 TO ahbSlaveNb)" +) +) +*101 (Blk +uid 13243,0 +shape (Rectangle +uid 13244,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "37000,106000,185000,114000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 13245,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 13246,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,113900,46500,115300" +st "AhbLite_test" +blo "36900,115100" +tm "BdLibraryNameMgr" +) +*103 (Text +uid 13247,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,115300,47800,116700" +st "ahbLite_tester" +blo "36900,116500" +tm "BlkNameMgr" +) +*104 (Text +uid 13248,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,116700,42800,118100" +st "I_tester" +blo "36900,117900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13249,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13250,0 +text (MLText +uid 13251,0 +va (VaSet +font "Courier New,8,0" +) +xt "37000,119200,65000,120800" +st "periph2BaseAddress = 16#10# ( natural ) +clockFrequency = clockFrequency ( real ) +" +) +header "" +) +elements [ +(GiElement +name "periph2BaseAddress" +type "natural" +value "16#10#" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +] +) +viewicon (ZoomableIcon +uid 13474,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "37250,112250,38750,113750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*105 (Net +uid 13414,0 +decl (Decl +n "hSelPeriph1" +t "std_uLogic" +o 20 +suid 142,0 +) +declText (MLText +uid 13415,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hSelPeriph1 : std_uLogic" +) +) +*106 (Net +uid 13416,0 +decl (Decl +n "hRDataPeriph1" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 8 +suid 143,0 +) +declText (MLText +uid 13417,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,34500,1200" +st "SIGNAL hRDataPeriph1 : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*107 (Net +uid 13418,0 +decl (Decl +n "hReadyPeriph1" +t "std_uLogic" +o 12 +suid 144,0 +) +declText (MLText +uid 13419,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hReadyPeriph1 : std_uLogic" +) +) +*108 (Net +uid 13420,0 +decl (Decl +n "hRespPeriph1" +t "std_uLogic" +o 17 +suid 145,0 +) +declText (MLText +uid 13421,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hRespPeriph1 : std_uLogic" +) +) +*109 (Net +uid 13422,0 +decl (Decl +n "hSelPeriph2" +t "std_uLogic" +o 21 +suid 146,0 +) +declText (MLText +uid 13423,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hSelPeriph2 : std_uLogic" +) +) +*110 (Net +uid 13424,0 +decl (Decl +n "hRDataPeriph2" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 147,0 +) +declText (MLText +uid 13425,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,34500,1200" +st "SIGNAL hRDataPeriph2 : std_ulogic_vector(ahbDataBitNb-1 DOWNTO 0)" +) +) +*111 (Net +uid 13426,0 +decl (Decl +n "hReadyPeriph2" +t "std_uLogic" +o 13 +suid 148,0 +) +declText (MLText +uid 13427,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hReadyPeriph2 : std_uLogic" +) +) +*112 (Net +uid 13428,0 +decl (Decl +n "hRespPeriph2" +t "std_uLogic" +o 18 +suid 149,0 +) +declText (MLText +uid 13429,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,18000,1200" +st "SIGNAL hRespPeriph2 : std_uLogic" +) +) +*113 (Wire +uid 12719,0 +optionalChildren [ +*114 (BdJunction +uid 12725,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12726,0 +va (VaSet +vasetType 1 +) +xt "92600,41600,93400,42400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12720,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,42000,157000,106000" +pts [ +"77750,42000" +"157000,42000" +"157000,106000" +] +) +start &20 +end &101 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12723,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12724,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,40600,84500,42000" +st "hAddr" +blo "80000,41800" +tm "WireNameMgr" +) +) +on &84 +) +*115 (Wire +uid 12735,0 +shape (OrthoPolyLine +uid 12736,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "93000,42003,100250,62000" +pts [ +"93000,42003" +"93000,62000" +"100250,62000" +] +) +start &114 +end &48 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12737,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12738,0 +va (VaSet +font "Verdana,12,0" +) +xt "94250,60600,98750,62000" +st "hAddr" +blo "94250,61800" +tm "WireNameMgr" +) +) +on &84 +) +*116 (Wire +uid 12751,0 +shape (OrthoPolyLine +uid 12752,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,44000,155000,106000" +pts [ +"77750,44000" +"155000,44000" +"155000,106000" +] +) +start &21 +end &101 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12755,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12756,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,42600,85900,44000" +st "hWData" +blo "80000,43800" +tm "WireNameMgr" +) +) +on &85 +) +*117 (Wire +uid 12777,0 +shape (OrthoPolyLine +uid 12778,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,46000,153000,106000" +pts [ +"77750,46000" +"153000,46000" +"153000,106000" +] +) +start &27 +end &101 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12781,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12782,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,44600,85100,46000" +st "hTrans" +blo "80000,45800" +tm "WireNameMgr" +) +) +on &87 +) +*118 (Wire +uid 12803,0 +shape (OrthoPolyLine +uid 12804,0 +va (VaSet +vasetType 3 +) +xt "77750,48000,151000,106000" +pts [ +"77750,48000" +"151000,48000" +"151000,106000" +] +) +start &23 +end &101 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12807,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12808,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,46600,85000,48000" +st "hWrite" +blo "80000,47800" +tm "WireNameMgr" +) +) +on &91 +) +*119 (Wire +uid 12829,0 +optionalChildren [ +*120 (BdJunction +uid 12833,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12834,0 +va (VaSet +vasetType 1 +) +xt "122600,61600,123400,62400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12830,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,54000,132250,62000" +pts [ +"117750,62000" +"123000,62000" +"123000,54000" +"132250,54000" +] +) +start &49 +end &54 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12831,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12832,0 +va (VaSet +font "Verdana,12,0" +) +xt "118000,60600,122300,62000" +st "hSelV" +blo "118000,61800" +tm "WireNameMgr" +) +) +on &97 +) +*121 (Wire +uid 12835,0 +optionalChildren [ +*122 (BdJunction +uid 12839,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12840,0 +va (VaSet +vasetType 1 +) +xt "122600,77600,123400,78400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12836,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,62000,123000,78000" +pts [ +"123000,62000" +"123000,78000" +"117750,78000" +] +) +start &120 +end &37 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12837,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12838,0 +va (VaSet +font "Verdana,12,0" +) +xt "118000,76600,122300,78000" +st "hSelV" +blo "118000,77800" +tm "WireNameMgr" +) +) +on &97 +) +*123 (Wire +uid 12841,0 +optionalChildren [ +*124 (BdJunction +uid 12847,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12848,0 +va (VaSet +vasetType 1 +) +xt "122600,87600,123400,88400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12842,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,78000,123000,102000" +pts [ +"123000,78000" +"123000,102000" +] +) +start &122 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12845,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12846,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "121600,97000,123000,101300" +st "hSelV" +blo "122800,101300" +tm "WireNameMgr" +) +) +on &97 +) +*125 (Wire +uid 12853,0 +shape (OrthoPolyLine +uid 12854,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "123000,88000,132250,88000" +pts [ +"132250,88000" +"123000,88000" +] +) +start &66 +end &124 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12856,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "127250,86600,131550,88000" +st "hSelV" +blo "127250,87800" +tm "WireNameMgr" +) +) +on &97 +) +*126 (Wire +uid 12857,0 +optionalChildren [ +*127 (BdJunction +uid 12861,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12862,0 +va (VaSet +vasetType 1 +) +xt "124600,81600,125400,82400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12858,0 +va (VaSet +vasetType 3 +) +xt "117750,56000,132250,82000" +pts [ +"117750,82000" +"125000,82000" +"125000,56000" +"132250,56000" +] +) +start &38 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12859,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12860,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,80600,125950,82000" +st "hRDataV" +blo "119750,81800" +tm "WireNameMgr" +) +) +on &98 +) +*128 (Wire +uid 12863,0 +optionalChildren [ +*129 (BdJunction +uid 12869,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12870,0 +va (VaSet +vasetType 1 +) +xt "124600,89600,125400,90400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12864,0 +va (VaSet +vasetType 3 +) +xt "125000,82000,125000,102000" +pts [ +"125000,82000" +"125000,102000" +] +) +start &127 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12867,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12868,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "123600,95000,125000,101200" +st "hRDataV" +blo "124800,101200" +tm "WireNameMgr" +) +) +on &98 +) +*130 (Wire +uid 12875,0 +shape (OrthoPolyLine +uid 12876,0 +va (VaSet +vasetType 3 +) +xt "125000,90000,132250,90000" +pts [ +"132250,90000" +"125000,90000" +] +) +start &67 +end &129 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12878,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "125250,88600,131450,90000" +st "hRDataV" +blo "125250,89800" +tm "WireNameMgr" +) +) +on &98 +) +*131 (Wire +uid 12879,0 +optionalChildren [ +*132 (BdJunction +uid 12883,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12884,0 +va (VaSet +vasetType 1 +) +xt "126600,83600,127400,84400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12880,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,58000,132250,84000" +pts [ +"117750,84000" +"127000,84000" +"127000,58000" +"132250,58000" +] +) +start &42 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12881,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12882,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,82600,126050,84000" +st "hReadyV" +blo "119750,83800" +tm "WireNameMgr" +) +) +on &99 +) +*133 (Wire +uid 12885,0 +optionalChildren [ +*134 (BdJunction +uid 12891,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12892,0 +va (VaSet +vasetType 1 +) +xt "126600,91600,127400,92400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12886,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "127000,84000,127000,102000" +pts [ +"127000,84000" +"127000,102000" +] +) +start &132 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12889,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12890,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "125600,95000,127000,101300" +st "hReadyV" +blo "126800,101300" +tm "WireNameMgr" +) +) +on &99 +) +*135 (Wire +uid 12897,0 +shape (OrthoPolyLine +uid 12898,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "127000,92000,132250,92000" +pts [ +"132250,92000" +"127000,92000" +] +) +start &71 +end &134 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12899,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12900,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "125250,90600,131550,92000" +st "hReadyV" +blo "125250,91800" +tm "WireNameMgr" +) +) +on &99 +) +*136 (Wire +uid 12901,0 +optionalChildren [ +*137 (BdJunction +uid 12905,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12906,0 +va (VaSet +vasetType 1 +) +xt "128600,85600,129400,86400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12902,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,60000,132250,86000" +pts [ +"117750,86000" +"129000,86000" +"129000,60000" +"132250,60000" +] +) +start &43 +end &60 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12903,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12904,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,84600,125250,86000" +st "hRespV" +blo "119750,85800" +tm "WireNameMgr" +) +) +on &100 +) +*138 (Wire +uid 12907,0 +optionalChildren [ +*139 (BdJunction +uid 12913,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12914,0 +va (VaSet +vasetType 1 +) +xt "128600,93600,129400,94400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 12908,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "129000,86000,129000,102000" +pts [ +"129000,86000" +"129000,102000" +] +) +start &137 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12912,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "127600,95000,129000,100500" +st "hRespV" +blo "128800,100500" +tm "WireNameMgr" +) +) +on &100 +) +*140 (Wire +uid 12919,0 +shape (OrthoPolyLine +uid 12920,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "129000,94000,132250,94000" +pts [ +"132250,94000" +"129000,94000" +] +) +start &72 +end &139 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12921,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12922,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "125250,92600,130750,94000" +st "hRespV" +blo "125250,93800" +tm "WireNameMgr" +) +) +on &100 +) +*141 (Wire +uid 12923,0 +shape (OrthoPolyLine +uid 12924,0 +va (VaSet +vasetType 3 +) +xt "59000,66000,60250,106000" +pts [ +"59000,106000" +"59000,66000" +"60250,66000" +] +) +start &101 +end &14 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12927,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12928,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,64600,60100,66000" +st "reset" +blo "56000,65800" +tm "WireNameMgr" +) +) +on &77 +) +*142 (Wire +uid 12929,0 +shape (OrthoPolyLine +uid 12930,0 +va (VaSet +vasetType 3 +) +xt "57000,64000,60250,106000" +pts [ +"57000,106000" +"57000,64000" +"60250,64000" +] +) +start &101 +end &13 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12934,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,62600,59800,64000" +st "clock" +blo "56000,63800" +tm "WireNameMgr" +) +) +on &78 +) +*143 (Wire +uid 12935,0 +shape (OrthoPolyLine +uid 12936,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,42000,60250,106000" +pts [ +"45000,106000" +"45000,42000" +"60250,42000" +] +) +start &101 +end &17 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12939,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12940,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,40600,58500,42000" +st "upAddress" +blo "51000,41800" +tm "WireNameMgr" +) +) +on &79 +) +*144 (Wire +uid 12941,0 +shape (OrthoPolyLine +uid 12942,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,44000,60250,106000" +pts [ +"47000,106000" +"47000,44000" +"60250,44000" +] +) +start &101 +end &18 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12945,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12946,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,42600,58700,44000" +st "upDataOut" +blo "51000,43800" +tm "WireNameMgr" +) +) +on &80 +) +*145 (Wire +uid 12947,0 +shape (OrthoPolyLine +uid 12948,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49000,46000,60250,106000" +pts [ +"49000,106000" +"49000,46000" +"60250,46000" +] +) +start &101 +end &19 +sat 1 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12951,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12952,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,44600,57700,46000" +st "upDataIn" +blo "51000,45800" +tm "WireNameMgr" +) +) +on &81 +) +*146 (Wire +uid 12953,0 +shape (OrthoPolyLine +uid 12954,0 +va (VaSet +vasetType 3 +) +xt "51000,48000,60250,106000" +pts [ +"51000,106000" +"51000,48000" +"60250,48000" +] +) +start &101 +end &15 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12957,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12958,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,46600,61600,48000" +st "upReadStrobe" +blo "51000,47800" +tm "WireNameMgr" +) +) +on &82 +) +*147 (Wire +uid 12959,0 +shape (OrthoPolyLine +uid 12960,0 +va (VaSet +vasetType 3 +) +xt "53000,50000,60250,106000" +pts [ +"53000,106000" +"53000,50000" +"60250,50000" +] +) +start &101 +end &16 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12963,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12964,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,48600,61800,50000" +st "upWriteStrobe" +blo "51000,49800" +tm "WireNameMgr" +) +) +on &83 +) +*148 (Wire +uid 12965,0 +shape (OrthoPolyLine +uid 12966,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,58000,100250,82000" +pts [ +"77750,58000" +"91000,58000" +"91000,82000" +"100250,82000" +] +) +start &22 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12967,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12968,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,56600,85150,58000" +st "hRData" +blo "79750,57800" +tm "WireNameMgr" +) +) +on &86 +) +*149 (Wire +uid 12969,0 +shape (OrthoPolyLine +uid 12970,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,50000,89000,50000" +pts [ +"77750,50000" +"89000,50000" +] +) +start &24 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12973,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12974,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,48600,84200,50000" +st "hSize" +blo "80000,49800" +tm "WireNameMgr" +) +) +on &88 +) +*150 (Wire +uid 12975,0 +shape (OrthoPolyLine +uid 12976,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,52000,89000,52000" +pts [ +"77750,52000" +"89000,52000" +] +) +start &25 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12979,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12980,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,50600,84650,52000" +st "hBurst" +blo "79750,51800" +tm "WireNameMgr" +) +) +on &89 +) +*151 (Wire +uid 12981,0 +shape (OrthoPolyLine +uid 12982,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,54000,89000,54000" +pts [ +"77750,54000" +"89000,54000" +] +) +start &26 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12985,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12986,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,52600,83950,54000" +st "hProt" +blo "79750,53800" +tm "WireNameMgr" +) +) +on &90 +) +*152 (Wire +uid 12987,0 +shape (OrthoPolyLine +uid 12988,0 +va (VaSet +vasetType 3 +) +xt "77750,60000,100250,84000" +pts [ +"77750,60000" +"89000,60000" +"89000,84000" +"100250,84000" +] +) +start &29 +end &39 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12989,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12990,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,58600,85250,60000" +st "hReady" +blo "79750,59800" +tm "WireNameMgr" +) +) +on &92 +) +*153 (Wire +uid 12991,0 +shape (OrthoPolyLine +uid 12992,0 +va (VaSet +vasetType 3 +) +xt "77750,56000,89000,56000" +pts [ +"77750,56000" +"89000,56000" +] +) +start &28 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12995,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12996,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,54600,87150,56000" +st "hMastLock" +blo "79750,55800" +tm "WireNameMgr" +) +) +on &93 +) +*154 (Wire +uid 12997,0 +shape (OrthoPolyLine +uid 12998,0 +va (VaSet +vasetType 3 +) +xt "77750,62000,100250,86000" +pts [ +"77750,62000" +"87000,62000" +"87000,86000" +"100250,86000" +] +) +start &30 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12999,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13000,0 +va (VaSet +font "Verdana,12,0" +) +xt "79750,60600,84450,62000" +st "hResp" +blo "79750,61800" +tm "WireNameMgr" +) +) +on &94 +) +*155 (Wire +uid 13001,0 +shape (OrthoPolyLine +uid 13002,0 +va (VaSet +vasetType 3 +) +xt "77750,64000,83000,106000" +pts [ +"77750,64000" +"83000,64000" +"83000,106000" +] +) +start &31 +end &101 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13005,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13006,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,62600,83500,64000" +st "hClk" +blo "80000,63800" +tm "WireNameMgr" +) +) +on &95 +) +*156 (Wire +uid 13007,0 +shape (OrthoPolyLine +uid 13008,0 +va (VaSet +vasetType 3 +) +xt "77750,66000,81000,106000" +pts [ +"77750,66000" +"81000,66000" +"81000,106000" +] +) +start &32 +end &101 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13011,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13012,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,64600,86800,66000" +st "hReset_n" +blo "80000,65800" +tm "WireNameMgr" +) +) +on &96 +) +*157 (Wire +uid 13029,0 +shape (OrthoPolyLine +uid 13030,0 +va (VaSet +vasetType 3 +) +xt "149750,54000,177000,106000" +pts [ +"149750,54000" +"177000,54000" +"177000,106000" +] +) +start &61 +end &101 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13033,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13034,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,52600,168100,54000" +st "hSelPeriph1" +blo "159000,53800" +tm "WireNameMgr" +) +) +on &105 +) +*158 (Wire +uid 13035,0 +shape (OrthoPolyLine +uid 13036,0 +va (VaSet +vasetType 3 +) +xt "149750,60000,171000,106000" +pts [ +"171000,106000" +"171000,60000" +"149750,60000" +] +) +start &101 +end &57 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13039,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13040,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,58600,169300,60000" +st "hRespPeriph1" +blo "159000,59800" +tm "WireNameMgr" +) +) +on &108 +) +*159 (Wire +uid 13041,0 +shape (OrthoPolyLine +uid 13042,0 +va (VaSet +vasetType 3 +) +xt "149750,58000,173000,106000" +pts [ +"173000,106000" +"173000,58000" +"149750,58000" +] +) +start &101 +end &56 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13046,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,56600,170100,58000" +st "hReadyPeriph1" +blo "159000,57800" +tm "WireNameMgr" +) +) +on &107 +) +*160 (Wire +uid 13047,0 +shape (OrthoPolyLine +uid 13048,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "149750,56000,175000,106000" +pts [ +"175000,106000" +"175000,56000" +"149750,56000" +] +) +start &101 +end &58 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13051,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13052,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,54600,170000,56000" +st "hRDataPeriph1" +blo "159000,55800" +tm "WireNameMgr" +) +) +on &106 +) +*161 (Wire +uid 13053,0 +shape (OrthoPolyLine +uid 13054,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "149750,90000,165000,106000" +pts [ +"165000,106000" +"165000,90000" +"149750,90000" +] +) +start &101 +end &70 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13057,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13058,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,88600,170000,90000" +st "hRDataPeriph2" +blo "159000,89800" +tm "WireNameMgr" +) +) +on &110 +) +*162 (Wire +uid 13059,0 +shape (OrthoPolyLine +uid 13060,0 +va (VaSet +vasetType 3 +) +xt "149750,88000,167000,106000" +pts [ +"149750,88000" +"167000,88000" +"167000,106000" +] +) +start &73 +end &101 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13064,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,86600,168100,88000" +st "hSelPeriph2" +blo "159000,87800" +tm "WireNameMgr" +) +) +on &109 +) +*163 (Wire +uid 13065,0 +shape (OrthoPolyLine +uid 13066,0 +va (VaSet +vasetType 3 +) +xt "149750,94000,161000,106000" +pts [ +"161000,106000" +"161000,94000" +"149750,94000" +] +) +start &101 +end &69 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13070,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,92600,169300,94000" +st "hRespPeriph2" +blo "159000,93800" +tm "WireNameMgr" +) +) +on &112 +) +*164 (Wire +uid 13071,0 +shape (OrthoPolyLine +uid 13072,0 +va (VaSet +vasetType 3 +) +xt "149750,92000,163000,106000" +pts [ +"163000,106000" +"163000,92000" +"149750,92000" +] +) +start &101 +end &68 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13075,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13076,0 +va (VaSet +font "Verdana,12,0" +) +xt "159000,90600,170100,92000" +st "hReadyPeriph2" +blo "159000,91800" +tm "WireNameMgr" +) +) +on &111 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *165 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*166 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,19600,35900,20600" +st "Package List" +blo "29000,20400" +) +*167 (MLText +uid 1298,0 +va (VaSet +) +xt "29000,20600,46500,26600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*169 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*170 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*171 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*172 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*173 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*174 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "26627,17278,237179,131677" +cachedDiagramExtent "0,-2200,192000,168200" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 45 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "29000,19000" +lastUid 13694,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "300,1000,4000,2000" +st "Panel0" +blo "300,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*175 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,2550,8000,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*176 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,3950,7300,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*177 (Text +va (VaSet +font "Verdana,12,0" +) +xt "1500,5350,4800,6750" +st "U_0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,3000,4300,4200" +st "Library" +blo "-100,4000" +) +*179 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,4200,9800,5400" +st "MWComponent" +blo "-100,5200" +) +*180 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-100,5400,2700,6600" +st "U_0" +blo "-100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*181 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,2550,4050,3750" +st "Library" +blo "-350,3550" +tm "BdLibraryNameMgr" +) +*182 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,3750,8950,4950" +st "SaComponent" +blo "-350,4750" +tm "CptNameMgr" +) +*183 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-350,4950,2450,6150" +st "U_0" +blo "-350,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*184 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,2550,3550,3750" +st "Library" +blo "-850,3550" +) +*185 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,3750,9450,4950" +st "VhdlComponent" +blo "-850,4750" +) +*186 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-850,4950,1950,6150" +st "U_0" +blo "-850,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*187 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,2550,2800,3750" +st "Library" +blo "-1600,3550" +) +*188 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,3750,10100,4950" +st "VerilogComponent" +blo "-1600,4750" +) +*189 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1600,4950,1200,6150" +st "U_0" +blo "-1600,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*190 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,3400,5250,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*191 (Text +va (VaSet +font "Verdana,8,1" +) +xt "2950,4400,4150,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1400,1500,2600" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*192 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*193 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*194 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*195 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,26800,36000,27800" +st "Declarations" +blo "29000,27600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,32400,29000" +st "Ports:" +blo "29000,28800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "29000,27800,33800,28800" +st "Pre User:" +blo "29000,28600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "31000,28800,67600,49200" +st "constant periph1Index: positive := 1; +constant periph2Index: positive := periph1Index+1; + +constant ahbMemoryLocation : ahbMemoryLocationVector := ( + periph1Index => ( + baseAddress => 16#0000#, + addressMask => 16#10000# - 16#0010# + ), + periph2Index => ( + baseAddress => 16#0010#, + addressMask => 16#10000# - 16#0002# + ), + others => ( + baseAddress => 16#FFFF#, + addressMask => 16#0000# + ) +);" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,38000,29000" +st "Diagram Signals:" +blo "29000,28800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29000,28000,35000,29000" +st "Post User:" +blo "29000,28800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "31000,42400,31000,42400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 149,0 +usingSuid 1 +emptyRow *196 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*197 (RefLabelRowHdr +) +*198 (TitleRowHdr +) +*199 (FilterRowHdr +) +*200 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*201 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*202 (GroupColHdr +tm "GroupColHdrMgr" +) +*203 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*204 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*205 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*206 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*207 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*208 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*209 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 27 +suid 107,0 +) +) +uid 13141,0 +) +*210 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 108,0 +) +) +uid 13143,0 +) +*211 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 28 +suid 109,0 +) +) +uid 13145,0 +) +*212 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 30 +suid 110,0 +) +) +uid 13147,0 +) +*213 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 29 +suid 111,0 +) +) +uid 13149,0 +) +*214 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 31 +suid 112,0 +) +) +uid 13151,0 +) +*215 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 32 +suid 113,0 +) +) +uid 13153,0 +) +*216 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 2 +suid 114,0 +) +) +uid 13155,0 +) +*217 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 25 +suid 115,0 +) +) +uid 13157,0 +) +*218 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 7 +suid 116,0 +) +) +uid 13159,0 +) +*219 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 24 +suid 117,0 +) +) +uid 13161,0 +) +*220 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSize" +t "std_ulogic_vector" +b "(ahbSizeBitNb-1 DOWNTO 0)" +o 23 +suid 118,0 +) +) +uid 13163,0 +) +*221 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hBurst" +t "std_ulogic_vector" +b "(ahbBurstBitNb-1 DOWNTO 0)" +o 3 +suid 119,0 +) +) +uid 13165,0 +) +*222 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hProt" +t "std_ulogic_vector" +b "(ahbProtBitNb-1 DOWNTO 0)" +o 6 +suid 120,0 +) +) +uid 13167,0 +) +*223 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 121,0 +) +) +uid 13169,0 +) +*224 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReady" +t "std_uLogic" +o 11 +suid 122,0 +) +) +uid 13171,0 +) +*225 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hMastLock" +t "std_uLogic" +o 5 +suid 123,0 +) +) +uid 13173,0 +) +*226 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hResp" +t "std_uLogic" +o 16 +suid 124,0 +) +) +uid 13175,0 +) +*227 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 125,0 +) +) +uid 13177,0 +) +*228 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReset_n" +t "std_uLogic" +o 15 +suid 126,0 +) +) +uid 13179,0 +) +*229 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelV" +t "std_ulogic_vector" +b "(1 TO ahbSlaveNb)" +o 22 +suid 127,0 +) +) +uid 13181,0 +) +*230 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataV" +t "ahbDataVector" +o 10 +suid 128,0 +) +) +uid 13183,0 +) +*231 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 14 +suid 129,0 +) +) +uid 13185,0 +) +*232 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespV" +t "std_logic_vector" +b "(1 TO ahbSlaveNb)" +o 19 +suid 130,0 +) +) +uid 13187,0 +) +*233 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelPeriph1" +t "std_uLogic" +o 20 +suid 142,0 +) +) +uid 13430,0 +) +*234 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataPeriph1" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 8 +suid 143,0 +) +) +uid 13432,0 +) +*235 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyPeriph1" +t "std_uLogic" +o 12 +suid 144,0 +) +) +uid 13434,0 +) +*236 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespPeriph1" +t "std_uLogic" +o 17 +suid 145,0 +) +) +uid 13436,0 +) +*237 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hSelPeriph2" +t "std_uLogic" +o 21 +suid 146,0 +) +) +uid 13438,0 +) +*238 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRDataPeriph2" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 147,0 +) +) +uid 13440,0 +) +*239 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hReadyPeriph2" +t "std_uLogic" +o 13 +suid 148,0 +) +) +uid 13442,0 +) +*240 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "hRespPeriph2" +t "std_uLogic" +o 18 +suid 149,0 +) +) +uid 13444,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*241 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *242 (MRCItem +litem &196 +pos 32 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*243 (MRCItem +litem &197 +pos 0 +dimension 20 +uid 3326,0 +) +*244 (MRCItem +litem &198 +pos 1 +dimension 23 +uid 3327,0 +) +*245 (MRCItem +litem &199 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*246 (MRCItem +litem &209 +pos 0 +dimension 20 +uid 13142,0 +) +*247 (MRCItem +litem &210 +pos 1 +dimension 20 +uid 13144,0 +) +*248 (MRCItem +litem &211 +pos 2 +dimension 20 +uid 13146,0 +) +*249 (MRCItem +litem &212 +pos 3 +dimension 20 +uid 13148,0 +) +*250 (MRCItem +litem &213 +pos 4 +dimension 20 +uid 13150,0 +) +*251 (MRCItem +litem &214 +pos 5 +dimension 20 +uid 13152,0 +) +*252 (MRCItem +litem &215 +pos 6 +dimension 20 +uid 13154,0 +) +*253 (MRCItem +litem &216 +pos 7 +dimension 20 +uid 13156,0 +) +*254 (MRCItem +litem &217 +pos 8 +dimension 20 +uid 13158,0 +) +*255 (MRCItem +litem &218 +pos 9 +dimension 20 +uid 13160,0 +) +*256 (MRCItem +litem &219 +pos 10 +dimension 20 +uid 13162,0 +) +*257 (MRCItem +litem &220 +pos 11 +dimension 20 +uid 13164,0 +) +*258 (MRCItem +litem &221 +pos 12 +dimension 20 +uid 13166,0 +) +*259 (MRCItem +litem &222 +pos 13 +dimension 20 +uid 13168,0 +) +*260 (MRCItem +litem &223 +pos 14 +dimension 20 +uid 13170,0 +) +*261 (MRCItem +litem &224 +pos 15 +dimension 20 +uid 13172,0 +) +*262 (MRCItem +litem &225 +pos 16 +dimension 20 +uid 13174,0 +) +*263 (MRCItem +litem &226 +pos 17 +dimension 20 +uid 13176,0 +) +*264 (MRCItem +litem &227 +pos 18 +dimension 20 +uid 13178,0 +) +*265 (MRCItem +litem &228 +pos 19 +dimension 20 +uid 13180,0 +) +*266 (MRCItem +litem &229 +pos 20 +dimension 20 +uid 13182,0 +) +*267 (MRCItem +litem &230 +pos 21 +dimension 20 +uid 13184,0 +) +*268 (MRCItem +litem &231 +pos 22 +dimension 20 +uid 13186,0 +) +*269 (MRCItem +litem &232 +pos 23 +dimension 20 +uid 13188,0 +) +*270 (MRCItem +litem &233 +pos 24 +dimension 20 +uid 13431,0 +) +*271 (MRCItem +litem &234 +pos 25 +dimension 20 +uid 13433,0 +) +*272 (MRCItem +litem &235 +pos 26 +dimension 20 +uid 13435,0 +) +*273 (MRCItem +litem &236 +pos 27 +dimension 20 +uid 13437,0 +) +*274 (MRCItem +litem &237 +pos 28 +dimension 20 +uid 13439,0 +) +*275 (MRCItem +litem &238 +pos 29 +dimension 20 +uid 13441,0 +) +*276 (MRCItem +litem &239 +pos 30 +dimension 20 +uid 13443,0 +) +*277 (MRCItem +litem &240 +pos 31 +dimension 20 +uid 13445,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*278 (MRCItem +litem &200 +pos 0 +dimension 20 +uid 3330,0 +) +*279 (MRCItem +litem &202 +pos 1 +dimension 50 +uid 3331,0 +) +*280 (MRCItem +litem &203 +pos 2 +dimension 100 +uid 3332,0 +) +*281 (MRCItem +litem &204 +pos 3 +dimension 50 +uid 3333,0 +) +*282 (MRCItem +litem &205 +pos 4 +dimension 100 +uid 3334,0 +) +*283 (MRCItem +litem &206 +pos 5 +dimension 100 +uid 3335,0 +) +*284 (MRCItem +litem &207 +pos 6 +dimension 50 +uid 3336,0 +) +*285 (MRCItem +litem &208 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *286 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*287 (RefLabelRowHdr +) +*288 (TitleRowHdr +) +*289 (FilterRowHdr +) +*290 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*291 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*292 (GroupColHdr +tm "GroupColHdrMgr" +) +*293 (NameColHdr +tm "GenericNameColHdrMgr" +) +*294 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*295 (InitColHdr +tm "GenericValueColHdrMgr" +) +*296 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*297 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*298 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *299 (MRCItem +litem &286 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*300 (MRCItem +litem &287 +pos 0 +dimension 20 +uid 3354,0 +) +*301 (MRCItem +litem &288 +pos 1 +dimension 23 +uid 3355,0 +) +*302 (MRCItem +litem &289 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*303 (MRCItem +litem &290 +pos 0 +dimension 20 +uid 3358,0 +) +*304 (MRCItem +litem &292 +pos 1 +dimension 50 +uid 3359,0 +) +*305 (MRCItem +litem &293 +pos 2 +dimension 100 +uid 3360,0 +) +*306 (MRCItem +litem &294 +pos 3 +dimension 100 +uid 3361,0 +) +*307 (MRCItem +litem &295 +pos 4 +dimension 50 +uid 3362,0 +) +*308 (MRCItem +litem &296 +pos 5 +dimension 50 +uid 3363,0 +) +*309 (MRCItem +litem &297 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/AhbLite_test/hds/ahb@lite_tb/symbol.sb b/Libs/AhbLite_test/hds/ahb@lite_tb/symbol.sb new file mode 100644 index 0000000..84c83c1 --- /dev/null +++ b/Libs/AhbLite_test/hds/ahb@lite_tb/symbol.sb @@ -0,0 +1,1258 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahb@lite_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahbLite_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "ahbLite_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/AhbLite_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ahbLite_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahb@lite_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\AhbLite_test\\hds\\ahbLite_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:34:52" +) +(vvPair +variable "unit" +value "ahbLite_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37850,23100" +st "AhbLite_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,36650,24300" +st "ahbLite_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,40800,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,45500,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/AhbLite_test/hds/ahb@lite_tester/interface b/Libs/AhbLite_test/hds/ahb@lite_tester/interface new file mode 100644 index 0000000..af57cd1 --- /dev/null +++ b/Libs/AhbLite_test/hds/ahb@lite_tester/interface @@ -0,0 +1,2685 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 147,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 127,0 +) +) +uid 1306,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 2 +suid 128,0 +) +) +uid 1308,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 129,0 +) +) +uid 1310,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRDataPeriph1" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 8 +suid 130,0 +) +) +uid 1312,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRDataPeriph2" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 131,0 +) +) +uid 1314,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReadyPeriph1" +t "std_uLogic" +o 12 +suid 132,0 +) +) +uid 1316,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hReadyPeriph2" +t "std_uLogic" +o 13 +suid 133,0 +) +) +uid 1318,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 15 +suid 134,0 +) +) +uid 1320,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRespPeriph1" +t "std_uLogic" +o 17 +suid 135,0 +) +) +uid 1322,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "hRespPeriph2" +t "std_uLogic" +o 18 +suid 136,0 +) +) +uid 1324,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "hSelPeriph1" +t "std_uLogic" +o 20 +suid 137,0 +) +) +uid 1326,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "hSelPeriph2" +t "std_uLogic" +o 21 +suid 138,0 +) +) +uid 1328,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 24 +suid 139,0 +) +) +uid 1330,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 25 +suid 140,0 +) +) +uid 1332,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 141,0 +) +) +uid 1334,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 27 +suid 142,0 +) +) +uid 1336,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 28 +suid 143,0 +) +) +uid 1338,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 29 +suid 144,0 +) +) +uid 1340,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 30 +suid 145,0 +) +) +uid 1342,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 31 +suid 146,0 +) +) +uid 1344,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 32 +suid 147,0 +) +) +uid 1346,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 213,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 215,0 +optionalChildren [ +*37 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 216,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 217,0 +) +*39 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 218,0 +) +*40 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1307,0 +) +*41 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1309,0 +) +*42 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1311,0 +) +*43 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1313,0 +) +*44 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1315,0 +) +*45 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1317,0 +) +*46 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1319,0 +) +*47 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1321,0 +) +*48 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1323,0 +) +*49 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1325,0 +) +*50 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 1327,0 +) +*51 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 1329,0 +) +*52 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 1331,0 +) +*53 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 1333,0 +) +*54 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 1335,0 +) +*55 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 1337,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 1339,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 1341,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 1343,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 1345,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 1347,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 219,0 +optionalChildren [ +*61 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 220,0 +) +*62 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 221,0 +) +*63 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 222,0 +) +*64 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 223,0 +) +*65 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 224,0 +) +*66 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 225,0 +) +*67 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 226,0 +) +*68 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 227,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 214,0 +vaOverrides [ +] +) +] +) +uid 199,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 229,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "periph2BaseAddress" +type "natural" +value "" +) +uid 641,0 +) +*82 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 1176,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 241,0 +optionalChildren [ +*83 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *84 (MRCItem +litem &69 +pos 2 +dimension 20 +) +uid 243,0 +optionalChildren [ +*85 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 244,0 +) +*86 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 245,0 +) +*87 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 246,0 +) +*88 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 642,0 +) +*89 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 1177,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 247,0 +optionalChildren [ +*90 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 248,0 +) +*91 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 249,0 +) +*92 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 250,0 +) +*93 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 251,0 +) +*94 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 252,0 +) +*95 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 253,0 +) +*96 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 254,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 242,0 +vaOverrides [ +] +) +] +) +uid 228,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahbLite_tester" +) +(vvPair +variable "date" +value "28.04.2023" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "ahbLite_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "28.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:16:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "AhbLite_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/AhbLite_test" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "ahbLite_tester" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahb@lite_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\sem-labs\\06-07-08-09-SystemOnChip\\Prefs\\..\\..\\Libs\\AhbLite_test\\hds\\ahbLite_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:16:10" +) +(vvPair +variable "unit" +value "ahbLite_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 198,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 1201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1202,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 1203,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1204,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,10800" +st "clock" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1205,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,63500,10000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 127,0 +) +) +) +*99 (CptPort +uid 1206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1207,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "134625,5250,135375,6000" +) +tg (CPTG +uid 1208,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1209,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "134300,7000,135700,11500" +st "hAddr" +ju 2 +blo "135500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1210,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,77000,2800" +st "hAddr : IN unsigned (ahbAddressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hAddr" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 2 +suid 128,0 +) +) +) +*100 (CptPort +uid 1211,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1212,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 1213,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1214,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,10500" +st "hClk" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1215,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,63500,3600" +st "hClk : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hClk" +t "std_uLogic" +o 4 +suid 129,0 +) +) +) +*101 (CptPort +uid 1216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1217,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "152625,5250,153375,6000" +) +tg (CPTG +uid 1218,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1219,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "152300,7000,153700,18000" +st "hRDataPeriph1" +ju 2 +blo "153500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1220,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,80000,10800" +st "hRDataPeriph1 : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataPeriph1" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 8 +suid 130,0 +) +) +) +*102 (CptPort +uid 1221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1222,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "142625,5250,143375,6000" +) +tg (CPTG +uid 1223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1224,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "142300,7000,143700,18000" +st "hRDataPeriph2" +ju 2 +blo "143500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1225,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,80000,11600" +st "hRDataPeriph2 : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRDataPeriph2" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 9 +suid 131,0 +) +) +) +*103 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "150625,5250,151375,6000" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1229,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "150300,7000,151700,18100" +st "hReadyPeriph1" +ju 2 +blo "151500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1230,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,63500,12400" +st "hReadyPeriph1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyPeriph1" +t "std_uLogic" +o 12 +suid 132,0 +) +) +) +*104 (CptPort +uid 1231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1232,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "140625,5250,141375,6000" +) +tg (CPTG +uid 1233,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1234,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "140300,7000,141700,18100" +st "hReadyPeriph2" +ju 2 +blo "141500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1235,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12400,63500,13200" +st "hReadyPeriph2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hReadyPeriph2" +t "std_uLogic" +o 13 +suid 133,0 +) +) +) +*105 (CptPort +uid 1236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1237,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 1238,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1239,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,13800" +st "hReset_n" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1240,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,63500,4400" +st "hReset_n : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hReset_n" +t "std_uLogic" +o 15 +suid 134,0 +) +) +) +*106 (CptPort +uid 1241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1242,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "148625,5250,149375,6000" +) +tg (CPTG +uid 1243,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1244,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "148300,7000,149700,17300" +st "hRespPeriph1" +ju 2 +blo "149500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1245,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13200,63500,14000" +st "hRespPeriph1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespPeriph1" +t "std_uLogic" +o 17 +suid 135,0 +) +) +) +*107 (CptPort +uid 1246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1247,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "138625,5250,139375,6000" +) +tg (CPTG +uid 1248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1249,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "138300,7000,139700,17300" +st "hRespPeriph2" +ju 2 +blo "139500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1250,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,14000,63500,14800" +st "hRespPeriph2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "hRespPeriph2" +t "std_uLogic" +o 18 +suid 136,0 +) +) +) +*108 (CptPort +uid 1251,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1252,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154625,5250,155375,6000" +) +tg (CPTG +uid 1253,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1254,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "154300,7000,155700,16100" +st "hSelPeriph1" +ju 2 +blo "155500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1255,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,63500,5200" +st "hSelPeriph1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hSelPeriph1" +t "std_uLogic" +o 20 +suid 137,0 +) +) +) +*109 (CptPort +uid 1256,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1257,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "144625,5250,145375,6000" +) +tg (CPTG +uid 1258,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1259,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "144300,7000,145700,16100" +st "hSelPeriph2" +ju 2 +blo "145500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1260,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,63500,6000" +st "hSelPeriph2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hSelPeriph2" +t "std_uLogic" +o 21 +suid 138,0 +) +) +) +*110 (CptPort +uid 1261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1262,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "130625,5250,131375,6000" +) +tg (CPTG +uid 1263,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1264,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "130300,7000,131700,12100" +st "hTrans" +ju 2 +blo "131500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1265,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,80500,6800" +st "hTrans : IN std_ulogic_vector (ahbTransBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hTrans" +t "std_ulogic_vector" +b "(ahbTransBitNb-1 DOWNTO 0)" +o 24 +suid 139,0 +) +) +) +*111 (CptPort +uid 1266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1267,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "132625,5250,133375,6000" +) +tg (CPTG +uid 1268,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1269,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "132300,7000,133700,12900" +st "hWData" +ju 2 +blo "133500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1270,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,80000,7600" +st "hWData : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "hWData" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 25 +suid 140,0 +) +) +) +*112 (CptPort +uid 1271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1272,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128625,5250,129375,6000" +) +tg (CPTG +uid 1273,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1274,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "128300,7000,129700,12000" +st "hWrite" +ju 2 +blo "129500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1275,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,63500,8400" +st "hWrite : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "hWrite" +t "std_uLogic" +o 26 +suid 141,0 +) +) +) +*113 (CptPort +uid 1276,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1277,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 1278,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1279,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11100" +st "reset" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1280,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,14800,63500,15600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 27 +suid 142,0 +) +) +) +*114 (CptPort +uid 1281,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1282,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 1283,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1284,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,14500" +st "upAddress" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1285,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,15600,77000,16400" +st "upAddress : OUT unsigned (ahbAddressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "upAddress" +t "unsigned" +b "(ahbAddressBitNb-1 DOWNTO 0)" +o 28 +suid 143,0 +) +) +) +*115 (CptPort +uid 1286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1287,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 1288,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1289,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,13700" +st "upDataIn" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1290,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,80000,9200" +st "upDataIn : IN std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "upDataIn" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 29 +suid 144,0 +) +) +) +*116 (CptPort +uid 1291,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1292,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 1293,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1294,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,14700" +st "upDataOut" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1295,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,16400,80000,17200" +st "upDataOut : OUT std_ulogic_vector (ahbDataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "upDataOut" +t "std_ulogic_vector" +b "(ahbDataBitNb-1 DOWNTO 0)" +o 30 +suid 145,0 +) +) +) +*117 (CptPort +uid 1296,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1297,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 1298,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1299,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,17600" +st "upReadStrobe" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1300,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,17200,63500,18000" +st "upReadStrobe : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "upReadStrobe" +t "std_uLogic" +o 31 +suid 146,0 +) +) +) +*118 (CptPort +uid 1301,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1302,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 1303,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1304,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,17800" +st "upWriteStrobe" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1305,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,18000,62500,18800" +st "upWriteStrobe : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "upWriteStrobe" +t "std_uLogic" +o 32 +suid 147,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,163000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "84650,8800,92550,10000" +st "AhbLite_test" +blo "84650,9800" +) +second (Text +uid 12,0 +va (VaSet +) +xt "84650,10000,93350,11200" +st "ahbLite_tester" +blo "84650,11000" +) +) +gi *119 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "54000,6000,70000,9200" +st "Generic Declarations + +periph2BaseAddress natural +clockFrequency real " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "periph2BaseAddress" +type "natural" +value "" +) +(GiElement +name "clockFrequency" +type "real" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*120 (Grouping +uid 16,0 +optionalChildren [ +*121 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*124 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*126 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*127 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*128 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*129 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*130 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *131 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*133 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1017,690" +viewArea "-500,-500,71299,48094" +cachedDiagramExtent "0,0,163000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "AhbLite_test" +entityName "ahbLite_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,55000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "32800,15000,37200,16000" +st "" +blo "32800,15800" +) +second (Text +va (VaSet +) +xt "32800,16000,36000,17000" +st "" +blo "32800,16800" +) +) +gi *134 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *135 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,18800,45000,19800" +st "User:" +blo "42000,19600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,19800,44000,19800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1347,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/AhbLite_test/hds/hds/_cordic_tb._epf b/Libs/AhbLite_test/hds/hds/_cordic_tb._epf new file mode 100644 index 0000000..21b4705 --- /dev/null +++ b/Libs/AhbLite_test/hds/hds/_cordic_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cordic_tb/struct.bd diff --git a/Libs/AhbLite_test/hds/hds/_cordic_tester._epf b/Libs/AhbLite_test/hds/hds/_cordic_tester._epf new file mode 100644 index 0000000..571444e --- /dev/null +++ b/Libs/AhbLite_test/hds/hds/_cordic_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom cordic_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/AhbLite_test/hds/hds/_motherboard_tb._epf b/Libs/AhbLite_test/hds/hds/_motherboard_tb._epf new file mode 100644 index 0000000..b97c86e --- /dev/null +++ b/Libs/AhbLite_test/hds/hds/_motherboard_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom motherboard_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/AhbLite_test/hds/hds/_motherboard_tester._epf b/Libs/AhbLite_test/hds/hds/_motherboard_tester._epf new file mode 100644 index 0000000..7fb5b51 --- /dev/null +++ b/Libs/AhbLite_test/hds/hds/_motherboard_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom motherboard_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common/hdl/blinker_arch.vhd b/Libs/Common/hdl/blinker_arch.vhd new file mode 100644 index 0000000..3d29f7e --- /dev/null +++ b/Libs/Common/hdl/blinker_arch.vhd @@ -0,0 +1,89 @@ +-- filename: blinker.vhd +-- kind: vhdl file +-- first created: 18.06.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 18.06.2012 -- Initial Version +-------------------------------------------------------------------------------- +-- Description: +-- For let blinking a LED with an signal event +-- Mode = 0 (reactive on rising edge) +-- ___________________________________________ +-- input ____/ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +---- +-- Mode = 1 (reactive on falling edge) +-- _____ +-- input \__________________________________________ +-- ___________________ +-- output ______/ \_____________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ______ / \____________________ +-- time 0s 0.5s 1s +-- +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all; + + +ARCHITECTURE arch OF blinker IS + + constant c : integer := clockFrequency/2; -- 500ms blink + + signal cnt : unsigned(requiredBitNb(c)-1 downto 0); + signal en_delay : std_ulogic; + signal blink_int : std_ulogic; + +BEGIN + + process(reset, clock) + begin + if reset = '1' then + en_delay <= '0'; + blink_int <= '0'; + cnt <= (others => '0'); + elsif rising_edge(clock) then + en_delay <= en; + -- detect rising_edge + if mode = 0 then + if blink_int = '0' and en_delay = '0' and en = '1' then + blink_int <= '1'; + end if; + else + -- detect falling edge + if blink_int = '0' and en_delay = '1' and en = '0' then + blink_int <= '1'; + end if; + end if; + -- blink + if blink_int = '1' then + if (cnt < c) then + cnt <= cnt + 1; + else + cnt <= (others => '0'); + blink_int <= '0'; + end if; + end if; + end if; + end process; + + -- Set output + blink <= blink_int; +END ARCHITECTURE arch; diff --git a/Libs/Common/hdl/commonLib.vhd b/Libs/Common/hdl/commonLib.vhd new file mode 100644 index 0000000..223f0b5 --- /dev/null +++ b/Libs/Common/hdl/commonLib.vhd @@ -0,0 +1,68 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Common Lib +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- added documentation +-- ----------------------------------------------------------------------------- +library IEEE; + use IEEE.std_logic_1164.all; + use IEEE.numeric_std.all; + +PACKAGE CommonLib IS + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to represent the given val + -- Examples: + -- requiredBitNb(1) = 1 (1) + -- requiredBitNb(2) = 2 (10) + -- requiredBitNb(3) = 2 (11) + function requiredBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to count val times (0 to val-1) + -- Examples: + -- counterBitNb(1) = 1 (0) + -- counterBitNb(2) = 1 (0->1) + -- counterBitNb(3) = 2 (0->1->10) + function counterBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Functions to return one or the other input based on a boolean. + -- Can be used to build conditional constants. + -- Example: + -- constant bonjour_c : string := sel(ptpRole = master, "fpga20", "fpga02"); + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer; + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string; + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector; + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned; + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed; + +END CommonLib; diff --git a/Libs/Common/hdl/commonLib_body.vhd b/Libs/Common/hdl/commonLib_body.vhd new file mode 100644 index 0000000..58a33ee --- /dev/null +++ b/Libs/Common/hdl/commonLib_body.vhd @@ -0,0 +1,105 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Often used functions +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- ----------------------------------------------------------------------------- +PACKAGE BODY CommonLib IS + + function requiredBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end requiredBitNb; + + function counterBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo < val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end counterBitNb; + + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + +END CommonLib; diff --git a/Libs/Common/hdl/debounce_rtl.vhd b/Libs/Common/hdl/debounce_rtl.vhd new file mode 100644 index 0000000..83aae18 --- /dev/null +++ b/Libs/Common/hdl/debounce_rtl.vhd @@ -0,0 +1,112 @@ +-- filename: debounced.vhd +-- kind: vhdl file +-- first created: 11.01.2024 +-- created by: boy +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : boy 11.01.2024 -- Initial Version + +-------------------------------------------------------------------------------- +-- Description: +-- debounceds a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output __________________/ \____________ +-- +-------------------------------------------------------------------------------- +-- Generics: +-- g_debounceTime (time) : parameter to fix the debounce time. +-- g_minConsecutiveStateCount (integer) : The number of consecutive readings of the same state required to change the output. +-- g_clockFrequency (real) : Clock frequency of the system +-- g_activeState (std_ulogic) : The output will be reset in "inactive" state. +-------------------------------------------------------------------------------- +-- Input is read each g_debounceTime, and a constant value must appear for +-- g_minConsecutiveStateCount to be forwarded on the output. +-- To update the output, x consecutive samples needs to have +-- the exact same value. x is given with the "g_minConsecutiveStateCount" parameter +-------------------------------------------------------------------------------- +LIBRARY ieee; + USE ieee.math_real.all; +LIBRARY Common; + USE Common.CommonLib.all; + + +ARCHITECTURE rtl OF debounce IS + + -- Creates a vector of alternating 1's and 0's (0b...1010) + pure function alternating_ones_and_zeros(length : integer) return std_ulogic_vector is + variable ret_val : std_ulogic_vector(length - 1 downto 0); + BEGIN + for i in 0 to length - 1 loop + if i mod 2 = 1 then + ret_val(i) := '1'; + else + ret_val(i) := '0'; + end if; + end loop; + + return ret_val; + end function alternating_ones_and_zeros; + + -- To check if all bits are '1' + constant c_LOGICAL_HIGH_VALID: std_ulogic_vector((g_minConsecutiveStateCount-1) downto 0) := (others=>'1'); + -- To check if all bits are '0' + constant c_LOGICAL_LOW_VALID: std_ulogic_vector((g_minConsecutiveStateCount-1) downto 0) := (others=>'0'); + -- Alternating 1's and 0's for reset value + constant c_INIT_SAMPLE: std_ulogic_vector((g_minConsecutiveStateCount-1) downto 0) := alternating_ones_and_zeros(g_minConsecutiveStateCount); + -- Delay between two samplings + -- delay = (g_debounceTime * g_clockFrequency) / g_minConsecutiveStateCount - 1 + constant DELAY: positive := integer(ceil(((real(g_debounceTime / 1 ps) / 1.0e12) * g_clockFrequency) / real(g_minConsecutiveStateCount))) - 1; + + -- Holds the state of registered consecutive inputs + signal lvec_sample: std_ulogic_vector((g_minConsecutiveStateCount-1) downto 0); + -- Defines when we will sample (based on given DELAY) + signal lsig_samplePulse: std_ulogic := '0'; + -- Counter for the delay + signal lvec_count : unsigned(requiredBitNb(DELAY)-1 downto 0); + +BEGIN + + clockDivider: process(reset, clock) --Clock Divider + begin + if reset = '1' then + lvec_count <= (others => '0'); + lsig_samplePulse <= '0'; + elsif rising_edge(clock) then + if (lvec_count < DELAY) then + lvec_count <= lvec_count + 1; + lsig_samplePulse <= '0'; + else + lvec_count <= (others => '0'); + lsig_samplePulse <= '1'; + end if; + end if; + end process clockDivider; + + sampling: process(reset, clock) --Sampling Process + begin + if reset = '1' then + lvec_sample <= c_INIT_SAMPLE; + elsif rising_edge(clock) then + if lsig_samplePulse = '1' then + lvec_sample((g_minConsecutiveStateCount - 1) downto 1) <= lvec_sample((g_minConsecutiveStateCount - 2) downto 0); -- Left Shift + lvec_sample(0) <= input; + end if; + end if; + end process sampling; + + inputDebouncing: process(reset, clock) --Input Debouncing + begin + if reset = '1' then + debounced <= not g_activeState; + elsif rising_edge(clock) then + if lvec_sample = c_LOGICAL_HIGH_VALID then --Active High Constant Out + debounced <= '1'; + elsif lvec_sample = c_LOGICAL_LOW_VALID then + debounced <= '0'; + end if; + end if; + end process inputDebouncing; +END ARCHITECTURE rtl; + diff --git a/Libs/Common/hdl/debouncerULogicVector_RTL.vhd b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd new file mode 100644 index 0000000..734485e --- /dev/null +++ b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd @@ -0,0 +1,97 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncerULogicVector IS + + signal inputNormal : std_ulogic_vector(input'range); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- adapt polarity + adaptPolarity: process(input) + begin + for index in input'range loop + inputNormal(index) <= input(index) xor invertInput; + end loop; + end process adaptPolarity; + + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + variable inputOr : std_ulogic; + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputOr := '0'; + for index in input'range loop + inputOr := inputOr or inputNormal(index); + end loop; + inputSynch <= inputOr; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= (others => '0'); + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= inputNormal; + elsif debounceCounter = 1 then + debounced <= inputNormal; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/debouncer_RTL.vhd b/Libs/Common/hdl/debouncer_RTL.vhd new file mode 100644 index 0000000..a74c383 --- /dev/null +++ b/Libs/Common/hdl/debouncer_RTL.vhd @@ -0,0 +1,83 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-- -- direct reaction on both edges +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncer IS + + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= '0'; + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= input; + elsif debounceCounter = 1 then + debounced <= input; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/edgeDetector_rtl.vhd b/Libs/Common/hdl/edgeDetector_rtl.vhd new file mode 100644 index 0000000..a9ae99a --- /dev/null +++ b/Libs/Common/hdl/edgeDetector_rtl.vhd @@ -0,0 +1,48 @@ +-------------------------------------------------------------------------------- +-- Copyright 2014 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- EdgeDetector +-- Detect rising and falling edges of a signal. +-- +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : guo 2014-04-02 -- Initial version +-- v1.0 : cof 2019-10-02 -- Updated symbol +-------------------------------------------------------------------------------- +ARCHITECTURE RTL OF edgeDetector IS + + SIGNAL pulse_delayed : std_ulogic; + SIGNAL rising_detected_s : std_ulogic; + SIGNAL falling_detected_s : std_ulogic; + +BEGIN + + -- delay pulse + reg : PROCESS (reset, clock) + BEGIN + IF reset = '1' THEN + pulse_delayed <= '0'; + ELSIF rising_edge(clock) THEN + pulse_delayed <= pulse; + END IF; + END PROCESS reg ; + + -- edge detection + rising <= '1' when (pulse = '1') and (pulse_delayed = '0') + else '0'; + falling <= '1' when (pulse = '0') and (pulse_delayed = '1') + else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd new file mode 100644 index 0000000..8f1a378 --- /dev/null +++ b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd @@ -0,0 +1,76 @@ +ARCHITECTURE rtl OF rotaryToUnsigned IS + + signal rotaryDelayed1, rotaryDelayed2, rotaryStable : unsigned(rotary'range); + signal rotary_changed : std_ulogic; + signal glitchDelayCounter : unsigned(counterBitNb-1 downto 0); + signal rotaryStableDelayed : unsigned(rotary'range); + signal numberMsbs : unsigned(number'length-rotary'length-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- synchronize input and detect changes + delayRotary: process(reset, clock) + begin + if reset = '1' then + rotaryDelayed1 <= (others => '0'); + rotaryDelayed2 <= (others => '0'); + elsif rising_edge(clock) then + rotaryDelayed1 <= rotary; + rotaryDelayed2 <= rotaryDelayed1; + end if; + end process delayRotary; + + rotary_changed <= '1' when rotaryDelayed1 /= rotaryDelayed2 + else '0'; + -- count dead time + countDeadTime: process(reset, clock) + begin + if reset = '1' then + glitchDelayCounter <= (others => '1'); + elsif rising_edge(clock) then + if rotary_changed = '1' then + glitchDelayCounter <= (others => '1'); + elsif glitchDelayCounter > 0 then + glitchDelayCounter <= glitchDelayCounter - 1; + end if; + end if; + end process countDeadTime; + -- store new rotary button value + storeRotary: process(reset, clock) + begin + if reset = '1' then + rotaryStable <= (others => '0'); + elsif rising_edge(clock) then + if glitchDelayCounter = 0 then + rotaryStable <= rotaryDelayed2; + end if; + end if; + end process storeRotary; + + ------------------------------------------------------------------------------ + -- keep previous value of stablilzed rotary + delayRotaryStable: process(reset, clock) + begin + if reset = '1' then + rotaryStableDelayed <= (others => '0'); + elsif rising_edge(clock) then + rotaryStableDelayed <= rotaryStable; + end if; + end process delayRotaryStable; + -- synchronize input and detect changes + updateMsbs: process(reset, clock) + begin + if reset = '1' then + numberMsbs <= (others => '0'); + elsif rising_edge(clock) then + if (rotaryStable = 0) and (rotaryStableDelayed+1 = 0) then + numberMsbs <= numberMsbs + 1; + elsif (rotaryStable+1 = 0) and (rotaryStableDelayed = 0) then + numberMsbs <= numberMsbs - 1; + end if; + end if; + end process updateMsbs; + + number <= numberMsbs & rotaryStableDelayed; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/spikeFilter_RTL.vhd b/Libs/Common/hdl/spikeFilter_RTL.vhd new file mode 100644 index 0000000..b176aa8 --- /dev/null +++ b/Libs/Common/hdl/spikeFilter_RTL.vhd @@ -0,0 +1,82 @@ +-------------------------------------------------------------------------------- +-- Description: +-- Filters short time spikes. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \_________________ +-- _____________________________ +-- output ________________/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF spikeFilter IS + + signal filterCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + filterCounter <= (others => '0'); + elsif rising_edge(clock) then + if filterCounter = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter + 1; + end if; + elsif signed(filterCounter)+1 = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter - 1; + end if; + else + if inputSynch = '0' then + filterCounter <= filterCounter - 1; + else + filterCounter <= filterCounter + 1; + end if; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + filtered <= '0'; + elsif rising_edge(clock) then + if filterCounter = 0 then + filtered <= '0'; + elsif signed(filterCounter)+1 = 0 then + filtered <= '1'; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/toggler_RTL.vhd b/Libs/Common/hdl/toggler_RTL.vhd new file mode 100644 index 0000000..1b47128 --- /dev/null +++ b/Libs/Common/hdl/toggler_RTL.vhd @@ -0,0 +1,90 @@ +-- filename: toggler.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : cof 22.01.2013 -- Initial version +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ +-- input ____/ \__________________________/ \____________ +-- _____________________________ +-- output _____/ \____________ +-- +-- If the generic "counterBitNb" is greater than zero, a debouncer is placed on +-- the input signal. +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF toggler IS + + signal inputDebounced : std_ulogic; + signal inputDelayed, inputChangedTo1 : std_ulogic; + signal toggle_int : std_ulogic; + + COMPONENT debouncer + GENERIC ( + counterBitNb : positive := 18; + invertInput : std_ulogic := '0' + ); + PORT ( + reset : IN std_ulogic ; + clock : IN std_ulogic ; + input : IN std_ulogic ; + debounced : OUT std_ulogic + ); + END COMPONENT; + +BEGIN + ------------------------------------------------------------------------------ + -- Debounce input + useInputDirectly: if counterBitNb = 0 generate + inputDebounced <= input; + end generate useInputDirectly; + + debounceInput: if counterBitNb > 0 generate + I_debouncer : debouncer + GENERIC MAP ( + counterBitNb => counterBitNb, + invertInput => invertInput + ) + PORT MAP ( + reset => reset, + clock => clock, + input => input, + debounced => inputDebounced + ); + end generate debounceInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputDebounced; + end if; + end process delayInput; + + inputChangedTo1 <= '1' when (inputDebounced = '1') and (inputDelayed = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- Toggle output + toggleOutput: process(reset, clock) + begin + if reset = '1' then + toggle_int <= '0'; + elsif rising_edge(clock) then + if inputChangedTo1 = '1' then + toggle_int <= not toggle_int; + end if; + end if; + end process toggleOutput; + + toggle <= toggle_int; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/_blinker._epf b/Libs/Common/hds/_blinker._epf new file mode 100644 index 0000000..87ea3e1 --- /dev/null +++ b/Libs/Common/hds/_blinker._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom arch +DEFAULT_FILE atom blinker_arch.vhd diff --git a/Libs/Common/hds/_debounce._epf b/Libs/Common/hds/_debounce._epf new file mode 100644 index 0000000..ec8784d --- /dev/null +++ b/Libs/Common/hds/_debounce._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom debounce_rtl.vhd diff --git a/Libs/Common/hds/_debouncer._epf b/Libs/Common/hds/_debouncer._epf new file mode 100644 index 0000000..641b0cc --- /dev/null +++ b/Libs/Common/hds/_debouncer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_edgedetector._epf b/Libs/Common/hds/_edgedetector._epf new file mode 100755 index 0000000..218e0ce --- /dev/null +++ b/Libs/Common/hds/_edgedetector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom edgeDetector_rtl.vhd diff --git a/Libs/Common/hds/_rotarytounsigned._epf b/Libs/Common/hds/_rotarytounsigned._epf new file mode 100755 index 0000000..7c92a28 --- /dev/null +++ b/Libs/Common/hds/_rotarytounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rotaryToUnsigned_rtl.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_toggler._epf b/Libs/Common/hds/_toggler._epf new file mode 100644 index 0000000..ee14298 --- /dev/null +++ b/Libs/Common/hds/_toggler._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/blinker/symbol.sb b/Libs/Common/hds/blinker/symbol.sb new file mode 100644 index 0000000..887db7c --- /dev/null +++ b/Libs/Common/hds/blinker/symbol.sb @@ -0,0 +1,1557 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "Common" +unitName "CommonLib" +) +] +libraryRefs [ +"ieee" +"Common" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 133,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +uid 131,0 +) +*48 (LogGeneric +generic (GiElement +name "mode" +type "integer" +value "0" +) +uid 165,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 132,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 166,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blinker" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:32" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "blinker" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:32" +) +(vvPair +variable "unit" +value "blinker" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "16000,9500,18100,10500" +st "clock" +blo "16000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,58500,2900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "16000,7500,17200,8500" +st "en" +blo "16000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,58500,3800" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,7625,23750,8375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "20100,7500,22000,8500" +st "blink" +ju 2 +blo "22000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,57500,5600" +st "blink : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +) +xt "16000,10500,18100,11500" +st "reset" +blo "16000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,58500,4700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13000,18200,13900" +st "Common" +blo "15200,13700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13900,18700,14800" +st "blinker" +blo "15200,14600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,15600,34500,19200" +st "Generic Declarations + +clockFrequency positive 106e6 --in Hz +mode integer 0 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +(GiElement +name "mode" +type "integer" +value "0" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,7000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all;" +tm "PackageList" +) +] +) +windowSize "36,125,1057,815" +viewArea "8116,4374,35400,22781" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debounce/symbol.sb b/Libs/Common/hds/debounce/symbol.sb new file mode 100644 index 0000000..8f40635 --- /dev/null +++ b/Libs/Common/hds/debounce/symbol.sb @@ -0,0 +1,1586 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 114,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 115,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "g_debounceTime" +type "time" +value "10 us" +) +uid 140,0 +) +*48 (LogGeneric +generic (GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "10" +) +uid 211,0 +) +*49 (LogGeneric +generic (GiElement +name "g_clockFrequency" +type "real" +value "60.0e6" +) +uid 213,0 +) +*50 (LogGeneric +generic (GiElement +name "g_activeState" +type "std_ulogic" +value "'1'" +) +uid 238,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &35 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*53 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*54 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*55 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*56 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 141,0 +) +*57 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 212,0 +) +*58 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 214,0 +) +*59 (MRCItem +litem &50 +pos 3 +dimension 20 +uid 239,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*60 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*61 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &42 +pos 2 +dimension 168 +uid 103,0 +) +*63 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*64 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*65 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*66 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce" +) +(vvPair +variable "d_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce" +) +(vvPair +variable "date" +value "19.01.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "entity_name" +value "debounce" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "19.01.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:33:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Common/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "debounce" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common\\hds\\debounce\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:33:49" +) +(vvPair +variable "unit" +value "debounce" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,3625,31000,4375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "32000,3400,35400,4600" +st "clock" +blo "32000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9200,19500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,5625,31000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "32000,5400,35300,6600" +st "reset" +blo "32000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,10000,19500,10800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*70 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,1625,31000,2375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "32000,1400,35200,2600" +st "input" +blo "32000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,10800,19500,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*71 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,1625,43750,2375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "35900,1400,42000,2600" +st "debounced" +ju 2 +blo "42000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11600,18500,12400" +st "debounced : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,1000,43000,9000" +) +oxt "20000,2000,30000,12000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "36300,4800,40900,5800" +st "Common" +blo "36300,5600" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "36300,5800,41400,6800" +st "debounce" +blo "36300,6600" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "31000,9200,56000,14000" +st "Generic Declarations + +g_debounceTime time 10 us +g_minConsecutiveStateCount positive 10 +g_clockFrequency real 60.0e6 +g_activeState std_ulogic '1' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "10 us" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "10" +) +(GiElement +name "g_clockFrequency" +type "real" +value "60.0e6" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "'1'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "6000,30000,23000,31000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "6200,30000,17900,31000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,26000,27000,27000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,26000,26200,27000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "6000,28000,23000,29000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "6200,28000,16200,29000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "2000,28000,6000,29000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "2200,28000,4300,29000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,27000,43000,31000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,27200,32600,28200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,26000,43000,27000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,26000,28800,27000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "2000,26000,23000,28000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "7350,26400,17650,27600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "2000,29000,6000,30000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "2200,29000,4300,30000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "2000,30000,6000,31000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "2200,30000,4900,31000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "6000,29000,23000,30000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "6200,29000,16700,30000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "2000,26000,43000,31000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1000,7600,2200" +st "Package List" +blo "0,2000" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,2200,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1928,-8,8,1040" +viewArea "-16899,-3457,47814,31400" +cachedDiagramExtent "0,1000,56000,31000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6800,7400,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,8000,3700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,12400,3200,13600" +st "User:" +blo "0,13400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,6800,8200,8000" +st "Internal User:" +blo "0,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13600,2000,13600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6800,0,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 400,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer/symbol.sb b/Libs/Common/hds/debouncer/symbol.sb new file mode 100644 index 0000000..b4c91e8 --- /dev/null +++ b/Libs/Common/hds/debouncer/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18500,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17500,13400" +st "debounced : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,44700,22800" +st "debouncer" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1395,892" +viewArea "-1000,-1000,74757,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 291,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb new file mode 100644 index 0000000..f11db1c --- /dev/null +++ b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +*49 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "8" +) +uid 292,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 1 +dimension 20 +uid 160,0 +) +*56 (MRCItem +litem &48 +pos 2 +dimension 20 +uid 268,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 293,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncerULogicVector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,30500,11600" +st "input : IN std_ulogic_vector (1 to inputBitNb) ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,29500,13400" +st "debounced : OUT std_ulogic_vector (1 to inputBitNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,51200,22800" +st "debouncerULogicVector" +blo "40200,22600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,28300" +st "Generic Declarations + +inputBitNb positive 8 +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 316,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/edge@detector/symbol.sb b/Libs/Common/hds/edge@detector/symbol.sb new file mode 100644 index 0000000..b626737 --- /dev/null +++ b/Libs/Common/hds/edge@detector/symbol.sb @@ -0,0 +1,1647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 192,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 193,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 195,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 405,0 +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 135,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 162,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 163,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 190,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 199,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 166,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 169,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 171,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 175,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 179,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 181,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 183,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 185,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 187,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 197,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector" +) +(vvPair +variable "date" +value "10/02/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "02" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "edgeDetector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/02/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "17:10:33" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\users\\syslo\\dcf\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "edgeDetector" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:10:33" +) +(vvPair +variable "unit" +value "edgeDetector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,28500,16600" +st "clock" +blo "25000,16300" +tm "CptPortNameMgr" +) +s (Text +uid 395,0 +va (VaSet +font "courier,12,0" +) +xt "25000,16600,25000,16600" +blo "25000,16600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11600,6500,12500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,11625,40750,12375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34800,11300,39000,12600" +st "rising" +ju 2 +blo "39000,12300" +tm "CptPortNameMgr" +) +s (Text +uid 396,0 +va (VaSet +font "courier,12,0" +) +xt "39000,12600,39000,12600" +ju 2 +blo "39000,12600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15200,5500,16100" +st "rising : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28500,18600" +st "reset" +blo "25000,18300" +tm "CptPortNameMgr" +) +s (Text +uid 397,0 +va (VaSet +font "courier,12,0" +) +xt "25000,18600,25000,18600" +blo "25000,18600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13400,6500,14300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,11625,24000,12375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "25000,11350,28500,12650" +st "pulse" +blo "25000,12350" +tm "CptPortNameMgr" +) +s (Text +uid 398,0 +va (VaSet +font "courier,12,0" +) +xt "25000,12650,25000,12650" +blo "25000,12650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,6500,13400" +st "pulse : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +va (VaSet +font "courier,12,0" +) +xt "34100,13350,39000,14650" +st "falling" +ju 2 +blo "39000,14350" +tm "CptPortNameMgr" +) +s (Text +uid 403,0 +va (VaSet +font "courier,12,0" +) +xt "39000,14650,39000,14650" +ju 2 +blo "39000,14650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,14300,6500,15200" +st "falling : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,8000,40000,20000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,20400,27900,21400" +st "Common" +blo "24300,21200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,21400,32100,22400" +st "edgeDetector" +blo "24300,22200" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,23400,36600,24400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 223,0 +optionalChildren [ +*69 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,41400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 245,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 224,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 127,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*81 (MLText +uid 128,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,4100" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "59,4,1688,1058" +viewArea "-12000,-1100,58300,44500" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,35500,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,33900,17400" +st "" +blo "30500,17100" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9200,-3600,10400" +st "Declarations" +blo "-11000,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,10400,-7500,11600" +st "Ports:" +blo "-11000,11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,16100,-8000,17100" +st "User:" +blo "-11000,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,9200,-3200,10400" +st "Internal User:" +blo "-11000,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17100,-9000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,9200,-11000,9200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 429,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/rotary@to@unsigned/symbol.sb b/Libs/Common/hds/rotary@to@unsigned/symbol.sb new file mode 100644 index 0000000..b6c30d8 --- /dev/null +++ b/Libs/Common/hds/rotary@to@unsigned/symbol.sb @@ -0,0 +1,1602 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +uid 158,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +uid 160,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 162,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 174,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 159,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 225,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "8" +) +uid 227,0 +) +*49 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +uid 436,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 226,0 +) +*56 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 228,0 +) +*57 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 437,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:19:40" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR\\..\\Sinewave\\concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_HOME\\ISE\\bin\\nt" +) +(vvPair +variable "task_ISEProjectPath" +value "$SCRATCH_DIR\\Support\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:19:40" +) +(vvPair +variable "unit" +value "rotaryToUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "37400,19500,41000,20500" +st "number" +ju 2 +blo "41000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8900,13000,9800" +st "number : OUT unsigned (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,19625,26000,20375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "27000,19500,30600,20500" +st "rotary" +blo "27000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,9800,12000,10700" +st "rotary : IN unsigned (rotaryBitNb-1 downto 0)" +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*68 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,23625,26000,24375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "27000,23500,30000,24500" +st "clock" +blo "27000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,7100,2000,8000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,25625,26000,26375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +) +xt "27000,25500,30000,26500" +st "reset" +blo "27000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8000,2000,8900" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 201,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,16000,42000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28000,29250,28900" +st "Common" +blo "26250,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28900,34750,29800" +st "rotaryToUnsigned" +blo "26250,29600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,30800,40500,35300" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 8 +counterBitNb positive 10E3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,50000,34000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,50000,33400,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,38000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,37800,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,48000,34000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,48000,33400,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,48000,17000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,48000,16800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,54000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47200,47400,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,46000,54000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,46000,40000,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,46000,34000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "19000,46500,28000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,49000,17000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,49000,16200,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,50000,17000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,50000,16800,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,49000,34000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,49000,32200,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "13000,46000,54000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,0,-8500,900" +st "Package List" +blo "-15000,700" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "-15000,1000,3600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "93,88,1360,986" +viewArea "-16000,-1000,48728,44136" +cachedDiagramExtent "-15000,0,54000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "-15000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,5300,-8500,6200" +st "Declarations" +blo "-15000,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,6200,-12000,7100" +st "Ports:" +blo "-15000,6900" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,10700,-12500,11600" +st "User:" +blo "-15000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-15000,5300,-7500,6200" +st "Internal User:" +blo "-15000,6000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,11600,-13000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-15000,5300,-15000,5300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 437,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/spike@filter/symbol.sb b/Libs/Common/hds/spike@filter/symbol.sb new file mode 100644 index 0000000..8dfe61a --- /dev/null +++ b/Libs/Common/hds/spike@filter/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "spikeFilter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "52300,12500,55000,13500" +st "filtered" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17000,13400" +st "filtered : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,46200,22800" +st "spikeFilter" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,50759" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 314,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/toggler/symbol.sb b/Libs/Common/hds/toggler/symbol.sb new file mode 100644 index 0000000..1029e56 --- /dev/null +++ b/Libs/Common/hds/toggler/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "natural" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "toggler" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,18625,43000,19375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "44000,18500,46100,19500" +st "clock" +blo "44000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,17000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,14625,43000,15375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "44000,14500,46000,15500" +st "input" +blo "44000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,17000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,20625,43000,21375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "44000,20500,46100,21500" +st "reset" +blo "44000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,17000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,14625,59750,15375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "55400,14500,58000,15500" +st "toggle" +ju 2 +blo "58000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,16000,13400" +st "toggle : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,11000,59000,23000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23000,46200,23900" +st "Common" +blo "43200,23700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23900,46700,24800" +st "toggler" +blo "43200,24600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "43000,25800,58200,29400" +st "Generic Declarations + +counterBitNb natural 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 302,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hdl/clockGenerator_sim.vhd b/Libs/Common_test/hdl/clockGenerator_sim.vhd new file mode 100644 index 0000000..b2e94be --- /dev/null +++ b/Libs/Common_test/hdl/clockGenerator_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF clockGenerator IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9.0/10.0; + +END ARCHITECTURE sim; diff --git a/Libs/Common_test/hdl/commonLib_tb_test.vhd b/Libs/Common_test/hdl/commonLib_tb_test.vhd new file mode 100644 index 0000000..40a1b77 --- /dev/null +++ b/Libs/Common_test/hdl/commonLib_tb_test.vhd @@ -0,0 +1,26 @@ +LIBRARY Common; + USE Common.commonLib.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF commonLib_tb IS + constant maxPowOf2: positive := 10; + constant indent: string(1 to 2) := (others => ' '); +BEGIN + + process + variable value, bitNb: positive; + BEGIN + print("testing function " & '"' & "requiredBitNb" & '"'); + for index in 1 to maxPowOf2 loop + for offset in -1 to 1 loop + value := 2**index + offset; + bitNb := requiredBitNb(value); + print(indent & "requiredBitNb(" & sprintf("%d", value) & ") = " & sprintf("%d", bitNb)); + end loop; + print(""); + end loop; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/debounce_tester_test.vhd b/Libs/Common_test/hdl/debounce_tester_test.vhd new file mode 100644 index 0000000..2d3eac1 --- /dev/null +++ b/Libs/Common_test/hdl/debounce_tester_test.vhd @@ -0,0 +1,141 @@ +-- +-- VHDL Architecture Common_test.debounce_tester.test +-- +-- Created: +-- by - remy.borgeat.UNKNOWN (WE10993) +-- at - 15:30:08 12.01.2024 +-- +-- using Mentor Graphics HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY std; + USE std.textio.ALL; + +LIBRARY ieee; + USE ieee.std_logic_textio.ALL; + USE ieee.math_real.all; + +LIBRARY Common_test; + USE Common_test.testutils.all; + +ARCHITECTURE test OF debounce_tester IS + + constant clockPeriod : time := 1.0/g_clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + constant DELAY: positive := integer(ceil(((real(g_debounceTime / 1 ps) / 1.0e12) * g_clockFrequency) / real(g_minConsecutiveStateCount))) - 1; + + signal testInfo : string(1 to 40) := (others => ' '); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + -- startup + testInfo <= pad("Init", testInfo'length); + input <= '0'; + wait until reset = '0'; + wait until clock'event and clock = '1'; + + assert (debounced = not g_activeState) + report "Startup value should be " & to_string(not g_activeState) + severity failure; + assert (debounced = g_activeState) + report "Value OK" + severity note; + + -- transition 0 to 1 + testInfo <= pad("0 to 1", testInfo'length); + input <= '1'; + wait for (g_minConsecutiveStateCount/2) * DELAY * clockPeriod; + assert (debounced = not g_activeState) + report "Value should be " & to_string(not g_activeState) + severity failure; + assert (debounced = g_activeState) + report "Value OK" + severity note; + wait for ((g_minConsecutiveStateCount/2) + 1) * DELAY * clockPeriod; + assert (debounced = g_activeState) + report "Value should be " & to_string(g_activeState) + severity failure; + assert (debounced = not g_activeState) + report "Value OK" + severity note; + wait for 100*clockPeriod; + + -- transition 1 to 0 + testInfo <= pad("1 to 0", testInfo'length); + input <= '0'; + wait for (g_minConsecutiveStateCount/2) * DELAY * clockPeriod; + assert (debounced = g_activeState) + report "Value should be " & to_string(g_activeState) + severity failure; + assert (debounced = not g_activeState) + report "Value OK" + severity note; + wait for ((g_minConsecutiveStateCount/2) + 1) * DELAY * clockPeriod; + assert (debounced = not g_activeState) + report "Value should be " & to_string(not g_activeState) + severity failure; + assert (debounced = g_activeState) + report "Value OK" + severity note; + wait for 100*clockPeriod; + + + -- 0 w. glitches + testInfo <= pad("0 glitches", testInfo'length); + input <= '0', + '1' after (g_minConsecutiveStateCount/4) * DELAY * clockPeriod, + '0' after (g_minConsecutiveStateCount/2) * DELAY * clockPeriod, + '1' after ((g_minConsecutiveStateCount/4)*3) * DELAY * clockPeriod, + '0' after (g_minConsecutiveStateCount) * DELAY * clockPeriod; + wait for 2 * (g_minConsecutiveStateCount) * DELAY * clockPeriod; + assert (debounced = not g_activeState) + report "Value should be " & to_string(not g_activeState) + severity failure; + assert (debounced = g_activeState) + report "Value OK" + severity note; + + testInfo <= pad("Back to 1", testInfo'length); + input <= '1'; + wait for g_minConsecutiveStateCount * DELAY * clockPeriod; + assert (debounced = g_activeState) + report "Value should be " & to_string(g_activeState) + severity failure; + assert (debounced = not g_activeState) + report "Value OK" + severity note; + + -- 1 w. glitches + testInfo <= pad("1 glitches", testInfo'length); + input <= '1', + '0' after (g_minConsecutiveStateCount/4) * DELAY * clockPeriod, + '1' after (g_minConsecutiveStateCount/2) * DELAY * clockPeriod, + '0' after ((g_minConsecutiveStateCount/4)*3) * DELAY * clockPeriod, + '1' after (g_minConsecutiveStateCount) * DELAY * clockPeriod; + wait for 2 * (g_minConsecutiveStateCount) * DELAY * clockPeriod; + assert (debounced = g_activeState) + report "Value should be " & to_string(g_activeState) + severity failure; + assert (debounced = not g_activeState) + report "Value OK" + severity note; + + -- end of simulation + testInfo <= pad("End", testInfo'length); + wait for 10*clockPeriod; + assert false + report "End of simulation" + severity failure; + wait; + end process; + +END ARCHITECTURE test; + diff --git a/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd new file mode 100644 index 0000000..bca8093 --- /dev/null +++ b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE RTL OF debouncerULogicVector_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant longDelay : time := 2**(counterBitNb+1) * clockPeriod; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= (others => '0'); + wait for longDelay; + -- transition 0 to 1 + input(1) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for longDelay; + -- transition to other bit + -- transition 1 to 0 + input(1) <= '0'; + wait for longDelay; + input(2) <= '1'; + wait for longDelay; + -- transition 1 to 0 + input(2) <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for longDelay; + -- short 1 pulse + input(3) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE RTL; diff --git a/Libs/Common_test/hdl/debouncer_tester_test.vhd b/Libs/Common_test/hdl/debouncer_tester_test.vhd new file mode 100644 index 0000000..b319ae6 --- /dev/null +++ b/Libs/Common_test/hdl/debouncer_tester_test.vhd @@ -0,0 +1,49 @@ +ARCHITECTURE test OF debouncer_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/requiredBitNb.txt b/Libs/Common_test/hdl/requiredBitNb.txt new file mode 100644 index 0000000..5015db3 --- /dev/null +++ b/Libs/Common_test/hdl/requiredBitNb.txt @@ -0,0 +1,40 @@ +# testing function "requiredBitNb" +# requiredBitNb(1) = 1 +# requiredBitNb(2) = 2 +# requiredBitNb(3) = 2 +# +# requiredBitNb(3) = 2 +# requiredBitNb(4) = 3 +# requiredBitNb(5) = 3 +# +# requiredBitNb(7) = 3 +# requiredBitNb(8) = 4 +# requiredBitNb(9) = 4 +# +# requiredBitNb(15) = 4 +# requiredBitNb(16) = 5 +# requiredBitNb(17) = 5 +# +# requiredBitNb(31) = 5 +# requiredBitNb(32) = 6 +# requiredBitNb(33) = 6 +# +# requiredBitNb(63) = 6 +# requiredBitNb(64) = 7 +# requiredBitNb(65) = 7 +# +# requiredBitNb(127) = 7 +# requiredBitNb(128) = 8 +# requiredBitNb(129) = 8 +# +# requiredBitNb(255) = 8 +# requiredBitNb(256) = 9 +# requiredBitNb(257) = 9 +# +# requiredBitNb(511) = 9 +# requiredBitNb(512) = 10 +# requiredBitNb(513) = 10 +# +# requiredBitNb(1023) = 10 +# requiredBitNb(1024) = 11 +# requiredBitNb(1025) = 11 \ No newline at end of file diff --git a/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd new file mode 100644 index 0000000..70a3fbf --- /dev/null +++ b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF rotaryToUnsigned_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant stepPeriod : time := 100*clockPeriod; + signal rotary_int : unsigned(rotary'range); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + turnRotary: process + begin + rotary_int <= (others => '0'); + wait for 10*stepPeriod; + -- count over max value + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int + 1; + wait for stepPeriod; + end loop; + -- count down again + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int - 1; + wait for stepPeriod; + end loop; + -- end of simulation + wait; + end process turnRotary; + + addGlitches: process + begin + wait on rotary_int; + rotary <= (others => '0'); + wait for clockPeriod; + rotary <= (others => '1'); + wait for clockPeriod; + rotary <= rotary_int; + end process addGlitches; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/spikeFilter_tester_test.vhd b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd new file mode 100644 index 0000000..cd5468f --- /dev/null +++ b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF spikeFilter_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- loop on pulse width + for pulseWidth in 1 to 10 loop + -- send positive pulses train + for index in 1 to 8 loop + input <= '1'; + wait for pulseWidth * clockPeriod; + input <= '0'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input high + input <= '1'; + wait for 100*clockPeriod; + -- send negative pulses train + for index in 1 to 8 loop + input <= '0'; + wait for pulseWidth * clockPeriod; + input <= '1'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input low + input <= '0'; + wait for 100*clockPeriod; + end loop; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/testUtils_pkg.vhd b/Libs/Common_test/hdl/testUtils_pkg.vhd new file mode 100644 index 0000000..4d275b9 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg.vhd @@ -0,0 +1,127 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +PACKAGE testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string); + + + --============================================================================ + -- string manipulation + -- + + -- conversion to lowercase + function lc(value : string) return string; + procedure lc(value : inout line); + -- conversion to uppercase + function uc(value : string) return string; + procedure uc(value : inout line); + -- expand a string to a given length + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string; + -- remove separator characters at beginning and end of line + procedure rm_side_separators( + value : inout line; + separators : in string + ); + procedure rm_side_separators( + value : inout line + ); + -- remove multiple occurences of separator characters + procedure trim_line( + value : inout line; + separators : in string + ); + + procedure trim_line( + value : inout line + ); + -- remove all occurences of separator characters + procedure rm_all_separators( + value : inout line; + separators : in string + ); + + procedure rm_all_separators( + value : inout line + ); + -- find and remove first word + procedure read_first( + value : inout line; + separators : in string; + first : out line + ); + + procedure read_first( + value : inout line; + first : out line + ); + -- find and remove last word + procedure read_last( + value : inout line; + separators : in string; + last : out line + ); + + procedure read_last( + value : inout line; + last : out line + ); + + + --============================================================================ + -- formatted string output + -- + -- format codes: + -- code integer real std_logic std_(u)logic_vector (un)signed time + -- b v v v v binary + -- c character + -- d v v v v v decimal + -- e real numbers, with power of 10 exponent + -- f v v fixed point real numbers + -- s string + -- ts v time in seconds + -- tm v time in milliseconds + -- tu v time in microseconds + -- tn v time in nanoseconds + -- tp v time in picoseconds + -- x v v v v hexadecimal + -- X v v v v hexadecimal with upper-case letters + + function sprintf(format : string; value : integer ) return string; + function sprintf(format : string; value : real ) return string; + function sprintf(format : string; value : std_logic ) return string; + function sprintf(format : string; value : std_ulogic_vector) return string; + function sprintf(format : string; value : std_logic_vector ) return string; + function sprintf(format : string; value : unsigned ) return string; + function sprintf(format : string; value : signed ) return string; + function sprintf(format : string; value : time ) return string; + + --============================================================================ + -- formatted string input + -- + subtype nibbleUlogicType is std_ulogic_vector(3 downto 0); + subtype nibbleUnsignedType is unsigned(3 downto 0); + + function sscanf(value : character) return natural; + function sscanf(value : character) return nibbleUlogicType; + function sscanf(value : character) return nibbleUnsignedType; + function sscanf(value : string ) return natural; + function sscanf(value : string ) return unsigned; + function sscanf(value : string ) return std_ulogic_vector; + function sscanf(value : string ) return time; + + procedure sscanf(value : inout line; time_val : out time); + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_pkg_body.vhd b/Libs/Common_test/hdl/testUtils_pkg_body.vhd new file mode 100644 index 0000000..88c6205 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg_body.vhd @@ -0,0 +1,924 @@ +PACKAGE BODY testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string) is + variable my_line : line; + begin + write(my_line, value); + writeLine(output, my_line); + deallocate(my_line); + end print; + + + --============================================================================ + -- string manipulation + -- + + ------------------------------------------------------------------------------ + -- change to lowercase + ------------------------------------------------------------------------------ + procedure lc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'A') and (value(index) <= 'Z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('A') + + character'pos('a') + ); + end if; + end loop; + end lc; + + function lc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + lc(out_line); + return(out_line.all); + end lc; + + ------------------------------------------------------------------------------ + -- change to uppercase + ------------------------------------------------------------------------------ + procedure uc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'a') and (value(index) <= 'z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('a') + + character'pos('A') + ); + end if; + end loop; + end uc; + + function uc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + uc(out_line); + return(out_line.all); + end uc; + + ------------------------------------------------------------------------------ + -- formatted string output: padding and justifying + ------------------------------------------------------------------------------ + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string is + variable value_line : line; + variable out_line : line; + variable value_length : natural; + variable shift_sign : boolean; + begin + write(value_line, value); + value_length := value_line.all'length; + if string_length = 0 then + write(out_line, value_line.all); + elsif string_length > value_length then + if right_justify then + if (value_line.all(value_line.all'left) <= '-') and not(fill_char = ' ') then + shift_sign := true; + write(out_line, value_line.all(value_line.all'left)); + end if; + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + if shift_sign then + write(out_line, value_line.all(value_line.all'left+1 to value_line.all'right)); + else + write(out_line, value_line.all); + end if; + if not right_justify then + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + elsif string_length < value_length then + write(out_line, '#'); + write(out_line, value_line.all(value_length-string_length+2 to value_length)); + else + write(out_line, value_line.all); + end if; + deallocate(value_line); + return(out_line.all); + end pad; + + ------------------------------------------------------------------------------ + -- remove separator characters at beginning and end of line + ------------------------------------------------------------------------------ + procedure rm_side_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable found : boolean := false; + variable position : integer := 0; + begin + -- remove all separators in the beginning + position := -1; + for character_index in input_line'range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(position+1 to input_line'right) ); + end if; + + -- remove all separators in the end + position := -1; + for character_index in input_line'reverse_range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(input_line'left to position-1) ); + end if; + + value := input_line; + end; + + procedure rm_side_separators(value : inout line) is + begin + rm_side_separators(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove multiple occurences of separator characters, keeping one single + ------------------------------------------------------------------------------ + procedure trim_line( + value : inout line; + separators : in string + ) is + variable input_line: line := value; + variable output_line: line := new string'(""); + variable is_separator, was_separator : boolean := false; + begin + rm_side_separators(input_line); + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not (is_separator and was_separator) then + write(output_line, input_line.all(character_index)); + end if; + was_separator := is_separator; + end loop; + + value := output_line; + end; + + procedure trim_line(value : inout line) is + begin + trim_line(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove all occurences of separator characters + ------------------------------------------------------------------------------ + procedure rm_all_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable is_separator : boolean := false; + begin + + -- remove separators from beginn and end of the line + -- rm_separator_be(value, separators); + + -- empty output line + value := new string'(""); + + -- find all separator symbols + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not is_separator then + write(value, input_line.all(character_index)); + end if; + end loop; + + end; + + procedure rm_all_separators(value : inout line) is + begin + rm_all_separators(value, " _." & ht); + end; + + ------------------------------------------------------------------------------ + -- read first "word" out of a line + ------------------------------------------------------------------------------ + procedure read_first( + value : inout line; + separators : in string; + first : out line + ) is + variable input_line: line; + variable position: natural := 0; + begin + input_line := value; + for character_index in input_line.all'reverse_range loop + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position > 1 then + first := new string'(input_line.all(input_line'left to position-1)); + value := new string'(input_line(position+1 to input_line'right)); + else + first := new string'(input_line.all); + value := new string'(""); + end if; + end; + + procedure read_first(value : inout line; first : out line) is + begin + read_first(value, " :" & ht, first); + end; + + ------------------------------------------------------------------------------ + -- read last "word" out of a line + ------------------------------------------------------------------------------ + procedure read_last( + value : inout line; + separators : in string; + last : out line + ) is + variable input_line: line := value; + variable position: natural := 0; + begin + for character_index in input_line'range loop + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position <= input_line'right and + position > 0 then + value := new string'(input_line(input_line'left to position-1)); + last := new string'(input_line(position+1 to input_line'right)); + else + last := new string'(input_line.all); + end if; + end; + + procedure read_last(value : inout line; last : out line) is + begin + read_last(value, " :" & ht, last); + end; + + + --============================================================================ + -- formatted string output, internal functions + -- + + ------------------------------------------------------------------------------ + -- get format specification + ------------------------------------------------------------------------------ + procedure get_format_items( + format : string; + right_justify : out boolean; + add_sign : out boolean; + fill_char : out character; + total_length : out natural; + point_precision : out natural; + format_type : inout line + ) is + variable find_sign : boolean := false; + variable find_padding : boolean := false; + variable find_length : boolean := false; + variable find_precision : boolean := false; + variable find_type : boolean := false; + variable right_justify_int : boolean := true; + variable total_length_int : natural := 0; + variable point_precision_int : natural := 0; + begin + add_sign := false; + fill_char := ' '; + for index in 1 to format'length loop + if find_type then + write(format_type, format(index)); + end if; + if find_precision then + if (format(index) >= '0') and (format(index) <= '9') then + point_precision_int := 10*point_precision_int + character'pos(format(index)) - character'pos('0'); + if format(index+1) >= 'A' then + find_precision := false; + find_type := true; + end if; + end if; + end if; + if find_length then + if (format(index) >= '0') and (format(index) <= '9') then + total_length_int := 10*total_length_int + character'pos(format(index)) - character'pos('0'); + end if; + if format(index) = '.' then + find_length := false; + find_precision := true; + elsif format(index+1) >= 'A' then + find_length := false; + find_type := true; + end if; + end if; + if find_padding then + if format(index) = '0' then + if right_justify_int then + fill_char := '0'; + end if; + end if; + find_padding := false; + if format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if find_sign then + if format(index) = '-' then + right_justify_int := false; + end if; + if format(index) = '+' then + add_sign := true; + end if; + find_sign := false; + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if format(index) = '%' then + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + end loop; + right_justify := right_justify_int; + total_length := total_length_int; + point_precision := point_precision_int; + end get_format_items; + + + ------------------------------------------------------------------------------ + -- formatted string output: converting std_ulogic to character + ------------------------------------------------------------------------------ + function to_character(value: std_ulogic) return character is + variable out_value: character; + begin + case value is + when 'U' => out_value := 'U'; + when 'X' => out_value := 'X'; + when '0' => out_value := '0'; + when '1' => out_value := '1'; + when 'Z' => out_value := 'Z'; + when 'W' => out_value := 'W'; + when 'L' => out_value := 'L'; + when 'H' => out_value := 'H'; + when '-' => out_value := '-'; + end case; + return(out_value); + end to_character; + + ------------------------------------------------------------------------------ + -- formatted string output: binary integer + ------------------------------------------------------------------------------ + function sprintf_b(value: std_ulogic_vector) return string is + variable out_line : line; + begin + for index in value'range loop + write(out_line, to_character(value(index))); + end loop; + return(out_line.all); + end sprintf_b; + + ------------------------------------------------------------------------------ + -- formatted string output: decimal integer + ------------------------------------------------------------------------------ + function sprintf_d( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + value : integer + ) return string is + variable value_line : line; + begin + if add_sign and (value >= 0) then + write(value_line, '+'); + end if; + write(value_line, value); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_d; + + ------------------------------------------------------------------------------ + -- formatted string output: fixed point real + ------------------------------------------------------------------------------ + function sprintf_f( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + point_precision : natural; + value : real + ) return string is + variable point_precision_int : natural; + variable integer_part : integer; + variable decimal_part : natural; + variable value_line : line; + begin + if point_precision = 0 then + point_precision_int := 6; + else + point_precision_int := point_precision; + end if; + if value >= 0.0 then + integer_part := integer(value-0.5); + else + integer_part := - integer(-value-0.5); + end if; + decimal_part := abs(integer((value-real(integer_part))*(10.0**point_precision_int))); + if add_sign and (value >= 0.0) then + write(value_line, '+'); + end if; + write(value_line, integer_part); + write(value_line, '.'); + write(value_line, sprintf_d(true, false, '0', point_precision_int, decimal_part)); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_f; + + ------------------------------------------------------------------------------ + -- formatted string output: hexadecimal integer + ------------------------------------------------------------------------------ + function sprintf_X( + extend_unsigned : boolean; + value : std_ulogic_vector + ) return string is + variable bit_count : positive; + variable value_line : line; + variable out_line : line; + variable nibble: string(1 to 4); + begin + bit_count := value'length; + while (bit_count mod 4) /= 0 loop + if extend_unsigned then + write(value_line, to_character('0')); + else + write(value_line, to_character(value(value'high))); + end if; + bit_count := bit_count + 1; + end loop; + write(value_line, sprintf_b(value)); + for index in value_line.all'range loop + if (index mod 4) = 0 then + nibble := value_line.all(index-3 to index); + case nibble is + when "0000" => write(out_line, 0); + when "0001" => write(out_line, 1); + when "0010" => write(out_line, 2); + when "0011" => write(out_line, 3); + when "0100" => write(out_line, 4); + when "0101" => write(out_line, 5); + when "0110" => write(out_line, 6); + when "0111" => write(out_line, 7); + when "1000" => write(out_line, 8); + when "1001" => write(out_line, 9); + when "1010" => write(out_line, 'A'); + when "1011" => write(out_line, 'B'); + when "1100" => write(out_line, 'C'); + when "1101" => write(out_line, 'D'); + when "1110" => write(out_line, 'E'); + when "1111" => write(out_line, 'F'); + when others => write(out_line, 'X'); + end case; + end if; + end loop; + return(out_line.all); + end sprintf_X; + + + --============================================================================ + -- formatted string output, interface functions + -- + + ------------------------------------------------------------------------------ + -- integer + ------------------------------------------------------------------------------ + function sprintf(format : string; value : integer) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all = "b" then + if string_length = 0 then + string_length := 8; + end if; + return(sprintf_b(std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, string_length, value)); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, real(value))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if string_length = 0 then + string_length := 8; + end if; + string_length := 4*string_length; + if format_type.all = "X" then + return(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + else + return(lc(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0))))); + end if; + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- real + ------------------------------------------------------------------------------ + function sprintf(format : string; value : real) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "d") or (point_precision = 0) then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, integer(value))); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, value)); + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable logic_vector: std_logic_vector(1 to 1); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + logic_vector(1) := value; + return(sprintf(format, std_ulogic_vector(logic_vector))); + else + return("Not a std_logic format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_ulogic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_ulogic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if format_type.all = "b" then + return(pad(sprintf_b(value), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(unsigned(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if format_type.all = "X" then + return(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a std_ulogic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not a std_logic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- unsigned + ------------------------------------------------------------------------------ + function sprintf(format : string; value : unsigned) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not an unsigned format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- signed + ------------------------------------------------------------------------------ + function sprintf(format : string; value : signed) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if (fill_char = '0') and (value(value'left) = '1') then + fill_char := '1'; + end if; + if format_type.all = "b" then + return(pad(sprintf_b(std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(signed(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if fill_char = '1' then + fill_char := 'F'; + end if; + if format_type.all = "X" then + return(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a signed format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- time + ------------------------------------------------------------------------------ + function sprintf(format : string; value : time) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable scaling : real; + variable base_time : time; + variable unit : string(1 to 3); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all(format_type.all'left) = 't' then + scaling := 10.0**point_precision; + if format_type.all = "tp" then + base_time := 1 ps; + unit := " ps"; + elsif format_type.all = "tn" then + base_time := 1 ns; + unit := " ns"; + elsif format_type.all = "tu" then + base_time := 1 us; + unit := " us"; + elsif format_type.all = "tm" then + base_time := 1 ms; + unit := " ms"; + elsif format_type.all = "ts" then + base_time := 1 sec; + unit := " s."; + else + return("Undefined time format: '" & format_type.all & "'"); + end if; + if point_precision = 0 then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, value/base_time) & unit); + else + return(sprintf_f(right_justify, add_sign, fill_char, string_length, + point_precision, real(scaling*value/base_time)/scaling) & unit); + end if; + else + return("Not a time format: '" & format_type.all & "'"); + end if; + end sprintf; + + + --============================================================================ + -- formatted string input + ------------------------------------------------------------------------------ + + ------------------------------------------------------------------------------ + -- read a nibble out of a character + ------------------------------------------------------------------------------ + function sscanf(value : character) return natural is + begin + if (value >= '0') and (value <= '9') then + return(character'pos(value) - character'pos('0')); + elsif (value >= 'a') and (value <= 'f') then + return(character'pos(value) - character'pos('a') + 10); + elsif (value >= 'A') and (value <= 'F') then + return(character'pos(value) - character'pos('A') + 10); + else + return(0); + end if; + end sscanf; + + function sscanf(value : character) return nibbleUnsignedType is + begin + return(to_unsigned(sscanf(value), nibbleUnsignedType'length)); + end sscanf; + + function sscanf(value : character) return nibbleUlogicType is + variable unsigned_value : nibbleUnsignedType; + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end sscanf; + + ------------------------------------------------------------------------------ + -- read an binary word out of a string + ------------------------------------------------------------------------------ + function sscanf(value : string) return natural is + variable integer_value : natural; + begin + integer_value := 0; + for index in value'left to value'right loop + integer_value := integer_value*16 + sscanf(value(index)); + end loop; + return(integer_value); + end; + + function sscanf(value : string) return unsigned is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := to_unsigned(0,unsigned_value'length); + for index in value'left to value'right loop + unsigned_value := shift_left(unsigned_value,4) + to_unsigned(sscanf(value(index)),4); + end loop; + return(unsigned_value); + end; + + function sscanf(value : string) return std_ulogic_vector is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end; + + ------------------------------------------------------------------------------ + -- read time from a string + -- time can be formated as follows: + -- "1ps" or "1 ps" or " 1 ps " or " 1ps" + -- possible time units are: hr, min, sec, ms, us, ns, ps, fs + ------------------------------------------------------------------------------ + procedure sscanf( + value : inout line; + time_val : out time + ) is + variable time_line : line := value; + variable time_base : string(1 to 3); + variable time_value : integer; + variable time_int : time; + begin + -- remove all spaces and tabs + rm_all_separators(time_line); + + -- strip time base (3 last characters) + time_base := time_line(time_line'right-2 to time_line'right); + + -- separate time value and base + if time_base(2 to 3) = "hr" then + time_int := 1 hr; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base = "min" then + time_int := 1 min; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base = "sec" then + time_int := 1 sec; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base(2 to 3) = "ms" then + time_int := 1 ms; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "us" then + time_int := 1 us; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ns" then + time_int := 1 ns; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ps" then + time_int := 1 ps; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "fs" then + time_int := 1 fs; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + else + time_int := 0 ps; + time_value := 1; + end if; + + -- build time from value and base + time_val := time_int * time_value; + + end; + + function sscanf(value : string) return time is + variable value_line : line; + variable time_val : time; + begin + value_line := new string'(value); + sscanf(value_line, time_val); + return(time_val); + end; + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_tb_test.vhd b/Libs/Common_test/hdl/testUtils_tb_test.vhd new file mode 100644 index 0000000..960d138 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_tb_test.vhd @@ -0,0 +1,102 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF testUtils_tb IS +BEGIN + + process + variable test_line, result_line : LINE; + begin + + print("Integers, right justified"); + print(" |" & sprintf("%6d", 12) & "| 12|"); + print(" |" & sprintf("%06d", 12) & "|000012|"); + print(" |" & sprintf("%+6d", 12) & "| +12|"); + print(" |" & sprintf("%+06d", 12) & "|+00012|"); + print(" |" & sprintf("%6d", -12) & "| -12|"); + print(" |" & sprintf("%06d", -12) & "|-00012|"); + print("Integers, left justified"); + print(" |" & sprintf("%-6d", 12) & "|12 |"); + print(" |" & sprintf("%-06d", 12) & "|12 |"); + print(" |" & sprintf("%-+6d", 12) & "|+12 |"); + print(" |" & sprintf("%-+06d", 12) & "|+12 |"); + print(" |" & sprintf("%-6d", -12) & "|-12 |"); + print(" |" & sprintf("%-06d", -12) & "|-12 |"); + print("Integers, others"); + print(" |" & sprintf("%d", 12) & "|12|"); + print(" |" & sprintf("%6tu", 12) & "|"); + print(" |" & sprintf("%6d", 123456) & "|123456|"); + print(" |" & sprintf("%6d", 12345678) & "|#45678|"); + print(" |" & sprintf("%f", 12) & "|12.000000|"); + print(" |" & sprintf("%10f", 12) & "| 12.000000|"); + print(" |" & sprintf("%8.3f", 12) & "| 12.000|"); + print(" |" & sprintf("%b", 12) & "|00001100|"); + print(" |" & sprintf("%4b", 12) & "|1100|"); + print(" |" & sprintf("%6b", 12) & "|001100|"); + print(" |" & sprintf("%X", 12) & "|0000000C|"); + print(" |" & sprintf("%4x", 12) & "|000c|"); + print(" |" & sprintf("%2X", 12) & "|0C|"); + + print(cr & "Reals, integer rounding"); + print(" |" & sprintf("%6d", 1.3) & "| 1|"); + print(" |" & sprintf("%6d", 1.5) & "| 2|"); + print(" |" & sprintf("%6d", 1.7) & "| 2|"); + print("Reals, right justified"); + print(" |" & sprintf("%8.3f", 1.03) & "| 1.030|"); + print(" |" & sprintf("%8.3f", 1.07) & "| 1.070|"); + print(" |" & sprintf("%08.3f", 1.03) & "|0001.030|"); + print(" |" & sprintf("%+08.3f", 1.03) & "|+001.030|"); + print(" |" & sprintf("%8.3f", -1.03) & "| -1.030|"); + print(" |" & sprintf("%8.3f", -1.07) & "| -1.070|"); + print("Reals, left justified"); + print(" |" & sprintf("%-8.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-8.3f", 1.7) & "|1.700 |"); + print(" |" & sprintf("%-08.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-+08.3f", 1.3) & "|+1.300 |"); + print(" |" & sprintf("%-8.3f", -1.3) & "|-1.300 |"); + print(" |" & sprintf("%-8.3f", -1.7) & "|-1.700 |"); + + print(cr & "Logic values"); + print(" |" & sprintf("%b", '0') & "|0|"); + print(" |" & sprintf("%3b", '1') & "| 1|"); + print(" |" & sprintf("%-3d", '0') & "|0 |"); + print(" |" & sprintf("%3X", '1') & "| 1|"); + + print(cr & "Logic vectors, binary"); + print(" |" & sprintf("%b", std_ulogic_vector'("1100")) & "|1100|"); + print(" |" & sprintf("%3b", std_logic_vector'("1100")) & "|#00|"); + print(" |" & sprintf("%4b", unsigned'("1100")) & "|1100|"); + print(" |" & sprintf("%8b", signed'("1100")) & "| 1100|"); + print(" |" & sprintf("%-8b", signed'("1100")) & "|1100 |"); + print(" |" & sprintf("%08b", unsigned'("1100")) & "|00001100|"); + print(" |" & sprintf("%08b", signed'("1100")) & "|11111100|"); + print("Logic vectors, hexadecimal"); + print(" |" & sprintf("%X", std_ulogic_vector'("1100101011111110")) & "|CAFE|"); + print(" |" & sprintf("%3X", std_logic_vector'("1100101011111110")) & "|#FE|"); + print(" |" & sprintf("%4x", unsigned'("1100101011111110")) & "|cafe|"); + print(" |" & sprintf("%8X", signed'("1100101011111110")) & "| CAFE|"); + print(" |" & sprintf("%02X", unsigned'("1100")) & "|0C|"); + print(" |" & sprintf("%02X", signed'("1100")) & "|FC|"); + print("Logic vectors, decimal"); + print(" |" & sprintf("%d", std_ulogic_vector'("1100")) & "|12|"); + print(" |" & sprintf("%d", unsigned'("1100")) & "|12|"); + print(" |" & sprintf("%d", signed'("1100")) & "|-4|"); + print("Logic vectors, others"); + print(" |" & sprintf("%8tu", std_ulogic_vector'("1100")) & "|"); + + print(cr & "Time"); + print(" |" & sprintf("%9tu", 1.3 us) & "| 1 us|"); + print(" |" & sprintf("%9.3tu", 1.3 us) & "| 1.300 us|"); + print(" |" & sprintf("%10tu", 1.3 us) & "| 1 us|"); + + print(cr & "Lines"); + test_line := new string'("Hello brave new world!"); + read_first(test_line, result_line); + print(" |" & result_line.all & "¦"& test_line.all & "|Hello¦brave new world!|"); + + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/toggler_tester_test.vhd b/Libs/Common_test/hdl/toggler_tester_test.vhd new file mode 100644 index 0000000..ba76bc0 --- /dev/null +++ b/Libs/Common_test/hdl/toggler_tester_test.vhd @@ -0,0 +1,56 @@ +ARCHITECTURE test OF toggler_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + wait for 50*clockPeriod; + -- further toggle commands + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debounce_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debounce_tester_test.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debounce_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/_clockgenerator._epf b/Libs/Common_test/hds/_clockgenerator._epf new file mode 100644 index 0000000..8b8ac62 --- /dev/null +++ b/Libs/Common_test/hds/_clockgenerator._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom clockGenerator_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Common_test/hds/_commonlib_tb._epf b/Libs/Common_test/hds/_commonlib_tb._epf new file mode 100644 index 0000000..092da9c --- /dev/null +++ b/Libs/Common_test/hds/_commonlib_tb._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom commonLib_tb_test.vhd diff --git a/Libs/Common_test/hds/_debounce_tb._epf b/Libs/Common_test/hds/_debounce_tb._epf new file mode 100644 index 0000000..7920295 --- /dev/null +++ b/Libs/Common_test/hds/_debounce_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom debounce_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debounce_tester._epf b/Libs/Common_test/hds/_debounce_tester._epf new file mode 100644 index 0000000..456e900 --- /dev/null +++ b/Libs/Common_test/hds/_debounce_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom debounce_tester_test.vhd diff --git a/Libs/Common_test/hds/_debouncer_tb._epf b/Libs/Common_test/hds/_debouncer_tb._epf new file mode 100644 index 0000000..1c7f3f7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncer_tester._epf b/Libs/Common_test/hds/_debouncer_tester._epf new file mode 100644 index 0000000..967ba8a --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tb._epf b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf new file mode 100644 index 0000000..2101ec7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer@u@logic@vector_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tester._epf b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf new file mode 100644 index 0000000..89828c8 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom debouncerULogicVector_tester_RTL.vhd diff --git a/Libs/Common_test/hds/_rotarytounsigned_tb._epf b/Libs/Common_test/hds/_rotarytounsigned_tb._epf new file mode 100755 index 0000000..2036a2a --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom rotary@to@unsigned_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_rotarytounsigned_tester._epf b/Libs/Common_test/hds/_rotarytounsigned_tester._epf new file mode 100755 index 0000000..f758d03 --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom rotaryToUnsigned_tester_test.vhd diff --git a/Libs/Common_test/hds/_spikefilter_tb._epf b/Libs/Common_test/hds/_spikefilter_tb._epf new file mode 100644 index 0000000..5050211 --- /dev/null +++ b/Libs/Common_test/hds/_spikefilter_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom spike@filter_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_testutils_tb._epf b/Libs/Common_test/hds/_testutils_tb._epf new file mode 100644 index 0000000..40137e1 --- /dev/null +++ b/Libs/Common_test/hds/_testutils_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom testUtils_tb_test.vhd +DEFAULT_ARCHITECTURE atom test +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tb._epf b/Libs/Common_test/hds/_toggler_tb._epf new file mode 100644 index 0000000..94877cb --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom toggler_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tester._epf b/Libs/Common_test/hds/_toggler_tester._epf new file mode 100644 index 0000000..17d8815 --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/clock@generator/symbol.sb b/Libs/Common_test/hds/clock@generator/symbol.sb new file mode 100644 index 0000000..6c2577f --- /dev/null +++ b/Libs/Common_test/hds/clock@generator/symbol.sb @@ -0,0 +1,1499 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2074,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +uid 2546,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +uid 2548,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 254,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2547,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2549,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 3031,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 296,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 298,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 3032,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 302,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 306,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 199 +uid 308,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 310,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 312,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 314,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "clockGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "clockGenerator" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "clockGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3056,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "courier,12,0" +) +xt "37200,19300,41000,20700" +st "clock" +ju 2 +blo "41000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,16500,12300" +st "clock : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +) +*59 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3057,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,21625,42750,22375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "courier,12,0" +) +xt "36900,21300,41000,22700" +st "reset" +ju 2 +blo "41000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,15500,13200" +st "reset : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,18000,42000,24000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "26100,23700,33300,24700" +st "Common_test" +blo "26100,24500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "26100,24700,35100,25700" +st "clockGenerator" +blo "26100,25500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,28000,41000,30700" +st "Generic Declarations + +clockFrequency real 100.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 136,0 +optionalChildren [ +*62 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*72 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"26000,25000" +"42000,25000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "26000,25000,42000,25000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 103,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*75 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1426,895" +viewArea "-1077,-1077,74593,50226" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13200,2500,14100" +st "User:" +blo "0,13900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,2000,14100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3057,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/common@lib_tb/symbol.sb b/Libs/Common_test/hds/common@lib_tb/symbol.sb new file mode 100644 index 0000000..83a224d --- /dev/null +++ b/Libs/Common_test/hds/common@lib_tb/symbol.sb @@ -0,0 +1,1276 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "commonLib_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "commonLib_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "commonLib_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "commonLib_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debounce_tb/struct.bd b/Libs/Common_test/hds/debounce_tb/struct.bd new file mode 100644 index 0000000..f3d8c0c --- /dev/null +++ b/Libs/Common_test/hds/debounce_tb/struct.bd @@ -0,0 +1,2411 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debounce_tester" +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "c_debounceTime" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "c_minConsecutiveStateCount " +) +(GiElement +name "g_clockFrequency" +type "real" +value "c_clockFrequency" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "c_activeState" +) +] +mwi 0 +uid 135,0 +) +(Instance +name "I_debouncer" +duLibraryName "Common" +duName "debounce" +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "c_debounceTime" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "c_minConsecutiveStateCount " +) +(GiElement +name "g_clockFrequency" +type "real" +value "c_clockFrequency" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "c_activeState" +) +] +mwi 0 +uid 554,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb" +) +(vvPair +variable "date" +value "19.01.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "entity_name" +value "debounce_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "19.01.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:34:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Common_test/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "debounce_tb" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:34:10" +) +(vvPair +variable "unit" +value "debounce_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Blk +uid 135,0 +shape (Rectangle +uid 136,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "9000,33000,60000,43000" +) +oxt "9000,30000,60000,40000" +ttg (MlTextGroup +uid 137,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*2 (Text +uid 138,0 +va (VaSet +font "Verdana,9,1" +) +xt "28950,36200,37050,37400" +st "Common_test" +blo "28950,37200" +tm "BdLibraryNameMgr" +) +*3 (Text +uid 139,0 +va (VaSet +font "Verdana,9,1" +) +xt "28950,37400,38550,38600" +st "debounce_tester" +blo "28950,38400" +tm "BlkNameMgr" +) +*4 (Text +uid 140,0 +va (VaSet +font "Verdana,9,1" +) +xt "28950,38600,31750,39800" +st "I_tb" +blo "28950,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 141,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 142,0 +text (MLText +uid 143,0 +va (VaSet +font "Courier New,8,0" +) +xt "24000,44800,64000,48000" +st "g_debounceTime = c_debounceTime ( time ) +g_minConsecutiveStateCount = c_minConsecutiveStateCount ( positive ) +g_clockFrequency = c_clockFrequency ( real ) +g_activeState = c_activeState ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "c_debounceTime" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "c_minConsecutiveStateCount " +) +(GiElement +name "g_clockFrequency" +type "real" +value "c_clockFrequency" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "c_activeState" +) +] +) +viewicon (ZoomableIcon +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "9250,41250,10750,42750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*5 (Net +uid 145,0 +lang 11 +decl (Decl +n "input" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 146,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9600,38000,10400" +st "SIGNAL input : std_ulogic" +) +) +*6 (Net +uid 153,0 +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 154,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,38000,8800" +st "SIGNAL clock : std_ulogic" +) +) +*7 (Net +uid 161,0 +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10400,38000,11200" +st "SIGNAL reset : std_ulogic" +) +) +*8 (Net +uid 169,0 +lang 11 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 170,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8800,38000,9600" +st "SIGNAL debounced : std_ulogic" +) +) +*9 (SaComponent +uid 554,0 +optionalChildren [ +*10 (CptPort +uid 538,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 539,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,18625,26000,19375" +) +tg (CPTG +uid 540,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 541,0 +va (VaSet +) +xt "27000,18400,30400,19600" +st "clock" +blo "27000,19400" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*11 (CptPort +uid 542,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 543,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,20625,26000,21375" +) +tg (CPTG +uid 544,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 545,0 +va (VaSet +) +xt "27000,20400,30300,21600" +st "reset" +blo "27000,21400" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*12 (CptPort +uid 546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 547,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,16625,26000,17375" +) +tg (CPTG +uid 548,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 549,0 +va (VaSet +) +xt "27000,16400,30200,17600" +st "input" +blo "27000,17400" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*13 (CptPort +uid 550,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 551,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,16625,38750,17375" +) +tg (CPTG +uid 552,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 553,0 +va (VaSet +) +xt "30900,16400,37000,17600" +st "debounced" +ju 2 +blo "37000,17400" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 555,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,16000,38000,24000" +) +oxt "31000,1000,43000,9000" +ttg (MlTextGroup +uid 556,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*14 (Text +uid 557,0 +va (VaSet +font "Verdana,8,1" +) +xt "31300,19800,35900,20800" +st "Common" +blo "31300,20600" +tm "BdLibraryNameMgr" +) +*15 (Text +uid 558,0 +va (VaSet +font "Verdana,8,1" +) +xt "31300,20800,36400,21800" +st "debounce" +blo "31300,21600" +tm "CptNameMgr" +) +*16 (Text +uid 559,0 +va (VaSet +font "Verdana,8,1" +) +xt "31300,21800,38400,22800" +st "I_debouncer" +blo "31300,22600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 560,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 561,0 +text (MLText +uid 562,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,24200,66000,27400" +st "g_debounceTime = c_debounceTime ( time ) +g_minConsecutiveStateCount = c_minConsecutiveStateCount ( positive ) +g_clockFrequency = c_clockFrequency ( real ) +g_activeState = c_activeState ( std_ulogic ) +" +) +header "" +) +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "c_debounceTime" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "c_minConsecutiveStateCount " +) +(GiElement +name "g_clockFrequency" +type "real" +value "c_clockFrequency" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "c_activeState" +) +] +) +viewicon (ZoomableIcon +uid 563,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "26250,22250,27750,23750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*17 (Wire +uid 147,0 +shape (OrthoPolyLine +uid 148,0 +va (VaSet +vasetType 3 +) +xt "11000,17000,25250,33000" +pts [ +"11000,33000" +"11000,17000" +"25250,17000" +] +) +start &1 +end &12 +sat 2 +eat 32 +st 0 +sf 1 +tg (WTG +uid 151,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 152,0 +ro 270 +va (VaSet +) +xt "9800,29000,11000,32200" +st "input" +blo "10800,32200" +tm "WireNameMgr" +) +) +on &5 +) +*18 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "13000,19000,25250,33000" +pts [ +"13000,33000" +"13000,19000" +"25250,19000" +] +) +start &1 +end &10 +sat 2 +eat 32 +st 0 +sf 1 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +ro 270 +va (VaSet +) +xt "11800,29000,13000,32400" +st "clock" +blo "12800,32400" +tm "WireNameMgr" +) +) +on &6 +) +*19 (Wire +uid 163,0 +shape (OrthoPolyLine +uid 164,0 +va (VaSet +vasetType 3 +) +xt "14000,21000,25250,33000" +pts [ +"14000,33000" +"14000,21000" +"25250,21000" +] +) +start &1 +end &11 +sat 2 +eat 32 +st 0 +sf 1 +tg (WTG +uid 167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +ro 270 +va (VaSet +) +xt "12800,29000,14000,32300" +st "reset" +blo "13800,32300" +tm "WireNameMgr" +) +) +on &7 +) +*20 (Wire +uid 171,0 +shape (OrthoPolyLine +uid 172,0 +va (VaSet +vasetType 3 +) +xt "38750,17000,56000,33000" +pts [ +"38750,17000" +"56000,17000" +"56000,33000" +] +) +start &13 +end &1 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "40750,15800,46850,17000" +st "debounced" +blo "40750,16800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *21 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*23 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*25 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*26 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*27 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*28 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*29 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*30 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-1920,0,1,1048" +viewArea "-1000,-1000,95501,51440" +cachedDiagramExtent "0,0,66000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 563,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*32 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*33 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*35 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*36 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*38 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*39 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*41 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*42 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*49 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*51 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,2400,25200,3600" +st "Pre User:" +blo "20000,3400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3600,50500,6800" +st "constant c_debounceTime : time := 10 us; +constant c_minConsecutiveStateCount : positive := 10; +constant c_clockFrequency : real := 60.0e6; +constant c_activeState : std_ulogic := '1';" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,6800,29500,8000" +st "Diagram Signals:" +blo "20000,7800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,11200,26400,12400" +st "Post User:" +blo "20000,12200" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *52 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*53 (RefLabelRowHdr +) +*54 (TitleRowHdr +) +*55 (FilterRowHdr +) +*56 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*57 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*58 (GroupColHdr +tm "GroupColHdrMgr" +) +*59 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*60 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*61 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*62 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*63 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*64 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*65 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 177,0 +) +*66 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 179,0 +) +*67 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 181,0 +) +*68 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 183,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*69 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *70 (MRCItem +litem &52 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*71 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 70,0 +) +*72 (MRCItem +litem &54 +pos 1 +dimension 23 +uid 71,0 +) +*73 (MRCItem +litem &55 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*74 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 178,0 +) +*75 (MRCItem +litem &66 +pos 1 +dimension 20 +uid 180,0 +) +*76 (MRCItem +litem &67 +pos 2 +dimension 20 +uid 182,0 +) +*77 (MRCItem +litem &68 +pos 3 +dimension 20 +uid 184,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*78 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 74,0 +) +*79 (MRCItem +litem &58 +pos 1 +dimension 50 +uid 75,0 +) +*80 (MRCItem +litem &59 +pos 2 +dimension 100 +uid 76,0 +) +*81 (MRCItem +litem &60 +pos 3 +dimension 50 +uid 77,0 +) +*82 (MRCItem +litem &61 +pos 4 +dimension 100 +uid 78,0 +) +*83 (MRCItem +litem &62 +pos 5 +dimension 100 +uid 79,0 +) +*84 (MRCItem +litem &63 +pos 6 +dimension 50 +uid 80,0 +) +*85 (MRCItem +litem &64 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *86 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*87 (RefLabelRowHdr +) +*88 (TitleRowHdr +) +*89 (FilterRowHdr +) +*90 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*91 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*92 (GroupColHdr +tm "GroupColHdrMgr" +) +*93 (NameColHdr +tm "GenericNameColHdrMgr" +) +*94 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*95 (InitColHdr +tm "GenericValueColHdrMgr" +) +*96 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*97 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*98 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *99 (MRCItem +litem &86 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*100 (MRCItem +litem &87 +pos 0 +dimension 20 +uid 98,0 +) +*101 (MRCItem +litem &88 +pos 1 +dimension 23 +uid 99,0 +) +*102 (MRCItem +litem &89 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*103 (MRCItem +litem &90 +pos 0 +dimension 20 +uid 102,0 +) +*104 (MRCItem +litem &92 +pos 1 +dimension 50 +uid 103,0 +) +*105 (MRCItem +litem &93 +pos 2 +dimension 100 +uid 104,0 +) +*106 (MRCItem +litem &94 +pos 3 +dimension 100 +uid 105,0 +) +*107 (MRCItem +litem &95 +pos 4 +dimension 50 +uid 106,0 +) +*108 (MRCItem +litem &96 +pos 5 +dimension 50 +uid 107,0 +) +*109 (MRCItem +litem &97 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debounce_tb/symbol.sb b/Libs/Common_test/hds/debounce_tb/symbol.sb new file mode 100644 index 0000000..1f4d9a9 --- /dev/null +++ b/Libs/Common_test/hds/debounce_tb/symbol.sb @@ -0,0 +1,1215 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb" +) +(vvPair +variable "date" +value "12.01.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "12" +) +(vvPair +variable "entity_name" +value "debounce_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "remy.borgeat" +) +(vvPair +variable "graphical_source_date" +value "12.01.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE10993" +) +(vvPair +variable "graphical_source_time" +value "15:29:29" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE10993" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Common_test/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "debounce_tb" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remy.borgeat\\Documents\\git\\DiD\\did-cursor\\Libs\\Prefs\\..\\Common_test\\hds\\debounce_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:29:29" +) +(vvPair +variable "unit" +value "debounce_tb" +) +(vvPair +variable "user" +value "remy.borgeat" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,34900,16000" +st "Common_test" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,34400,17200" +st "debounce_tb" +blo "26800,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,48500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,2400,45200,3600" +st "User:" +blo "42000,3400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,44000,3600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 50,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debounce_tester/interface b/Libs/Common_test/hds/debounce_tester/interface new file mode 100644 index 0000000..f20bdc3 --- /dev/null +++ b/Libs/Common_test/hds/debounce_tester/interface @@ -0,0 +1,1600 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 17,0 +) +) +uid 409,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 18,0 +) +) +uid 411,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 1 +suid 19,0 +) +) +uid 413,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 20,0 +) +) +uid 415,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 410,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 412,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 414,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 416,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "g_debounceTime" +type "time" +value "1 ms" +) +uid 182,0 +) +*48 (LogGeneric +generic (GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "3" +) +uid 184,0 +) +*49 (LogGeneric +generic (GiElement +name "g_clockFrequency" +type "real" +value "60.0e6" +) +uid 186,0 +) +*50 (LogGeneric +generic (GiElement +name "g_activeState" +type "std_ulogic" +value "'1'" +) +uid 188,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &35 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*53 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*54 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*55 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*56 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 183,0 +) +*57 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 185,0 +) +*58 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 187,0 +) +*59 (MRCItem +litem &50 +pos 3 +dimension 20 +uid 189,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*60 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*61 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*63 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*64 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*65 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*66 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester" +) +(vvPair +variable "date" +value "19.01.2024" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "entity_name" +value "debounce_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "19.01.2024" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "08:30:58" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Common_test/work" +) +(vvPair +variable "mm" +value "01" +) +(vvPair +variable "module_name" +value "debounce_tester" +) +(vvPair +variable "month" +value "janv." +) +(vvPair +variable "month_long" +value "janvier" +) +(vvPair +variable "p" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\DiD\\eda-libs\\Prefs\\..\\Common_test\\hds\\debounce_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:30:58" +) +(vvPair +variable "unit" +value "debounce_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2024" +) +(vvPair +variable "yy" +value "24" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 390,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,5250,19375,6000" +) +tg (CPTG +uid 391,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 392,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "18300,7000,19700,10800" +st "clock" +ju 2 +blo "19500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 393,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "clock : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 17,0 +) +) +) +*69 (CptPort +uid 394,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 395,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61625,5250,62375,6000" +) +tg (CPTG +uid 396,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 397,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "61300,7000,62700,14800" +st "debounced" +ju 2 +blo "62500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 398,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "debounced : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 18,0 +) +) +) +*70 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,5250,17375,6000" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,7000,17700,11000" +st "input" +ju 2 +blo "17500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 403,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "input : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 1 +suid 19,0 +) +) +) +*71 (CptPort +uid 404,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 405,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 406,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 407,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,11100" +st "reset" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 408,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "reset : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,66000,16000" +) +oxt "15000,6000,25000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "35700,9800,43800,11000" +st "Common_test" +blo "35700,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "35700,11000,45300,12200" +st "debounce_tester" +blo "35700,12000" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "11000,7000,36000,11800" +st "Generic Declarations + +g_debounceTime time 1 ms +g_minConsecutiveStateCount positive 3 +g_clockFrequency real 60.0e6 +g_activeState std_ulogic '1' +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_debounceTime" +type "time" +value "1 ms" +) +(GiElement +name "g_minConsecutiveStateCount" +type "positive" +value "3" +) +(GiElement +name "g_clockFrequency" +type "real" +value "60.0e6" +) +(GiElement +name "g_activeState" +type "std_ulogic" +value "'1'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47900,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,51000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "385,161,1403,851" +viewArea "-500,-500,70600,47560" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debounce_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 439,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd new file mode 100644 index 0000000..6cd630a --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd @@ -0,0 +1,2771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncerULogicVector_tester" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncerULogicVector" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6296,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31950,-8500" +st "debouncerULogicVector_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42000,-5800" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,17000,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,29000,-35700" +st "SIGNAL input : std_ulogic_vector(1 TO inputBitNb)" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,29000,-36600" +st "SIGNAL debounced : std_ulogic_vector(1 TO inputBitNb)" +) +) +*20 (SaComponent +uid 6296,0 +optionalChildren [ +*21 (CptPort +uid 6280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6281,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6283,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6287,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6289,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6290,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6291,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6294,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6295,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6297,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6298,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6299,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6300,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,45500,-25000" +st "debouncerULogicVector" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6301,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6302,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6303,0 +text (MLText +uid 6304,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-21500" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6305,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1094,-50068,78847,1302" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-39400" +st "constant inputBitNb : positive := 3; +constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-39400,7100,-38400" +st "Diagram Signals:" +blo "0,-38600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface new file mode 100644 index 0000000..5fdf9b1 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface @@ -0,0 +1,1252 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 475,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +uid 477,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +uid 479,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 481,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 476,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 478,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 480,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 482,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "" +) +uid 229,0 +) +*48 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "" +) +uid 305,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 230,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 306,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 456,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 457,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 458,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 459,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60500,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*65 (CptPort +uid 460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 461,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 462,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 463,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 464,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,72500,3300" +st "debounced : IN std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +) +*66 (CptPort +uid 465,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 466,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 467,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 468,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 469,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,72500,5100" +st "input : OUT std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +) +*67 (CptPort +uid 470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 471,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 472,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 473,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "29750,9100,35750,10000" +st "Common_test" +blo "29750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "29750,10000,44250,10900" +st "debouncerULogicVector_tester" +blo "29750,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,26500,9600" +st "Generic Declarations + +inputBitNb positive +counterBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "" +) +(GiElement +name "counterBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *69 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*71 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "72,45,1089,735" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,74000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncerULogicVector_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 482,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/debouncer_tb/struct.bd b/Libs/Common_test/hds/debouncer_tb/struct.bd new file mode 100644 index 0000000..6bf6f9f --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncer_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncer" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 6208,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,51800,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26450,-8500" +st "debouncer_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,17000,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,17000,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,17000,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL debounced : std_ulogic" +) +) +*20 (SaComponent +uid 6208,0 +optionalChildren [ +*21 (CptPort +uid 6192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6195,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6198,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6199,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6203,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6207,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6209,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6210,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6211,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6212,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39600,-25000" +st "debouncer" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6213,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6214,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6215,0 +text (MLText +uid 6216,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,58000,-23300" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6217,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "101,37,1441,900" +viewArea "-1100,-50100,76249,1581" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6279,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer_tb/symbol.sb b/Libs/Common_test/hds/debouncer_tb/symbol.sb new file mode 100644 index 0000000..7a17155 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "debouncer_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer_tester/interface b/Libs/Common_test/hds/debouncer_tester/interface new file mode 100644 index 0000000..4dd7ca3 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 253,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +uid 255,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +uid 257,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 254,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 256,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 258,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 236,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 237,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,60500,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*61 (CptPort +uid 238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 239,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 240,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 241,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,60500,2900" +st "debounced : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +) +*62 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 246,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,60500,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*63 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 251,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,9100,38750,10000" +st "Common_test" +blo "32750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,10000,41250,10900" +st "debouncer_tester" +blo "32750,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 260,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd new file mode 100644 index 0000000..766893e --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd @@ -0,0 +1,2783 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "rotaryToUnsigned_tester" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_DUT" +duLibraryName "Common" +duName "rotaryToUnsigned" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +mwi 0 +uid 6518,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:40:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:40:36" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25150,-9600" +st "Common_test" +blo "19150,-9800" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31150,-8600" +st "rotaryToUnsigned_tester" +blo "19150,-8800" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,41000,-5800" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,15500,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6456,0 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +declText (MLText +uid 6457,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-34800,26500,-33900" +st "SIGNAL rotary : unsigned(rotaryBitNb-1 downto 0)" +) +) +*19 (Net +uid 6464,0 +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +declText (MLText +uid 6465,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,26500,-35700" +st "SIGNAL number : unsigned(outputBitNb-1 DOWNTO 0)" +) +) +*20 (SaComponent +uid 6518,0 +optionalChildren [ +*21 (CptPort +uid 6502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6505,0 +va (VaSet +) +xt "46400,-35500,50000,-34500" +st "number" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*22 (CptPort +uid 6506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6509,0 +va (VaSet +) +xt "36000,-35500,39600,-34500" +st "rotary" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*23 (CptPort +uid 6510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6513,0 +va (VaSet +) +xt "36000,-31500,39000,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*24 (CptPort +uid 6514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6517,0 +va (VaSet +) +xt "36000,-29500,39000,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 6519,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "26000,16000,42000,28000" +ttg (MlTextGroup +uid 6520,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6521,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-27000,38250,-26100" +st "Common" +blo "35250,-26300" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6522,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-26100,43750,-25200" +st "rotaryToUnsigned" +blo "35250,-25400" +tm "CptNameMgr" +) +*27 (Text +uid 6523,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-25200,37750,-24300" +st "I_DUT" +blo "35250,-24500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6524,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6525,0 +text (MLText +uid 6526,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,62000,-21500" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) +counterBitNb = deglitchCounterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6527,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6458,0 +shape (OrthoPolyLine +uid 6459,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6463,0 +va (VaSet +) +xt "29250,-36000,32850,-35000" +st "rotary" +blo "29250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6466,0 +shape (OrthoPolyLine +uid 6467,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6471,0 +va (VaSet +) +xt "53750,-36000,57350,-35000" +st "number" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "92,43,1433,906" +viewArea "-1096,-50068,78670,-625" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6527,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,25500,-38500" +st "constant rotaryBitNb : positive := 4; +constant outputBitNb : positive := 6; +constant deglitchCounterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-38500,7100,-37500" +st "Diagram Signals:" +blo "0,-37700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 105,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +) +uid 6472,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +) +uid 6474,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6473,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6475,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tester/interface b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface new file mode 100644 index 0000000..baea531 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface @@ -0,0 +1,1562 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 229,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 231,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 233,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +uid 235,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 230,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 232,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 234,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "6" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 124,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "11:54:00" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:54:00" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,59000,3600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*65 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "number" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,70000,2700" +st "number : IN unsigned (outputBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +) +*66 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,59000,4500" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +) +*67 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 227,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "rotary" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,69000,5400" +st "rotary : OUT unsigned (rotaryBitNb-1 downto 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31000,9100,37000,10000" +st "Common_test" +blo "31000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31000,10000,43000,10900" +st "rotaryToUnsigned_tester" +blo "31000,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "10000,6000,22500,9600" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,54700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,40700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*82 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "59,12,1074,702" +viewArea "-500,-500,60484,39540" +cachedDiagramExtent "0,0,70000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "rotaryToUnsigned_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5400,44500,6300" +st "User:" +blo "42000,6100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,44000,6300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 236,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/struct.bd b/Libs/Common_test/hds/spike@filter_tb/struct.bd new file mode 100644 index 0000000..8f67660 --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/struct.bd @@ -0,0 +1,2725 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "spikeFilter_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "spikeFilter" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6353,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,53000,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26250,-8500" +st "spikeFilter_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,16500,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,16500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,16500,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (SaComponent +uid 6353,0 +optionalChildren [ +*20 (CptPort +uid 6337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6340,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*21 (CptPort +uid 6341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6344,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*22 (CptPort +uid 6345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6347,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6348,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*23 (CptPort +uid 6349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6352,0 +va (VaSet +) +xt "47300,-35500,50000,-34500" +st "filtered" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6355,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6356,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 6357,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39800,-25000" +st "spikeFilter" +blo "35200,-25200" +tm "CptNameMgr" +) +*26 (Text +uid 6358,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6359,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6360,0 +text (MLText +uid 6361,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-22400" +st "counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6362,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*27 (Net +uid 6363,0 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +declText (MLText +uid 6364,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,16500,-37500" +st "SIGNAL filtered : std_ulogic" +) +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &20 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6365,0 +shape (OrthoPolyLine +uid 6366,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6370,0 +va (VaSet +) +xt "53750,-36000,58550,-35000" +st "filtered" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &27 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "99,37,1441,900" +viewArea "-1100,-50100,76387,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6434,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +) +uid 6371,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6372,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/symbol.sb b/Libs/Common_test/hds/spike@filter_tb/symbol.sb new file mode 100644 index 0000000..551f7ed --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,29700,16800" +st "spikeFilter_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/spike@filter_tester/interface b/Libs/Common_test/hds/spike@filter_tester/interface new file mode 100644 index 0000000..c28676a --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tester/interface @@ -0,0 +1,1206 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 272,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 274,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 276,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 273,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 275,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 277,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 252,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 253,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 254,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 255,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60000,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 258,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 259,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 260,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11000" +st "filtered" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 261,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,60000,3300" +st "filtered : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 263,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 264,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 265,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 266,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,60000,5100" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 268,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 269,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 270,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 271,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32250,9100,38250,10000" +st "Common_test" +blo "32250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32250,10000,41750,10900" +st "spikeFilter_tester" +blo "32250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,24500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *65 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*67 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "spikeFilter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *68 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *69 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 279,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/test@utils_tb/symbol.sb b/Libs/Common_test/hds/test@utils_tb/symbol.sb new file mode 100644 index 0000000..d84b83f --- /dev/null +++ b/Libs/Common_test/hds/test@utils_tb/symbol.sb @@ -0,0 +1,1275 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "testUtils_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "testUtils_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "testUtils_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,10000,47000,30000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30000,35200,30900" +st "Common_test" +blo "29200,30700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30900,35700,31800" +st "testUtils_tb" +blo "29200,31600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,33200,39500,34100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,88,1387,1017" +viewArea "-1100,-1100,74105,51875" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,11000,5400,12000" +st "Declarations" +blo "0,11800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,12000,2700,13000" +st "Ports:" +blo "0,12800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13000,2500,13900" +st "User:" +blo "0,13700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,11000,5800,12000" +st "Internal User:" +blo "0,11800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,2000,13900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,11000,0,11000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 156,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/toggler_tb/struct.bd b/Libs/Common_test/hds/toggler_tb/struct.bd new file mode 100644 index 0000000..f12abfe --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "toggler_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_togl" +duLibraryName "Common" +duName "toggler" +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +mwi 0 +uid 6332,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,50600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,25150,-8500" +st "toggler_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,15500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,15500,-37500" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6306,0 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +declText (MLText +uid 6307,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,15500,-35700" +st "SIGNAL toggle : std_ulogic" +) +) +*20 (SaComponent +uid 6332,0 +optionalChildren [ +*21 (CptPort +uid 6316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6319,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6323,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6327,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6331,0 +va (VaSet +) +xt "47400,-35500,50000,-34500" +st "toggle" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "43000,11000,59000,23000" +ttg (MlTextGroup +uid 6334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6335,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6336,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,38300,-25000" +st "toggler" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6337,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37700,-24000" +st "I_togl" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6339,0 +text (MLText +uid 6340,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,57500,-23300" +st "counterBitNb = counterBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,34250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6308,0 +shape (OrthoPolyLine +uid 6309,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6312,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6313,0 +va (VaSet +) +xt "53750,-36000,56350,-35000" +st "toggle" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1100,-50100,76318,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6403,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21000,-40300" +st "constant counterBitNb : natural := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +) +uid 6314,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6315,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/toggler_tb/symbol.sb b/Libs/Common_test/hds/toggler_tb/symbol.sb new file mode 100644 index 0000000..f463423 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,27700,16800" +st "toggler_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/toggler_tester/interface b/Libs/Common_test/hds/toggler_tester/interface new file mode 100644 index 0000000..e542199 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 304,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 306,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 308,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 310,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 305,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 307,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 309,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 285,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 286,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 287,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59000,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 289,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 290,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 291,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 292,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 293,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 297,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 298,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,58000,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 302,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "toggle" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 303,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "toggle : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33250,9100,39250,10000" +st "Common_test" +blo "33250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33250,10000,40750,10900" +st "toggler_tester" +blo "33250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "toggler_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 311,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/sim/debounce.do b/Libs/Common_test/sim/debounce.do new file mode 100644 index 0000000..bf5008c --- /dev/null +++ b/Libs/Common_test/sim/debounce.do @@ -0,0 +1,32 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -divider {Clock and Reset} +add wave -noupdate -color Gray60 /debounce_tb/I_tb/testInfo +add wave -noupdate -color Gray50 /debounce_tb/clock +add wave -noupdate -color Gray50 /debounce_tb/reset +add wave -noupdate -divider Input +add wave -noupdate -color {Dark Orchid} /debounce_tb/input +add wave -noupdate -radix unsigned /debounce_tb/I_debouncer/DELAY +add wave -noupdate -radix unsigned /debounce_tb/I_debouncer/lvec_count +add wave -noupdate -radix binary -childformat {{/debounce_tb/I_debouncer/lvec_sample(9) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(8) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(7) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(6) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(5) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(4) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(3) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(2) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(1) -radix binary} {/debounce_tb/I_debouncer/lvec_sample(0) -radix binary}} -subitemconfig {/debounce_tb/I_debouncer/lvec_sample(9) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(8) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(7) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(6) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(5) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(4) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(3) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(2) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(1) {-radix binary} /debounce_tb/I_debouncer/lvec_sample(0) {-radix binary}} /debounce_tb/I_debouncer/lvec_sample +add wave -noupdate /debounce_tb/I_debouncer/lsig_samplePulse +add wave -noupdate -divider {Debonced input} +add wave -noupdate -color Gold /debounce_tb/debounced +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {59062638 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 265 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {86730947 ps} diff --git a/Libs/Common_test/sim/debouncerULogicVector.do b/Libs/Common_test/sim/debouncerULogicVector.do new file mode 100644 index 0000000..dc4bdbd --- /dev/null +++ b/Libs/Common_test/sim/debouncerULogicVector.do @@ -0,0 +1,24 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /debouncerulogicvector_tb/reset +add wave -noupdate /debouncerulogicvector_tb/clock +add wave -noupdate -expand /debouncerulogicvector_tb/input +add wave -noupdate -format Analog-Step -height 30 -max 15.0 -radix unsigned /debouncerulogicvector_tb/i_filt/debouncecounter +add wave -noupdate -expand /debouncerulogicvector_tb/debounced +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {8842679128 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2100 ns} diff --git a/Libs/Common_test/sim/rotaryToUnsigned.do b/Libs/Common_test/sim/rotaryToUnsigned.do new file mode 100644 index 0000000..36ec08a --- /dev/null +++ b/Libs/Common_test/sim/rotaryToUnsigned.do @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /rotarytounsigned_tb/reset +add wave -noupdate /rotarytounsigned_tb/clock +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/rotary +add wave -noupdate /rotarytounsigned_tb/I_DUT/glitchDelayCounter +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -childformat {{/rotarytounsigned_tb/I_DUT/rotaryStable(3) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(2) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(1) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(0) -radix unsigned}} -radixshowbase 0 -subitemconfig {/rotarytounsigned_tb/I_DUT/rotaryStable(3) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(2) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(1) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(0) {-height 17 -radix unsigned -radixshowbase 0}} /rotarytounsigned_tb/I_DUT/rotaryStable +add wave -noupdate -format Analog-Step -height 200 -max 63.0 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/number +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 243 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {0 ps} {210 us} diff --git a/Libs/Common_test/sim/spikeFilter.do b/Libs/Common_test/sim/spikeFilter.do new file mode 100644 index 0000000..be55526 --- /dev/null +++ b/Libs/Common_test/sim/spikeFilter.do @@ -0,0 +1,23 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /spikefilter_tb/reset +add wave -noupdate /spikefilter_tb/clock +add wave -noupdate /spikefilter_tb/input +add wave -noupdate /spikefilter_tb/filtered +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3129283489 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {42 us} diff --git a/Libs/Lattice/hdl/ice40_sbIoOd_rtl.vhd b/Libs/Lattice/hdl/ice40_sbIoOd_rtl.vhd new file mode 100644 index 0000000..c7ef8f5 --- /dev/null +++ b/Libs/Lattice/hdl/ice40_sbIoOd_rtl.vhd @@ -0,0 +1,27 @@ +-- Allows to use RGB pins from ice40 FPGAs +-- as user I/Os +library sb_ice40_components_syn; + use sb_ice40_components_syn.components.all; + +ARCHITECTURE rtl OF ice40_sbIoOd IS +BEGIN + + ODInst : SB_IO_OD + generic map ( + NEG_TRIGGER => '0', -- FF's are rising edge + PIN_TYPE => "011001" -- 0110 = PIN_OUT, 01 = PIN_INPUT + ) + port map ( + DOUT1 => open, -- Output on falling edge + DOUT0 => '1', -- Output on rising edge + CLOCKENABLE => '1', -- Clock Enable common to input and output clocks + LATCHINPUTVALUE => '0', -- Not latching input value + INPUTCLK => clk, -- Clock for the input registers + DIN1 => open, -- Input on falling edge + DIN0 => rgbRd, -- Input value + OUTPUTENABLE => rgbWr, -- Output Pin Tristate/Enable control + OUTPUTCLK => clk, -- Clock for the output registers + PACKAGEPIN => rgbPin -- User’s Pin signal name + ); +END ARCHITECTURE rtl; + diff --git a/Libs/Lattice/hdl/pll_rtl.vhd b/Libs/Lattice/hdl/pll_rtl.vhd new file mode 100644 index 0000000..b8e3549 --- /dev/null +++ b/Libs/Lattice/hdl/pll_rtl.vhd @@ -0,0 +1,93 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.12.1.454 +-- Module Version: 5.7 +--C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n pll -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00 -type pll -fin 100.00 -fclkop 60 -fclkop_tol 10.0 -fclkos 75 -fclkos_tol 10.0 -phases 0 -fclkos2 50 -fclkos2_tol 10.0 -phases2 0 -fclkos3 10 -fclkos3_tol 10.0 -phases3 0 -phase_cntl STATIC -enable_s -enable_s2 -enable_s3 -pllLocked -fb_mode 1 -fdc C:/temp/clocker/pll/pll.fdc + +-- Offers 10MHz, 50MHz, 60MHz and 75MHz clocks + +library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all; + +ENTITY pll IS + PORT( + clkIn100M : IN std_ulogic; + en75M : IN std_ulogic; + en50M : IN std_ulogic; + en10M : IN std_ulogic; + clk60MHz : OUT std_ulogic; + clk75MHz : OUT std_ulogic; + clk50MHz : OUT std_ulogic; + clk10MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll ; + +architecture rtl of pll is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOS3_t: std_logic; + signal CLKOS2_t: std_logic; + signal CLKOS_t: std_logic; + signal CLKOP_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOS3 : string; + attribute FREQUENCY_PIN_CLKOS2 : string; + attribute FREQUENCY_PIN_CLKOS : string; + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOS3 of PLLInst_0 : label is "10.000000"; + attribute FREQUENCY_PIN_CLKOS2 of PLLInst_0 : label is "50.000000"; + attribute FREQUENCY_PIN_CLKOS of PLLInst_0 : label is "75.000000"; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "60.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "5"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of rtl : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 59, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 11, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 7, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 9, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 60, + CLKOS2_DIV=> 12, CLKOS_DIV=> 8, CLKOP_DIV=> 10, CLKFB_DIV=> 3, + CLKI_DIV=> 5, FEEDBK_PATH=> "CLKOP") + port map (CLKI=>clkIn100M, CLKFB=>CLKOP_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>en75M, ENCLKOS2=>en50M, + ENCLKOS3=>en10M, CLKOP=>CLKOP_t, CLKOS=>CLKOS_t, + CLKOS2=>CLKOS2_t, CLKOS3=>CLKOS3_t, LOCK=>pllLocked, + INTLOCK=>open, REFCLK=>REFCLK, CLKINTFB=>open); + + clk10MHz <= CLKOS3_t; + clk50MHz <= CLKOS2_t; + clk75MHz <= CLKOS_t; + clk60MHz <= CLKOP_t; +end rtl; diff --git a/Libs/Lattice/hdl/pll_vga_Structure.vhd b/Libs/Lattice/hdl/pll_vga_Structure.vhd new file mode 100644 index 0000000..12bde4f --- /dev/null +++ b/Libs/Lattice/hdl/pll_vga_Structure.vhd @@ -0,0 +1,70 @@ +library IEEE; +use IEEE.std_logic_1164.all; +library ECP5U; +use ECP5U.components.all; + +ENTITY pll_vga IS + PORT( + clkIn100M : IN std_ulogic; + clk25MHz : OUT std_ulogic; + pllLocked : OUT std_ulogic + ); + +-- Declarations + +END pll_vga ; + +architecture Structure of pll_vga is + + -- internal signal declarations + signal REFCLK: std_logic; + signal CLKOP_t: std_logic; + signal CLKFB_t: std_logic; + signal scuba_vhi: std_logic; + signal scuba_vlo: std_logic; + + attribute FREQUENCY_PIN_CLKOP : string; + attribute FREQUENCY_PIN_CLKI : string; + attribute ICP_CURRENT : string; + attribute LPF_RESISTOR : string; + attribute FREQUENCY_PIN_CLKOP of PLLInst_0 : label is "25.000000"; + attribute FREQUENCY_PIN_CLKI of PLLInst_0 : label is "100.000000"; + attribute ICP_CURRENT of PLLInst_0 : label is "6"; + attribute LPF_RESISTOR of PLLInst_0 : label is "16"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + PLLInst_0: EHXPLLL + generic map (PLLRST_ENA=> "DISABLED", INTFB_WAKE=> "DISABLED", + STDBY_ENABLE=> "DISABLED", DPHASE_SOURCE=> "DISABLED", + CLKOS3_FPHASE=> 0, CLKOS3_CPHASE=> 0, CLKOS2_FPHASE=> 0, + CLKOS2_CPHASE=> 0, CLKOS_FPHASE=> 0, CLKOS_CPHASE=> 0, + CLKOP_FPHASE=> 0, CLKOP_CPHASE=> 25, PLL_LOCK_MODE=> 0, + CLKOS_TRIM_DELAY=> 0, CLKOS_TRIM_POL=> "FALLING", + CLKOP_TRIM_DELAY=> 0, CLKOP_TRIM_POL=> "FALLING", + OUTDIVIDER_MUXD=> "DIVD", CLKOS3_ENABLE=> "DISABLED", + OUTDIVIDER_MUXC=> "DIVC", CLKOS2_ENABLE=> "DISABLED", + OUTDIVIDER_MUXB=> "DIVB", CLKOS_ENABLE=> "DISABLED", + OUTDIVIDER_MUXA=> "DIVA", CLKOP_ENABLE=> "ENABLED", CLKOS3_DIV=> 1, + CLKOS2_DIV=> 1, CLKOS_DIV=> 1, CLKOP_DIV=> 26, CLKFB_DIV=> 1, + CLKI_DIV=> 4, FEEDBK_PATH=> "INT_OP") + port map (CLKI=>clkIn100M, CLKFB=>CLKFB_t, PHASESEL1=>scuba_vlo, + PHASESEL0=>scuba_vlo, PHASEDIR=>scuba_vlo, + PHASESTEP=>scuba_vlo, PHASELOADREG=>scuba_vlo, + STDBY=>scuba_vlo, PLLWAKESYNC=>scuba_vlo, RST=>scuba_vlo, + ENCLKOP=>scuba_vlo, ENCLKOS=>scuba_vlo, ENCLKOS2=>scuba_vlo, + ENCLKOS3=>scuba_vlo, CLKOP=>CLKOP_t, CLKOS=>open, + CLKOS2=>open, CLKOS3=>open, LOCK=>pllLocked, INTLOCK=>open, + REFCLK=>REFCLK, CLKINTFB=>CLKFB_t); + + clk25MHz <= CLKOP_t; +end Structure; diff --git a/Libs/Lattice/hds/.hdlsidedata/_ice40_sbIoOd_rtl.vhd._fpf b/Libs/Lattice/hds/.hdlsidedata/_ice40_sbIoOd_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Lattice/hds/.hdlsidedata/_ice40_sbIoOd_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Lattice/hds/.hdlsidedata/_pll_rtl.vhd._fpf b/Libs/Lattice/hds/.hdlsidedata/_pll_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Lattice/hds/.hdlsidedata/_pll_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Lattice/hds/.hdlsidedata/_pll_vga_Structure.vhd._fpf b/Libs/Lattice/hds/.hdlsidedata/_pll_vga_Structure.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Lattice/hds/.hdlsidedata/_pll_vga_Structure.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Lattice/hds/_ice40_sbiood._epf b/Libs/Lattice/hds/_ice40_sbiood._epf new file mode 100644 index 0000000..223e683 --- /dev/null +++ b/Libs/Lattice/hds/_ice40_sbiood._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom ice40_sbIoOd_rtl.vhd diff --git a/Libs/Lattice/hds/_pll._epf b/Libs/Lattice/hds/_pll._epf new file mode 100644 index 0000000..c33d67a --- /dev/null +++ b/Libs/Lattice/hds/_pll._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom pll_rtl.vhd diff --git a/Libs/Lattice/hds/_pll_vga._epf b/Libs/Lattice/hds/_pll_vga._epf new file mode 100644 index 0000000..7739eee --- /dev/null +++ b/Libs/Lattice/hds/_pll_vga._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom Structure +DEFAULT_FILE atom pll_vga_Structure.vhd diff --git a/Libs/Lattice/hds/ice40_sb@io@od/symbol.sb b/Libs/Lattice/hds/ice40_sb@io@od/symbol.sb new file mode 100644 index 0000000..c9b8e59 --- /dev/null +++ b/Libs/Lattice/hds/ice40_sb@io@od/symbol.sb @@ -0,0 +1,1521 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 131,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 2 +decl (Decl +n "rgbPin" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 133,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "rgbRd" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 135,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rgbWr" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 137,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 132,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 134,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 136,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 138,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 0 +dimension 20 +) +uid 96,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sb@io@od\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sb@io@od\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sb@io@od" +) +(vvPair +variable "d_logical" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sbIoOd" +) +(vvPair +variable "date" +value "16.05.2023" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "16" +) +(vvPair +variable "entity_name" +value "ice40_sbIoOd" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "16.05.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:49:25" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lattice" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Lattice/work" +) +(vvPair +variable "mm" +value "05" +) +(vvPair +variable "module_name" +value "ice40_sbIoOd" +) +(vvPair +variable "month" +value "mai" +) +(vvPair +variable "month_long" +value "mai" +) +(vvPair +variable "p" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sb@io@od\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\axel.amand\\Desktop\\bachelor\\Libs\\Lattice\\hds\\ice40_sbIoOd\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:49:25" +) +(vvPair +variable "unit" +value "ice40_sbIoOd" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20250,15625,21000,16375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,15300,24400,16700" +st "clk" +blo "22000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60000,3200" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 145,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20250,13625,21000,14375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +font "Verdana,12,0" +) +xt "22000,13300,26800,14700" +st "rgbPin" +blo "22000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59000,5600" +st "rgbPin : INOUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 2 +decl (Decl +n "rgbPin" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 149,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,15625,32750,16375" +) +tg (CPTG +uid 151,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 152,0 +va (VaSet +font "Verdana,12,0" +) +xt "26500,15300,31000,16700" +st "rgbRd" +ju 2 +blo "31000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 153,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60000,4800" +st "rgbRd : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "rgbRd" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*63 (CptPort +uid 154,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,13625,32750,14375" +) +tg (CPTG +uid 156,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 157,0 +va (VaSet +font "Verdana,12,0" +) +xt "26300,13300,31000,14700" +st "rgbWr" +ju 2 +blo "31000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 158,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60000,4000" +st "rgbWr : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rgbWr" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "21000,13000,32000,18000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "21000,19800,25200,21000" +st "Lattice" +blo "21000,20800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "21000,21000,29000,22200" +st "ice40_sbIoOd" +blo "21000,22000" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "21000,23200,32500,24000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "96,54,1115,744" +viewArea "6500,4000,63452,40576" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Board" +entityName "EC5LP" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 229,0 +activeModelName "Symbol" +) diff --git a/Libs/Lattice/hds/pll/symbol.sb b/Libs/Lattice/hds/pll/symbol.sb new file mode 100644 index 0000000..9c71b62 --- /dev/null +++ b/Libs/Lattice/hds/pll/symbol.sb @@ -0,0 +1,1845 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "IEEE" +unitName "std_logic_1164" +) +(DmPackageRef +library "ECP5U" +unitName "components" +) +] +libraryRefs [ +"IEEE" +"ECP5U" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 96,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 98,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +uid 100,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +uid 102,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 106,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 108,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +uid 110,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 112,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +uid 200,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 97,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 99,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 101,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 103,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 107,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 109,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 111,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 113,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 201,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &45 +pos 0 +dimension 20 +) +uid 159,0 +optionalChildren [ +*59 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 160,0 +) +*60 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 161,0 +) +*61 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*62 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 164,0 +) +*63 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 166,0 +) +*65 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 167,0 +) +*66 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 168,0 +) +*67 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 169,0 +) +*68 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll" +) +(vvPair +variable "d_logical" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll" +) +(vvPair +variable "date" +value "13.03.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "entity_name" +value "pll" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "13.03.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "10:10:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lattice" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Lattice/work" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "pll" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\did-chrono\\Libs\\Lattice\\hds\\pll\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:10:02" +) +(vvPair +variable "unit" +value "pll" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,24625,32750,25375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "26700,24500,31000,25500" +st "clk10MHz" +ju 2 +blo "31000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,61500,8800" +st "clk10MHz : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk10MHz" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*71 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,23625,32750,24375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "26700,23500,31000,24500" +st "clk50MHz" +ju 2 +blo "31000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61500,8000" +st "clk50MHz : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk50MHz" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*72 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,20625,32750,21375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "26700,20500,31000,21500" +st "clk60MHz" +ju 2 +blo "31000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61500,6400" +st "clk60MHz : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk60MHz" +t "std_ulogic" +o 5 +suid 3,0 +) +) +) +*73 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,22625,32750,23375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,8,0" +) +xt "26700,22500,31000,23500" +st "clk75MHz" +ju 2 +blo "31000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61500,7200" +st "clk75MHz : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk75MHz" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*74 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,24625,20000,25375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,24500,24200,25500" +st "en10M" +blo "21000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "en10M : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en10M" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*75 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,23625,20000,24375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,23500,24200,24500" +st "en50M" +blo "21000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "en50M : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en50M" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*76 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,22625,20000,23375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,22500,24200,23500" +st "en75M" +blo "21000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "en75M : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en75M" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*77 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,26625,32750,27375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,8,0" +) +xt "26800,26500,31000,27500" +st "pllLocked" +ju 2 +blo "31000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,60500,9600" +st "pllLocked : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*78 (CptPort +uid 195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 196,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,20625,20000,21375" +) +tg (CPTG +uid 197,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 198,0 +va (VaSet +font "Verdana,8,0" +) +xt "21000,20500,25600,21500" +st "clkIn100M" +blo "21000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 199,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "clkIn100M : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,20000,32000,28000" +) +oxt "15000,6000,44000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "20400,30000,24100,31000" +st "Lattice" +blo "20400,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "20400,31000,22200,32000" +st "pll" +blo "20400,31800" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-4000,-50000,7500,-49200" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,48100,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,42900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17600,6000" +st "library IEEE; + use IEEE.std_logic_1164.all; +library ECP5U; + use ECP5U.components.all;" +tm "PackageList" +) +] +) +windowSize "174,201,1190,891" +viewArea "-3070,15023,42318,45897" +cachedDiagramExtent "-4000,-50000,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-5000,-51000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9600,45200,10800" +st "User:" +blo "42000,10600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,44000,10800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 224,0 +activeModelName "Symbol" +) diff --git a/Libs/Lattice/hds/pll_vga/symbol.sb b/Libs/Lattice/hds/pll_vga/symbol.sb new file mode 100644 index 0000000..0048733 --- /dev/null +++ b/Libs/Lattice/hds/pll_vga/symbol.sb @@ -0,0 +1,1449 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "IEEE" +unitName "std_logic_1164" +) +(DmPackageRef +library "ECP5U" +unitName "components" +) +] +libraryRefs [ +"IEEE" +"ECP5U" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 3,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk25MHz" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 66,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 68,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 70,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 69,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &33 +pos 0 +dimension 20 +) +uid 117,0 +optionalChildren [ +*47 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 118,0 +) +*48 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 119,0 +) +*49 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*50 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 122,0 +) +*51 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 123,0 +) +*52 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 124,0 +) +*53 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 125,0 +) +*54 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 126,0 +) +*55 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 127,0 +) +*56 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\did-display\\Libs\\Lattice\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga" +) +(vvPair +variable "d_logical" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga" +) +(vvPair +variable "date" +value "03.04.2023" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "pll_vga" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:31:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lattice" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Lattice/work" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "pll_vga" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\did-display\\Libs\\Lattice\\hds\\pll_vga\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:31:31" +) +(vvPair +variable "unit" +value "pll_vga" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,23625,30750,24375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "22400,23300,29000,24700" +st "clk25MHz" +ju 2 +blo "29000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "clk25MHz : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk25MHz" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*59 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,24625,15000,25375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,24300,23100,25700" +st "clkIn100M" +blo "16000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "clkIn100M : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clkIn100M" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*60 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,25625,30750,26375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "22400,25300,29000,26700" +st "pllLocked" +ju 2 +blo "29000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "pllLocked : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pllLocked" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 152,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,23000,30000,28000" +) +oxt "15000,6000,44000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15400,29000,19600,30200" +st "Lattice" +blo "15400,30000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15400,30200,19600,31400" +st "pll_vga" +blo "15400,31200" +) +) +gi *61 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-11000,-29000,500,-28200" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +) +) +*62 (Grouping +uid 16,0 +optionalChildren [ +*63 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*75 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17000,6000" +st "library IEEE; +use IEEE.std_logic_1164.all; +library ECP5U; +use ECP5U.components.all;" +tm "PackageList" +) +] +) +windowSize "568,181,1584,871" +viewArea "12136,8572,57525,40943" +cachedDiagramExtent "-11000,-29000,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-12000,-30000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 152,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hdl/bramBinASCIIInit_rtl.vhd b/Libs/Memory/hdl/bramBinASCIIInit_rtl.vhd new file mode 100644 index 0000000..2d90cc6 --- /dev/null +++ b/Libs/Memory/hdl/bramBinASCIIInit_rtl.vhd @@ -0,0 +1,39 @@ +USE std.textio.all; + +ARCHITECTURE rtl OF bramBinASCIIInit IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := to_bitvector(dataIn,'0'); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE rtl; diff --git a/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd new file mode 100644 index 0000000..09bf83a --- /dev/null +++ b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd @@ -0,0 +1,54 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then +-- if writeEnB = '1' then +-- ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); +-- dataOutB <= dataInB; +-- else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); +-- end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bramDualport_bhv.vhd b/Libs/Memory/hdl/bramDualport_bhv.vhd new file mode 100644 index 0000000..303dec5 --- /dev/null +++ b/Libs/Memory/hdl/bramDualport_bhv.vhd @@ -0,0 +1,41 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramDualport IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Declare ramContent signal + shared variable ramContent: ramContentType; + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then + if writeEnB = '1' then + ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); + dataOutB <= dataInB; + else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bramHexASCIIInit_rtl.vhd b/Libs/Memory/hdl/bramHexASCIIInit_rtl.vhd new file mode 100644 index 0000000..3313cfd --- /dev/null +++ b/Libs/Memory/hdl/bramHexASCIIInit_rtl.vhd @@ -0,0 +1,42 @@ +library ieee; + use std.textio.all; + use ieee.std_logic_textio.all; + +ARCHITECTURE rtl OF bramHexASCIIInit IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of std_logic_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + HREAD(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := std_logic_vector(dataIn); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE rtl; diff --git a/Libs/Memory/hdl/bram_actel.vhd b/Libs/Memory/hdl/bram_actel.vhd new file mode 100644 index 0000000..415edbd --- /dev/null +++ b/Libs/Memory/hdl/bram_actel.vhd @@ -0,0 +1,27 @@ +ARCHITECTURE actel OF bram IS + + subtype ramCellType is std_ulogic_vector(dataBitNb-1 DOWNTO 0); + type ramContentType is array(2**addressBitNb-1 downto 0) of ramCellType; + signal ramContent: ramContentType ; + +BEGIN + -- memory array + process(clock) + begin + if rising_edge(clock) then + if (en = '1') and (writeEn = '1') then + ramContent(to_integer(unsigned(addressIn))) <= dataIn; + end if; + end if; + end process; + -- read register + process(clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= ramContent(to_integer(unsigned(addressIn))); + end if; + end if; + end process; + +END ARCHITECTURE actel; diff --git a/Libs/Memory/hdl/bram_bhv.vhd b/Libs/Memory/hdl/bram_bhv.vhd new file mode 100644 index 0000000..b03583a --- /dev/null +++ b/Libs/Memory/hdl/bram_bhv.vhd @@ -0,0 +1,20 @@ +ARCHITECTURE bhv OF bram IS + + type ramContentType is array(2**addressBitNb-1 downto 0) of std_logic_vector(dataBitNb-1 DOWNTO 0); + shared variable ramContent: ramContentType ; + +BEGIN + + process(clock) + begin + if rising_edge(clock) then + if en = '1' then + if writeEn = '1' then + ramContent(to_integer(unsigned(addressIn))) := dataIn; + end if; + dataOut <= ramContent(to_integer(unsigned(addressIn))); + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bram_dualport_writefirst.vhd b/Libs/Memory/hdl/bram_dualport_writefirst.vhd new file mode 100644 index 0000000..8fd72bf --- /dev/null +++ b/Libs/Memory/hdl/bram_dualport_writefirst.vhd @@ -0,0 +1,55 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramContentDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addr_bit_nb)-1) of bit_vector(data_bit_nb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(init_file); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then + if writeEnB = '1' then + ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); + dataOutB <= dataInB; + else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; + diff --git a/Libs/Memory/hdl/bram_hexRead.vhd b/Libs/Memory/hdl/bram_hexRead.vhd new file mode 100644 index 0000000..f99b3c1 --- /dev/null +++ b/Libs/Memory/hdl/bram_hexRead.vhd @@ -0,0 +1,42 @@ +library ieee; + use std.textio.all; + use ieee.std_logic_textio.all; + +ARCHITECTURE hexRead OF bram IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of std_logic_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + HREAD(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := std_logic_vector(dataIn); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE hexRead; diff --git a/Libs/Memory/hdl/bram_withInit.vhd b/Libs/Memory/hdl/bram_withInit.vhd new file mode 100644 index 0000000..c28eab9 --- /dev/null +++ b/Libs/Memory/hdl/bram_withInit.vhd @@ -0,0 +1,39 @@ +USE std.textio.all; + +ARCHITECTURE withInit OF bram IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := to_bitvector(dataIn,'0'); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE withInit; diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd new file mode 100644 index 0000000..9773ce4 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd @@ -0,0 +1,73 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task, even if +-- the bus size of the two FIFO interfaces is different. +-- The Rx side bus width has to be a multiple of the Tx side bus width. +-- +-- Created on 2013-10-18 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2014-10-06: *modify introduction text +-- +add some comment +-- *change readRx to a pulse +-- *fix bug on shift of shiftreg_s +-- 2013-10-18: +intital release +-- ------------------------------------------------------------------------------ +-- + +library Common; + use Common.CommonLib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionRxBigger IS + + signal cnt_s: unsigned(requiredBitNb(dataBitNbRx)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbRx-1 downto 0); + signal emptyRx_s: std_ulogic; -- internal empty signal + signal writeTx_s: std_ulogic; -- internal write signal + + constant ratio_rxtx_c: positive range 1 to dataBitNbRx/dataBitNbTx:= dataBitNbRx/dataBitNbTx; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + writeTx_s <= '0'; + dataTx <= (others => '0'); + readRx <= '0'; + elsif rising_edge(clock) then + writeTx_s <= '0'; + readRx <= '0'; + -- fetch data + if emptyRx_s = '1' and emptyRx = '0' then + emptyRx_s <= '0'; + shiftreg_s <= dataRx; + readRx <= '1'; + end if; + -- shift data and put out + -- after each write, wait one cylce to check if full gets high + if emptyRx_s = '0' and fullTx = '0' and writeTx_s = '0' then + shiftreg_s <= shiftreg_s(dataBitNbRx-dataBitNbTx-1 downto 0) & std_ulogic_vector(to_unsigned(0,dataBitNbTx)); + dataTx <= shiftreg_s(dataBitNbRx-1 downto dataBitNbRx-dataBitNbTx); + writeTx_s <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_rxtx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '1'; + end if; + end if; + end if; + end process; + + writeTx <= writeTx_s; + +END ARCHITECTURE behavioral; + diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd new file mode 100644 index 0000000..c6217f8 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd @@ -0,0 +1,75 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interface. But the bus width +-- varies often. This block can the be used to adapt the bus width to your own +-- needs. +-- The Tx side bus width has to be a multiple of the Rx side bus width. +-- +-- Created on 2013-10-21 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- ------------------------------------------------------------------------------ +-- + +library common; + use common.commonlib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionTxbigger IS + + constant ratio_txrx_c: positive range 1 to dataBitNbTx/dataBitNbRx:= dataBitNbTx/dataBitNbRx; + + signal cnt_s: unsigned(requiredBitNb(ratio_txrx_c-1)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbTx-1 downto 0); + signal fullTx_s: std_ulogic; + signal emptyRx_s: std_ulogic; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + readRx <= '1'; + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + elsif rising_edge(clock) then + readRx <= NOT fullTx_s; + emptyRx_s <= '1'; + if emptyRx = '0' and fullTx_s = '0' then +-- shiftreg_s(((to_integer(cnt_s)+1)*dataBitNbRx)-1 downto to_integer(cnt_s)*dataBitNbRx) <= dataRx; + shiftreg_s <= shiftreg_s(dataBitNbTx-dataBitNbRx-1 downto 0) & dataRx; + readRx <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_txrx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '0'; + end if; + end if; + end if; + end process; + + tx0: process(clock, reset) + begin + if reset = '1' then + fullTx_s <= '1'; + writeTx <= '0'; + dataTx <= (others => '0'); + elsif rising_edge(clock) then + fullTx_s <= fullTx; + writeTx <= '0'; + -- no need to wait to check for full (in contrast to RxBigger) + -- because it will forcibly take several clocks to fill the shiftreg + if emptyRx_s = '0' and fullTx = '0' then + dataTx <= shiftreg_s; + writeTx <= '1'; + end if; + end if; + end process; + +END ARCHITECTURE behavioral; + + diff --git a/Libs/Memory/hdl/fifo_bram_rtl.vhd b/Libs/Memory/hdl/fifo_bram_rtl.vhd new file mode 100644 index 0000000..83336ca --- /dev/null +++ b/Libs/Memory/hdl/fifo_bram_rtl.vhd @@ -0,0 +1,165 @@ +library Common; + use Common.CommonLib.all; + +architecture RTL of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth-1)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray: memory_type; + + type fifoStateType is ( + sEmpty, sFull, + sRead, sWrite, sWriteFirst, + sReadWrite, sWait + ); + signal fifoState: fifoStateType; + signal emptyCondition, fullCondition, empty_int: std_ulogic; + +begin + ------------------------------------------------------------------------------ + -- read and write counters + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if (read = '1') and (empty_int = '0') then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + ------------------------------------------------------------------------------ + -- memory access + writeMem: process(clock) + begin + if rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + readMem: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0'); + elsif rising_edge(clock) then + if (read = '0') or (empty_int = '1') then + dataOut <= memoryArray(to_integer(readCounter)); + else + dataOut <= memoryArray(to_integer(readCounter+1)); + end if; + end if; + end process readMem; + + ------------------------------------------------------------------------------ + -- controls + emptyCondition <= '1' when + ( (fifoState = sRead) and (writeCounter = readCounter) ) or + (fifoState = sEmpty) + else '0'; + + fullCondition <= '1' when + ( (fifoState = sWrite) and (writeCounter = readCounter) ) or + (fifoState = sFull) + else '0'; + + + fifoControl: process(reset, clock) + begin + if reset = '1' then + fifoState <= sEmpty; + elsif rising_edge(clock) then + case fifoState is + when sEmpty => + if write = '1' then + fifoState <= sWriteFirst; + end if; + when sFull => + if (read = '1') then + fifoState <= sRead; + end if; + when sRead => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif emptyCondition = '1' then + fifoState <= sEmpty; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWriteFirst => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWrite => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif fullCondition = '1' then + fifoState <= sFull; + elsif write = '1' then + fifoState <= sWrite; + else + fifoState <= sWait; + end if; + when sReadWrite => + if (read = '0') and (write = '0') then + fifoState <= sWait; + elsif (read = '1') and (write = '0') then + fifoState <= sRead; + elsif (write = '1') and (read = '0') then + fifoState <= sWrite; + end if; + when sWait => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif write = '1' then + fifoState <= sWrite; + end if; + when others => null; + end case; + end if; + end process fifoControl; + + + full <= '1' when + (fifoState = sFull) or + (fullCondition = '1') + else '0'; + + empty_int <= '1' when + (fifoState = sEmpty) or + (fifoState = sWriteFirst) or + ( (emptyCondition = '1') and (fifoState = sRead) ) + else '0'; + empty <= empty_int; + +end RTL; diff --git a/Libs/Memory/hdl/fifo_minimal.vhd b/Libs/Memory/hdl/fifo_minimal.vhd new file mode 100644 index 0000000..915866c --- /dev/null +++ b/Libs/Memory/hdl/fifo_minimal.vhd @@ -0,0 +1,63 @@ +library Common; + use Common.CommonLib.all; + +architecture minimal of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +end minimal; + diff --git a/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd new file mode 100644 index 0000000..244749d --- /dev/null +++ b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd @@ -0,0 +1,37 @@ +architecture oneRegister of FIFO_oneRegister is + + signal dataRegister: std_ulogic_vector(dataIn'range); + +begin + + writeReg: process(reset, clock) + begin + if reset = '1' then + dataRegister <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + dataRegister <= dataIn; + end if; + end if; + end process writeReg; + + dataOut <= dataRegister; + + manageFlags: process(reset, clock) + begin + if reset = '1' then + empty <= '1'; + full <= '0'; + elsif rising_edge(clock) then + if write = '1' then + empty <= '0'; + full <= '1'; + elsif read = '1' then + empty <= '1'; + full <= '0'; + end if; + end if; + end process manageFlags; + +end oneRegister; + diff --git a/Libs/Memory/hdl/fifo_pim.vhd b/Libs/Memory/hdl/fifo_pim.vhd new file mode 100644 index 0000000..81006e3 --- /dev/null +++ b/Libs/Memory/hdl/fifo_pim.vhd @@ -0,0 +1,118 @@ +library Common; + use Common.CommonLib.all; + +architecture pim of FIFO_bram is + + type mem_t is array (depth-1 downto 0) of std_ulogic_vector(dataIn'range); + subtype mem_range_r is natural range requiredBitNb(depth)-1 downto 0; + subtype ptr_range_r is natural range requiredBitNb(depth)+1-1 downto 0; + + signal mem : mem_t := (others => (others => '0')); + + signal full_int : std_logic; + signal empty_int : std_logic; + signal write_error : std_logic; + signal read_error : std_logic; + signal read_ptr : unsigned(ptr_range_r); + signal read_ptr_next : unsigned(ptr_range_r); + signal write_ptr : unsigned(ptr_range_r); + signal write_ptr_next : unsigned(ptr_range_r); + + signal used_int : unsigned(ptr_range_r); + +begin + ----------------------------------------------------------------------------- + -- Free / used + ----------------------------------------------------------------------------- + + fifo_count_proc: process(reset, clock) + begin + if reset = '1' then + used_int <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' and full_int = '0' then + used_int <= used_int + 1; + end if; + if read = '1' and empty_int = '0' then + used_int <= used_int - 1; + end if; + + -- Simultaneous read/write -> no change + -- ignore full_int, since it is valid + if write = '1' and read = '1' and empty_int = '0' then + used_int <= used_int; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO status + ----------------------------------------------------------------------------- + + full_int <= '1' when (write_ptr(write_ptr'left) /= read_ptr(read_ptr'left)) + and ((write_ptr(mem_range_r) = read_ptr(mem_range_r))) + else '0'; + empty_int <= '1' when (write_ptr = read_ptr) else '0'; + + full <= full_int; + empty <= empty_int; + + write_ptr_next <= write_ptr + 1; + read_ptr_next <= read_ptr + 1; + + + ----------------------------------------------------------------------------- + -- FIFO pointers + ----------------------------------------------------------------------------- + + fifo_ptr_proc: process(reset, clock) + begin + if reset = '1' then + write_ptr <= (others => '0'); + read_ptr <= (others => '0'); + write_error <= '0'; + read_error <= '0'; + elsif rising_edge(clock) then + write_error <= '0'; + read_error <= '0'; + if write = '1' then + if full_int = '0' or read = '1' then + write_ptr <= write_ptr_next; + else + write_error <= '1'; + end if; + end if; + if read = '1' then + if empty_int = '0' then + read_ptr <= read_ptr_next; + else + read_error <= '1'; + end if; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO RAM + ----------------------------------------------------------------------------- + + fifo_out_proc : process(clock) + begin + if rising_edge(clock) then + dataOut <= mem(to_integer(read_ptr(mem_range_r))); + end if; + end process; + + fifo_in_proc : process(clock) + begin + if rising_edge(clock) then + if write = '1' and full_int = '0' then + mem(to_integer(write_ptr(mem_range_r))) <= dataIn; + end if; + end if; + end process; + +end pim; + diff --git a/Libs/Memory/hdl/fifo_rtl_minimal.vhd b/Libs/Memory/hdl/fifo_rtl_minimal.vhd new file mode 100644 index 0000000..6fcccc8 --- /dev/null +++ b/Libs/Memory/hdl/fifo_rtl_minimal.vhd @@ -0,0 +1,97 @@ +-- +-- VHDL Architecture Memory.fifo_minimal +-- +-- Created: +-- by - uadmin.UNKNOWN (WE3877) +-- at - 13:54:33 11.07.2012 +-- +-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10) +-- +library Common; + use Common.CommonLib.all; + +architecture RTL_minimal of FIFO is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + +-- checkStatus: process(reset, clock) +-- begin +-- if reset = '1' then +-- empty <= '1'; +-- full <= '0'; +-- elsif rising_edge(clock) then +-- if readCounter+1 = writeCounter then +-- if read = '1' then +-- empty <= '1'; +-- end if; +-- elsif writeCounter = readCounter then +-- if write = '1' then +-- empty <= '0'; +-- end if; +-- if read = '1' then +-- full <= '0'; +-- end if; +-- elsif writeCounter+1 = readCounter then +-- if write = '1' then +-- full <= '1'; +-- end if; +-- end if; +-- end if; +-- end process checkStatus; + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +END ARCHITECTURE RTL_minimal; + diff --git a/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd new file mode 100644 index 0000000..5c20654 --- /dev/null +++ b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd @@ -0,0 +1,84 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaptation +-- A register that connects two FIFOs. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task. +-- +-- Created on 2012 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2016-04-01: fix bug in FWFT read when full +-- 2016-03-22: +add FirstWordFallThrough (FWFT) generic +-- 2012: +intital release +-- ------------------------------------------------------------------------------ +-- + +ARCHITECTURE RTL OF fifoBridgeRxToTx IS + + signal read1: std_ulogic; + signal read2: std_ulogic; + signal read: std_ulogic; + signal storedData: std_ulogic_vector(data1'range); + signal write: std_ulogic; + +BEGIN + + readControl: process(reset, clock) + begin + if reset = '1' then + read1 <= '0'; + read2 <= '0'; + elsif rising_edge(clock) then + if (empty1 = '0') and (full2 = '0') then + read1 <= '1'; + else + read1 <= '0'; + end if; + read2 <= read1; + end if; + end process readControl; + + read <= not empty1 and not full2 when firstWordFallThrough + else not empty1 and read1; + rd1 <= read; + + readData: process(reset, clock) + begin + if reset = '1' then + storedData <= (others => '0'); + elsif rising_edge(clock) then + if firstWordFallThrough then + storedData <= data1; + else + if read = '1' then + storedData <= data1; + end if; + end if; + end if; + end process readData; + + data2 <= storedData; + + writeControl: process(reset, clock) + begin + if reset = '1' then + write <= '0'; + elsif rising_edge(clock) then + if firstWordFallThrough then + write <= not empty1 and not full2; + else + if read = '1' then + write <= '1'; + else + write <= '0'; + end if; + end if; + end if; + end process writeControl; + + wr2 <= write; + +end RTL; diff --git a/Libs/Memory/hdl/flashController_RTL.vhd b/Libs/Memory/hdl/flashController_RTL.vhd new file mode 100644 index 0000000..f8f65c4 --- /dev/null +++ b/Libs/Memory/hdl/flashController_RTL.vhd @@ -0,0 +1,140 @@ +ARCHITECTURE RTL OF flashController IS + + signal addressReg: unsigned(flashAddr'range); + signal dataOutReg: std_ulogic_vector(flashDataOut'range); + signal dataInReg: std_ulogic_vector(flashDataIn'range); + type sequenceStateType is ( + idle, + waitForBus1, waitForBus0, + startAccess, waitAcccessEnd + ); + signal sequenceState: sequenceStateType; + signal read: std_ulogic; + signal startCounter: std_ulogic; + signal sequenceCounter: unsigned(3 downto 0); + signal endOfCount: std_ulogic; + signal readDataValid: std_ulogic; + signal flashCE: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- memory reset + memRst_n <= not '0'; + + ------------------------------------------------------------------------------ + -- address + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if (flashRd = '1') or (flashWr = '1') then + addressReg <= shift_left(flashAddr, 1); + end if; + end if; + end process storeAddress; + + memAddress <= std_ulogic_vector(addressReg); + + ------------------------------------------------------------------------------ + -- data out + storeDataOut: process(reset, clock) + begin + if reset = '1' then + dataOutReg <= (others => '0'); + elsif rising_edge(clock) then + if flashWr = '1' then + dataOutReg <= flashDataOut; + end if; + end if; + end process storeDataOut; + + memDataOut <= flashDataOut; + + ------------------------------------------------------------------------------ + -- data in + readDataValid <= '1' when (read = '1') and (endOfCount = '1') else '0'; + + storeDataIn: process(reset, clock) + begin + if reset = '1' then + dataInReg <= (others => '0'); + elsif rising_edge(clock) then + if readDataValid = '1' then + dataInReg <= memDataIn; + end if; + end if; + end process storeDataIn; + + flashDataIn <= dataInReg when readDataValid = '0' else memDataIn; + + ------------------------------------------------------------------------------ + -- read/write sequence + busAccessFsm: process(reset, clock) + begin + if reset = '1' then + read <= '0'; + sequenceState <= idle; + elsif rising_edge(clock) then + case sequenceState is + when idle => + if flashRd = '1' then + read <= '1'; + sequenceState <= waitForBus1; + elsif flashWr = '1' then + read <= '0'; + sequenceState <= waitForBus1; + end if; + when waitForBus1 => + if memBusEn_n = '1' then + sequenceState <= waitForBus0; + end if; + when waitForBus0 => + if memBusEn_n = '0' then + sequenceState <= startAccess; + end if; + when startAccess => + sequenceState <= waitAcccessEnd; + when waitAcccessEnd => + if endOfCount = '1' then + sequenceState <= idle; + end if; + end case; + end if; + end process busAccessFsm; + + + startCounter <= '1' when sequenceState = startAccess else '0'; + endOfCount <= '1' + when ( (sequenceCounter = rdWaitState) and (read = '1') ) or + ( (sequenceCounter = wrWaitState) and (read = '0') ) + else '0'; + + countSequence: process(reset, clock) + begin + if reset = '1' then + sequenceCounter <= (others => '0'); + elsif rising_edge(clock) then + if sequenceCounter = 0 then + if startCounter = '1' then + sequenceCounter <= sequenceCounter + 1; + end if; + else + if endOfCount = '1' then + sequenceCounter <= (others => '0'); + else + sequenceCounter <= sequenceCounter + 1; + end if; + end if; + end if; + end process countSequence; + + flashCE <= '0' when sequenceCounter = 0 else '1'; + flashCE_n <= not flashCE; + memWR_n <= not '1' when (read = '0') and (flashCE = '1') and (endOfCount = '0') + else not '0'; + memOE_n <= not '1' when (read = '1') and (flashCE = '1') else not '0'; + + flashDataValid <= endOfCount; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd new file mode 100644 index 0000000..c2ba793 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd @@ -0,0 +1,36 @@ +ARCHITECTURE RTL OF sdramControllerBuildAddress IS + + constant addressPrecharge: std_ulogic_vector(memAddress'range) + := (10=> '1', others => '-'); + constant addressModeRegU : unsigned(memAddress'range) + := resize("0" & "00" & "010" & "0" & "000", memAddress'length); + -- ll,10 = reserved, + -- 9 = '0' programmed burst length => burst length applicable for both rd and wr + -- 8,7 = Op mode = 00 => standard operation (all other states are reserved) + -- 6,5,4 = CAS latency = 010 => cas latency of 2 + -- 3 = Burst Type = '0' => Sequential (not interleaved) + -- 2,1,0 = Brust Length = 000 => brust length is 1 + constant addressModeReg : std_ulogic_vector(memAddress'range) + := std_ulogic_vector(addressModeRegU); + +BEGIN + + buildAddresses: process(ramAddr, addrSelPrecharge, addrSelModeReg, addrSelRow, addrSelCol) + begin + memBankAddress <= std_ulogic_vector(ramAddr(ramAddr'high downto ramAddr'high-memBankAddress'length+1)); + if addrSelPrecharge = '1' then + memAddress <= addressPrecharge; + elsif addrSelModeReg = '1' then + memAddress <= addressModeReg; + elsif addrSelRow = '1' then + memAddress <= std_ulogic_vector(ramAddr(rowAddressBitNb+colAddressBitNb-1 downto colAddressBitNb)); + elsif addrSelCol = '1' then + memAddress(memAddress'high downto colAddressBitNb) <= (others => '0'); + memAddress(10) <= '1'; + memAddress(colAddressBitNb-1 downto 0) <= std_ulogic_vector(ramAddr(colAddressBitNb-1 downto 0)); + else + memAddress <= (others => '-'); + end if; + end process buildAddresses; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd new file mode 100644 index 0000000..1392f04 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd @@ -0,0 +1,48 @@ +ARCHITECTURE RTL OF sdramControllerRefreshCounter IS + + signal delayCounter: unsigned(delayCounterBitNb-1 downto 0); + signal endOfDelay: std_ulogic; + +BEGIN + + countDelay : process(reset, clock) + begin + if reset = '1' then + delayCounter <= (others => '0'); + elsif rising_edge(clock) then + if endOfDelay = '1' then + delayCounter <= to_unsigned(1, delayCounter'length); + else + delayCounter <= delayCounter + 1; + end if; + end if; + end process countDelay; + + findEndOfDelay: process(powerUpDone, delayCounter) + begin + endOfDelay <= '0'; + if powerUpDone = '0' then + if delayCounter+1 = 0 then + endOfDelay <= '1'; + end if; + else + if delayCounter+1 >= refreshPeriodNb then + endOfDelay <= '1'; + end if; + end if; + end process findEndOfDelay; + + endOfRefreshCount <= endOfDelay; + + signalRefresh: process(powerUpDone, delayCounter) + begin + selectRefresh <= '0'; + if (powerUpDone = '1') and (delayCounter < 1024) then + if (delayCounter <= 16) or (delayCounter(3 downto 0) = 0) then + selectRefresh <= '1'; + end if; + end if; + end process signalRefresh; + + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerSR_RTL.vhd b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd new file mode 100644 index 0000000..2ec5bc8 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF sdramControllerSR IS +BEGIN + + setReset: process(reset, clock) + begin + if reset = '1' then + flag <= '0'; + elsif rising_edge(clock) then + if setFlag = '1' then + flag <= '1'; + elsif resetFlag = '1' then + flag <= '0'; + end if; + end if; + end process setReset; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd new file mode 100644 index 0000000..29bf09f --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd @@ -0,0 +1,17 @@ +ARCHITECTURE RTL OF sdramControllerSampleDataIn IS +BEGIN + + sampleRamData: process(reset, clock) + begin + if reset = '1' then + ramDataIn <= (others => '0'); + elsif falling_edge(clock) then + if sampleData = '1' then + ramDataIn <= memDataIn; + end if; + end if; + end process sampleRamData; + + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd new file mode 100644 index 0000000..d73bad4 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE RTL OF sdramControllerStoreData IS +BEGIN + + storeData : process(reset, clock) + begin + if reset = '1' then + memDataOut <= (others => '0'); + elsif rising_edge(clock) then + memDataOut <= ramDataOut; + end if; + end process storeData; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd new file mode 100644 index 0000000..352ef4a --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF sdramControllerTimingsShiftRegister IS + + --constant leadingZeroesNb: positive := 2; + --constant leadingZeroes: std_ulogic_vector(1 to leadingZeroesNb) := (others => '0'); + --signal shiftReg: std_ulogic_vector(1 to timerDone'high-leadingZeroesNb); + signal shiftReg: std_ulogic_vector(1 to timerDone'high); + +BEGIN + + shiftToken : process(reset, clock) + begin + if reset = '1' then + shiftReg <= (others => '0'); + elsif rising_edge(clock) then + shiftReg(1) <= timerStart; + shiftReg(2 to shiftReg'right) <= shiftReg(1 to shiftReg'right-1); + end if; + end process shiftToken; + + --timerDone <= leadingZeroes & shiftReg; + timerDone <= shiftReg; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hds/.hdlsidedata/_bramBinASCIIInit_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramBinASCIIInit_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramBinASCIIInit_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramDualport_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramDualport_bhv.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramDualport_bhv.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramHexASCIIInit_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramHexASCIIInit_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramHexASCIIInit_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_actel.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_actel.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_actel.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_hexRead.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_hexRead.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_hexRead.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_withInit.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_withInit.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_withInit.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/@f@i@f@o/struct.bd b/Libs/Memory/hds/@f@i@f@o/struct.bd new file mode 100644 index 0000000..ed772dd --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/struct.bd @@ -0,0 +1,4408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g0" +style 1 +insts [ +(Instance +name "I1" +duLibraryName "Memory" +duName "FIFO_oneRegister" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 530,0 +) +] +) +(FrameInstance +name "g1" +style 1 +insts [ +(Instance +name "I0" +duLibraryName "Memory" +duName "FIFO_bram" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +mwi 0 +uid 237,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\@f@i@f@o\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\@f@i@f@o\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\@f@i@f@o" +) +(vvPair +variable "d_logical" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\FIFO" +) +(vvPair +variable "date" +value "04.04.2023" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "13:26:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\@f@i@f@o\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\did-inverter\\Libs\\Memory\\hds\\FIFO\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:26:06" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 148,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "35000,17625,36500,18375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "36500,18000,37000,18000" +pts [ +"36500,18000" +"37000,18000" +] +) +] +) +stc 0 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "30200,17300,34000,18700" +st "clock" +ju 2 +blo "34000,18500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8600,10600,9800" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "35000,9625,36500,10375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "36500,10000,37000,10000" +pts [ +"36500,10000" +"37000,10000" +] +) +] +) +stc 0 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "29000,9300,34000,10700" +st "dataIn" +ju 2 +blo "34000,10500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-1000,13400,28700,14600" +st "dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "85500,9625,87000,10375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "85000,10000,85500,10000" +pts [ +"85000,10000" +"85500,10000" +] +) +] +) +stc 0 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "88000,9300,94000,10700" +st "dataOut" +blo "88000,10500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,11000,29200,12200" +st "dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "85500,11625,87000,12375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "85000,12000,85500,12000" +pts [ +"85000,12000" +"85500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "88000,11300,92700,12700" +st "empty" +blo "88000,12500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +) +xt "-1000,14600,11000,15800" +st "empty : std_ulogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 90 +xt "35000,11625,36500,12375" +) +(Line +uid 68,0 +sl 0 +ro 90 +xt "36500,12000,37000,12000" +pts [ +"37000,12000" +"36500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "31400,11300,34000,12700" +st "full" +ju 2 +blo "34000,12500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +) +xt "-1000,15800,9700,17000" +st "full : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "85500,13625,87000,14375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "85000,14000,85500,14000" +pts [ +"85500,14000" +"85000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "88000,13300,91700,14700" +st "read" +blo "88000,14500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +) +xt "-1000,12200,10400,13400" +st "read : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "35000,19625,36500,20375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "36500,20000,37000,20000" +pts [ +"36500,20000" +"37000,20000" +] +) +] +) +stc 0 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "29900,19300,34000,20700" +st "reset" +ju 2 +blo "34000,20500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +) +xt "-1000,9800,10500,11000" +st "reset : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "35000,13625,36500,14375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "36500,14000,37000,14000" +pts [ +"36500,14000" +"37000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "30000,13300,34000,14700" +st "write" +ju 2 +blo "34000,14500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +) +xt "-1000,7400,10300,8600" +st "write : std_ulogic" +) +) +*17 (SaComponent +uid 237,0 +optionalChildren [ +*18 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,13625,53000,14375" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "Verdana,8,0" +) +xt "54000,13500,56500,14500" +st "write" +blo "54000,14300" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*19 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,17625,53000,18375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "Verdana,8,0" +) +xt "54000,17500,56500,18500" +st "clock" +blo "54000,18300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*20 (CptPort +uid 213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,19625,53000,20375" +) +tg (CPTG +uid 215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 216,0 +va (VaSet +font "Verdana,8,0" +) +xt "54000,19500,56500,20500" +st "reset" +blo "54000,20300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,9625,69750,10375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +font "Verdana,8,0" +) +xt "64301,9500,68001,10500" +st "dataOut" +ju 2 +blo "68001,10300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 222,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,13625,69750,14375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +font "Verdana,8,0" +) +xt "65700,13500,68000,14500" +st "read" +ju 2 +blo "68000,14300" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*23 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,9625,53000,10375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "Verdana,8,0" +) +xt "53999,9500,57099,10500" +st "dataIn" +blo "53999,10300" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*24 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,11625,69750,12375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "Verdana,8,0" +) +xt "64900,11500,68000,12500" +st "empty" +ju 2 +blo "68000,12300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*25 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,11625,53000,12375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +font "Verdana,8,0" +) +xt "54000,11500,55700,12500" +st "full" +blo "54000,12300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,6000,69000,22000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 239,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 240,0 +va (VaSet +) +xt "53600,21800,58300,23000" +st "Memory" +blo "53600,22800" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 241,0 +va (VaSet +) +xt "53600,23000,60000,24200" +st "FIFO_bram" +blo "53600,24000" +tm "CptNameMgr" +) +*28 (Text +uid 242,0 +va (VaSet +) +xt "53600,24200,55500,25400" +st "I0" +blo "53600,25200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 243,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 244,0 +text (MLText +uid 245,0 +va (VaSet +) +xt "53000,25600,73600,28000" +st "dataBitNb = dataBitNb ( positive ) +depth = depth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +) +viewicon (ZoomableIcon +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,20250,54750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*29 (Grouping +uid 289,0 +optionalChildren [ +*30 (CommentText +uid 291,0 +shape (Rectangle +uid 292,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 293,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65500,57200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*31 (CommentText +uid 294,0 +shape (Rectangle +uid 295,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 296,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61500,74200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*32 (CommentText +uid 297,0 +shape (Rectangle +uid 298,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 299,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63500,57200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*33 (CommentText +uid 300,0 +shape (Rectangle +uid 301,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 302,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63500,53200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*34 (CommentText +uid 303,0 +shape (Rectangle +uid 304,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 305,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,88300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*35 (CommentText +uid 306,0 +shape (Rectangle +uid 307,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 308,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61500,78200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*36 (CommentText +uid 309,0 +shape (Rectangle +uid 310,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 311,0 +va (VaSet +fg "32768,0,0" +) +xt "58350,61400,68650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*37 (CommentText +uid 312,0 +shape (Rectangle +uid 313,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 314,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64500,53200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*38 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65500,53200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*39 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64500,57200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*40 (Frame +uid 427,0 +shape (RectFrame +uid 428,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,34000,73000,58000" +) +title (TextAssociate +uid 429,0 +ps "TopLeftStrategy" +text (MLText +uid 430,0 +va (VaSet +font "Verdana,8,0" +) +xt "48650,32500,62350,33500" +st "g0: IF depth <= 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 431,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 432,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,34300,50700,35700" +) +num (Text +uid 433,0 +va (VaSet +font "Verdana,8,0" +) +xt "49500,34500,50500,35500" +st "1" +blo "49500,35300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 434,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 435,0 +va (VaSet +font "Verdana,8,1" +) +xt "65000,58000,75000,59000" +st "Frame Declarations" +blo "65000,58800" +) +*42 (MLText +uid 436,0 +va (VaSet +font "Verdana,8,0" +) +xt "65000,59000,65000,59000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*43 (Frame +uid 437,0 +shape (RectFrame +uid 438,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,4000,73000,28000" +) +title (TextAssociate +uid 439,0 +ps "TopLeftStrategy" +text (MLText +uid 440,0 +va (VaSet +font "Verdana,8,0" +) +xt "49150,2500,62150,3500" +st "g1: IF depth > 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 441,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,4300,50700,5700" +) +num (Text +uid 443,0 +va (VaSet +font "Verdana,8,0" +) +xt "49500,4500,50500,5500" +st "2" +blo "49500,5300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 444,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 445,0 +va (VaSet +font "Verdana,8,1" +) +xt "65000,28000,75000,29000" +st "Frame Declarations" +blo "65000,28800" +) +*45 (MLText +uid 446,0 +va (VaSet +font "Verdana,8,0" +) +xt "65000,29000,65000,29000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*46 (SaComponent +uid 530,0 +optionalChildren [ +*47 (CptPort +uid 498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,43625,53000,44375" +) +tg (CPTG +uid 500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 501,0 +va (VaSet +) +xt "54000,43400,57100,44600" +st "write" +blo "54000,44400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*48 (CptPort +uid 502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,47625,53000,48375" +) +tg (CPTG +uid 504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 505,0 +va (VaSet +) +xt "54000,47400,57400,48600" +st "clock" +blo "54000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*49 (CptPort +uid 506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,49625,53000,50375" +) +tg (CPTG +uid 508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 509,0 +va (VaSet +) +xt "54000,49400,57300,50600" +st "reset" +blo "54000,50400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*50 (CptPort +uid 510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,39625,69750,40375" +) +tg (CPTG +uid 512,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 513,0 +va (VaSet +) +xt "63201,39400,68001,40600" +st "dataOut" +ju 2 +blo "68001,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*51 (CptPort +uid 514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,43625,69750,44375" +) +tg (CPTG +uid 516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 517,0 +va (VaSet +) +xt "65100,43400,68000,44600" +st "read" +ju 2 +blo "68000,44400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*52 (CptPort +uid 518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 519,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,39625,53000,40375" +) +tg (CPTG +uid 520,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 521,0 +va (VaSet +) +xt "53999,39400,57999,40600" +st "dataIn" +blo "53999,40400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*53 (CptPort +uid 522,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 523,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,41625,69750,42375" +) +tg (CPTG +uid 524,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 525,0 +va (VaSet +) +xt "64200,41400,68000,42600" +st "empty" +ju 2 +blo "68000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*54 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,41625,53000,42375" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 529,0 +va (VaSet +) +xt "54000,41400,56200,42600" +st "full" +blo "54000,42400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,36000,69000,52000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 532,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 533,0 +va (VaSet +) +xt "53600,51800,58300,53000" +st "Memory" +blo "53600,52800" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 534,0 +va (VaSet +) +xt "53600,52800,64200,54000" +st "FIFO_oneRegister" +blo "53600,53800" +tm "CptNameMgr" +) +*57 (Text +uid 535,0 +va (VaSet +) +xt "53600,53800,55500,55000" +st "I1" +blo "53600,54800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 536,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 537,0 +text (MLText +uid 538,0 +va (VaSet +font "Verdana,8,0" +) +xt "53000,56000,69900,57000" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 539,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,50250,54750,51750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*58 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,52250,18000" +pts [ +"37000,18000" +"52250,18000" +] +) +start &1 +end &19 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,16600,40800,18000" +st "clock" +blo "37000,17800" +tm "WireNameMgr" +) +) +on &2 +) +*59 (Wire +uid 29,0 +optionalChildren [ +*60 (BdJunction +uid 449,0 +ps "OnConnectorStrategy" +shape (Circle +uid 450,0 +va (VaSet +vasetType 1 +) +xt "46600,9600,47400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,10000,52250,10000" +pts [ +"37000,10000" +"52250,10000" +] +) +start &3 +end &23 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,8600,42000,10000" +st "dataIn" +blo "37000,9800" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 43,0 +optionalChildren [ +*62 (BdJunction +uid 447,0 +ps "OnConnectorStrategy" +shape (Circle +uid 448,0 +va (VaSet +vasetType 1 +) +xt "74600,9600,75400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,85000,10000" +pts [ +"85000,10000" +"69750,10000" +] +) +start &5 +end &21 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,8600,86000,10000" +st "dataOut" +blo "80000,9800" +tm "WireNameMgr" +) +) +on &6 +) +*63 (Wire +uid 57,0 +optionalChildren [ +*64 (BdJunction +uid 461,0 +ps "OnConnectorStrategy" +shape (Circle +uid 462,0 +va (VaSet +vasetType 1 +) +xt "76600,11600,77400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,85000,12000" +pts [ +"85000,12000" +"69750,12000" +] +) +start &7 +end &24 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,10600,85700,12000" +st "empty" +blo "81000,11800" +tm "WireNameMgr" +) +) +on &8 +) +*65 (Wire +uid 71,0 +optionalChildren [ +*66 (BdJunction +uid 463,0 +ps "OnConnectorStrategy" +shape (Circle +uid 464,0 +va (VaSet +vasetType 1 +) +xt "44600,11600,45400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "37000,12000,52250,12000" +pts [ +"37000,12000" +"52250,12000" +] +) +start &9 +end &25 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,10600,39600,12000" +st "full" +blo "37000,11800" +tm "WireNameMgr" +) +) +on &10 +) +*67 (Wire +uid 85,0 +optionalChildren [ +*68 (BdJunction +uid 459,0 +ps "OnConnectorStrategy" +shape (Circle +uid 460,0 +va (VaSet +vasetType 1 +) +xt "78600,13600,79400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,85000,14000" +pts [ +"85000,14000" +"69750,14000" +] +) +start &11 +end &22 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,12600,85700,14000" +st "read" +blo "82000,13800" +tm "WireNameMgr" +) +) +on &12 +) +*69 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,52250,20000" +pts [ +"37000,20000" +"52250,20000" +] +) +start &13 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,18600,41100,20000" +st "reset" +blo "37000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*70 (Wire +uid 113,0 +optionalChildren [ +*71 (BdJunction +uid 465,0 +ps "OnConnectorStrategy" +shape (Circle +uid 466,0 +va (VaSet +vasetType 1 +) +xt "42600,13600,43400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "37000,14000,52250,14000" +pts [ +"37000,14000" +"52250,14000" +] +) +start &15 +end &18 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,12600,41000,14000" +st "write" +blo "37000,13800" +tm "WireNameMgr" +) +) +on &16 +) +*72 (Wire +uid 363,0 +shape (OrthoPolyLine +uid 364,0 +va (VaSet +vasetType 3 +) +xt "45000,50000,52250,50000" +pts [ +"45000,50000" +"52250,50000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 370,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,48600,49100,50000" +st "reset" +blo "45000,49800" +tm "WireNameMgr" +) +) +on &14 +) +*73 (Wire +uid 371,0 +shape (OrthoPolyLine +uid 372,0 +va (VaSet +vasetType 3 +) +xt "43000,14000,52250,44000" +pts [ +"43000,14000" +"43000,44000" +"52250,44000" +] +) +start &71 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 377,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 378,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "48000,42600,52000,44000" +st "write" +blo "48000,43800" +tm "WireNameMgr" +) +) +on &16 +) +*74 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +) +xt "45000,48000,52250,48000" +pts [ +"45000,48000" +"52250,48000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 385,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,46600,48800,48000" +st "clock" +blo "45000,47800" +tm "WireNameMgr" +) +) +on &2 +) +*75 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,77000,42000" +pts [ +"77000,12000" +"77000,42000" +"69750,42000" +] +) +start &64 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 394,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "70000,40600,74700,42000" +st "empty" +blo "70000,41800" +tm "WireNameMgr" +) +) +on &8 +) +*76 (Wire +uid 395,0 +shape (OrthoPolyLine +uid 396,0 +va (VaSet +vasetType 3 +) +xt "45000,12000,52250,42000" +pts [ +"45000,12000" +"45000,42000" +"52250,42000" +] +) +start &66 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49000,40600,51600,42000" +st "full" +blo "49000,41800" +tm "WireNameMgr" +) +) +on &10 +) +*77 (Wire +uid 403,0 +shape (OrthoPolyLine +uid 404,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,10000,52250,40000" +pts [ +"47000,10000" +"47000,40000" +"52250,40000" +] +) +start &60 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "48000,38600,53000,40000" +st "dataIn" +blo "48000,39800" +tm "WireNameMgr" +) +) +on &4 +) +*78 (Wire +uid 411,0 +shape (OrthoPolyLine +uid 412,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,75000,40000" +pts [ +"75000,10000" +"75000,40000" +"69750,40000" +] +) +start &62 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 418,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "70000,38600,76000,40000" +st "dataOut" +blo "70000,39800" +tm "WireNameMgr" +) +) +on &6 +) +*79 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,79000,44000" +pts [ +"79000,14000" +"79000,44000" +"69750,44000" +] +) +start &68 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "70000,42600,73700,44000" +st "read" +blo "70000,43800" +tm "WireNameMgr" +) +) +on &12 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 137,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 138,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,0,3900,1000" +st "Package List" +blo "-3000,800" +) +*82 (MLText +uid 139,0 +va (VaSet +font "Verdana,8,0" +) +xt "-3000,1000,10600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 140,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*84 (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*85 (MLText +uid 143,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,2000,29300,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 144,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*87 (MLText +uid 145,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*89 (MLText +uid 147,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-4441,-1433,123006,67813" +cachedDiagramExtent "-3000,0,94000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 647,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,5600,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "1350,3200,6650,4400" +st "" +blo "1350,4200" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "1350,4400,6150,5600" +st "" +blo "1350,5400" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "1350,5600,3250,6800" +st "I0" +blo "1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1350,13200,1350,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-950,0,8950,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "-450,3200,3750,4400" +st "Library" +blo "-450,4200" +) +*94 (Text +va (VaSet +) +xt "-450,4400,8450,5600" +st "MWComponent" +blo "-450,5400" +) +*95 (Text +va (VaSet +) +xt "-450,5600,1450,6800" +st "I0" +blo "-450,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7450,1200,-7450,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "-200,3200,4000,4400" +st "Library" +blo "-200,4200" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "-200,4400,8200,5600" +st "SaComponent" +blo "-200,5400" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "-200,5600,1700,6800" +st "I0" +blo "-200,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7200,1200,-7200,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1200,0,9200,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "-700,3200,3500,4400" +st "Library" +blo "-700,4200" +) +*100 (Text +va (VaSet +) +xt "-700,4400,8700,5600" +st "VhdlComponent" +blo "-700,5400" +) +*101 (Text +va (VaSet +) +xt "-700,5600,1200,6800" +st "I0" +blo "-700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7700,1200,-7700,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1850,0,9850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "-1350,3200,2850,4400" +st "Library" +blo "-1350,4200" +) +*103 (Text +va (VaSet +) +xt "-1350,4400,9350,5600" +st "VerilogComponent" +blo "-1350,5400" +) +*104 (Text +va (VaSet +) +xt "-1350,5600,550,6800" +st "I0" +blo "-1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8350,1200,-8350,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "Verdana,8,0" +) +xt "3000,4000,5000,5000" +st "eb1" +blo "3000,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +font "Verdana,8,0" +) +xt "3000,5000,4000,6000" +st "1" +blo "3000,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "200,200,2500,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,0,3700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,1000,1300,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,8,0" +) +) +second (MLText +va (VaSet +font "Verdana,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,-1100,14200,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "Verdana,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "Verdana,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*108 (MLText +va (VaSet +font "Verdana,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,-1100,8800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "Verdana,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "Verdana,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*110 (MLText +va (VaSet +font "Verdana,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,5400,4000,6400" +st "Declarations" +blo "-3000,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,6400,400,7400" +st "Ports:" +blo "-3000,7200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5400,1800,6400" +st "Pre User:" +blo "-3000,6200" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-3000,17000,6000,18000" +st "Diagram Signals:" +blo "-3000,17800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-3000,5400,3000,6400" +st "Post User:" +blo "-3000,6200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +) +uid 121,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 123,0 +) +*126 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 125,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 127,0 +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 129,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 131,0 +) +*130 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 133,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*132 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *133 (MRCItem +litem &111 +pos 8 +dimension 20 +) +uid 165,0 +optionalChildren [ +*134 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 166,0 +) +*135 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 167,0 +) +*136 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*137 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 122,0 +) +*138 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 124,0 +) +*139 (MRCItem +litem &126 +pos 2 +dimension 20 +uid 126,0 +) +*140 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 128,0 +) +*141 (MRCItem +litem &128 +pos 4 +dimension 20 +uid 130,0 +) +*142 (MRCItem +litem &129 +pos 5 +dimension 20 +uid 132,0 +) +*143 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 134,0 +) +*144 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 169,0 +optionalChildren [ +*145 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 170,0 +) +*146 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 171,0 +) +*147 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 172,0 +) +*148 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 173,0 +) +*149 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 174,0 +) +*150 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 175,0 +) +*151 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 176,0 +) +*152 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 177,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *153 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*154 (RefLabelRowHdr +) +*155 (TitleRowHdr +) +*156 (FilterRowHdr +) +*157 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*158 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*159 (GroupColHdr +tm "GroupColHdrMgr" +) +*160 (NameColHdr +tm "GenericNameColHdrMgr" +) +*161 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*162 (InitColHdr +tm "GenericValueColHdrMgr" +) +*163 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*164 (EolColHdr +tm "GenericEolColHdrMgr" +) +*165 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 468,0 +) +*166 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 470,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 191,0 +optionalChildren [ +*167 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *168 (MRCItem +litem &153 +pos 2 +dimension 20 +) +uid 193,0 +optionalChildren [ +*169 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 194,0 +) +*170 (MRCItem +litem &155 +pos 1 +dimension 23 +uid 195,0 +) +*171 (MRCItem +litem &156 +pos 2 +hidden 1 +dimension 20 +uid 196,0 +) +*172 (MRCItem +litem &165 +pos 0 +dimension 20 +uid 467,0 +) +*173 (MRCItem +litem &166 +pos 1 +dimension 20 +uid 469,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*174 (MRCItem +litem &157 +pos 0 +dimension 20 +uid 198,0 +) +*175 (MRCItem +litem &159 +pos 1 +dimension 50 +uid 199,0 +) +*176 (MRCItem +litem &160 +pos 2 +dimension 100 +uid 200,0 +) +*177 (MRCItem +litem &161 +pos 3 +dimension 100 +uid 201,0 +) +*178 (MRCItem +litem &162 +pos 4 +dimension 50 +uid 202,0 +) +*179 (MRCItem +litem &163 +pos 5 +dimension 50 +uid 203,0 +) +*180 (MRCItem +litem &164 +pos 6 +dimension 80 +uid 204,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 192,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 2 +) diff --git a/Libs/Memory/hds/@f@i@f@o/symbol.sb b/Libs/Memory/hds/@f@i@f@o/symbol.sb new file mode 100644 index 0000000..c855d45 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/symbol.sb @@ -0,0 +1,1860 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 427,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 429,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 428,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 430,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO" +) +(vvPair +variable "date" +value "10/18/21" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/18/21" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "10:55:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:55:04" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38000,20400" +st "write" +blo "35000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38000,24400" +st "clock" +blo "35000,24200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38000,26400" +st "reset" +blo "35000,26200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44801,15400,49001,16400" +st "dataOut" +ju 2 +blo "49001,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46600,17400,49000,18400" +st "read" +ju 2 +blo "49000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38599,16400" +st "dataIn" +blo "34999,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "46000,19400,49000,20400" +st "empty" +ju 2 +blo "49000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37400,18400" +st "full" +blo "35000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,37000,29800" +st "FIFO" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1966,67,3594,1148" +viewArea "-1100,-1100,86010,55320" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3000,7700" +st "Ports:" +blo "0,7500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 522,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb new file mode 100644 index 0000000..413b3ae --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb @@ -0,0 +1,1848 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 295,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 297,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_bram" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_bram" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,40000,29800" +st "FIFO_bram" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1365,900" +viewArea "-1034,-1034,74634,50619" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 403,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb new file mode 100644 index 0000000..98aa032 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb @@ -0,0 +1,1828 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 270,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_oneRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_oneRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_oneRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,44800,29800" +st "FIFO_oneRegister" +blo "34600,29600" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,34700" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1366,900" +viewArea "-1000,-1000,74686,50617" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/_bram._epf b/Libs/Memory/hds/_bram._epf new file mode 100644 index 0000000..b06de60 --- /dev/null +++ b/Libs/Memory/hds/_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_actel.vhd +DEFAULT_ARCHITECTURE atom actel +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramBinASCIIInit._epf b/Libs/Memory/hds/_bramBinASCIIInit._epf new file mode 100644 index 0000000..6a3b66a --- /dev/null +++ b/Libs/Memory/hds/_bramBinASCIIInit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramBinASCIIInit_rtl.vhd +DEFAULT_ARCHITECTURE atom actel +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramHexASCIIInit._epf b/Libs/Memory/hds/_bramHexASCIIInit._epf new file mode 100644 index 0000000..a010835 --- /dev/null +++ b/Libs/Memory/hds/_bramHexASCIIInit._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramHexASCIIInit_rtl.vhd +DEFAULT_ARCHITECTURE atom actel +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramdualport._epf b/Libs/Memory/hds/_bramdualport._epf new file mode 100755 index 0000000..2f03b14 --- /dev/null +++ b/Libs/Memory/hds/_bramdualport._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramDualport_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramdualportwritefirst._epf b/Libs/Memory/hds/_bramdualportwritefirst._epf new file mode 100644 index 0000000..72f20fb --- /dev/null +++ b/Libs/Memory/hds/_bramdualportwritefirst._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramDualportWritefirst_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifo._epf b/Libs/Memory/hds/_fifo._epf new file mode 100644 index 0000000..30bfe35 --- /dev/null +++ b/Libs/Memory/hds/_fifo._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@i@f@o/struct.bd diff --git a/Libs/Memory/hds/_fifo_bram._epf b/Libs/Memory/hds/_fifo_bram._epf new file mode 100644 index 0000000..0037371 --- /dev/null +++ b/Libs/Memory/hds/_fifo_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_bram_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifo_oneregister._epf b/Libs/Memory/hds/_fifo_oneregister._epf new file mode 100644 index 0000000..f095563 --- /dev/null +++ b/Libs/Memory/hds/_fifo_oneregister._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_oneRegister_rtl.vhd +DEFAULT_ARCHITECTURE atom oneRegister +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifobridge._epf b/Libs/Memory/hds/_fifobridge._epf new file mode 100644 index 0000000..e35610c --- /dev/null +++ b/Libs/Memory/hds/_fifobridge._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgebuswidthadaption._epf b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf new file mode 100644 index 0000000..cd1cbce --- /dev/null +++ b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge@bus@width@adaption/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgerxtotx._epf b/Libs/Memory/hds/_fifobridgerxtotx._epf new file mode 100644 index 0000000..93a8c4c --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotx._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifobridgerxtotx_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf new file mode 100644 index 0000000..08136c5 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf new file mode 100644 index 0000000..a4ff292 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_flashcontroller._epf b/Libs/Memory/hds/_flashcontroller._epf new file mode 100644 index 0000000..388eac4 --- /dev/null +++ b/Libs/Memory/hds/_flashcontroller._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom flashController_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontroller._epf b/Libs/Memory/hds/_sdramcontroller._epf new file mode 100644 index 0000000..03cc304 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontroller._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf new file mode 100644 index 0000000..7e31da3 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerBuildAddress_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerfsm._epf b/Libs/Memory/hds/_sdramcontrollerfsm._epf new file mode 100644 index 0000000..4c6b139 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerfsm._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom sdram@controller@fsm/fsm.sm diff --git a/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf new file mode 100644 index 0000000..79c67be --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerRefreshCounter_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersampledatain._epf b/Libs/Memory/hds/_sdramcontrollersampledatain._epf new file mode 100644 index 0000000..d41ad8f --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersampledatain._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSampleDataIn_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersr._epf b/Libs/Memory/hds/_sdramcontrollersr._epf new file mode 100644 index 0000000..5909828 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersr._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSR_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerstoredata._epf b/Libs/Memory/hds/_sdramcontrollerstoredata._epf new file mode 100644 index 0000000..3decb83 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerstoredata._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerStoreData_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf new file mode 100644 index 0000000..8547bf2 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerTimingsShiftRegister_RTL.vhd diff --git a/Libs/Memory/hds/bram/symbol.sb b/Libs/Memory/hds/bram/symbol.sb new file mode 100644 index 0000000..69351fe --- /dev/null +++ b/Libs/Memory/hds/bram/symbol.sb @@ -0,0 +1,1771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 166,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*29 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*30 (MRCItem +litem &19 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*52 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*53 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 195,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 196,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 440,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 442,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 199,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 200,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 201,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 202,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 86 +uid 203,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram" +) +(vvPair +variable "date" +value "11.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "11.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:51:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "bram" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:51:22" +) +(vvPair +variable "unit" +value "bram" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43400,27700" +st "clock" +blo "40000,27500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,15100,10200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41900,25700" +st "en" +blo "40000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,15000,11100" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44400,21700" +st "writeEn" +blo "40000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,15500,12000" +st "writeEn : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,45800,15700" +st "addressIn" +blo "40000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,31000,12900" +st "addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44000,19700" +st "dataIn" +blo "40000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,29300,13800" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17700" +st "dataOut" +blo "40000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,29400,14700" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,29000,43400,30000" +st "Memory" +blo "39200,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,30000,42100,31000" +st "bram" +blo "39200,30800" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,32000,54400,37000" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18100,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "108,40,1411,907" +viewArea "-1100,-1100,69850,45826" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,7000,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8300,3400,9300" +st "Ports:" +blo "0,9100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,3000,15600" +st "User:" +blo "0,15400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,7600,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 676,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/bram@Bin@ASCII@Init/symbol.sb b/Libs/Memory/hds/bram@Bin@ASCII@Init/symbol.sb new file mode 100644 index 0000000..4e5855e --- /dev/null +++ b/Libs/Memory/hds/bram@Bin@ASCII@Init/symbol.sb @@ -0,0 +1,1771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 166,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*29 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*30 (MRCItem +litem &19 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*52 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*53 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 195,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 196,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 440,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 442,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 199,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 200,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 201,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 202,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 86 +uid 203,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init" +) +(vvPair +variable "date" +value "11.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramBinASCIIInit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "11.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:51:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "bramBinASCIIInit" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Bin@ASCII@Init\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:51:22" +) +(vvPair +variable "unit" +value "bramBinASCIIInit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43400,27700" +st "clock" +blo "40000,27500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,15100,10200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41900,25700" +st "en" +blo "40000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,15000,11100" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44400,21700" +st "writeEn" +blo "40000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,15500,12000" +st "writeEn : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,45800,15700" +st "addressIn" +blo "40000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,31000,12900" +st "addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44000,19700" +st "dataIn" +blo "40000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,29300,13800" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17700" +st "dataOut" +blo "40000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,29400,14700" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,29000,43400,30000" +st "Memory" +blo "39200,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,30000,42100,31000" +st "bramBinASCIIInit" +blo "39200,30800" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,32000,54400,37000" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18100,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "108,40,1411,907" +viewArea "-1100,-1100,69850,45826" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,7000,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8300,3400,9300" +st "Ports:" +blo "0,9100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,3000,15600" +st "User:" +blo "0,15400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,7600,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 676,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/bram@Hex@ASCII@Init/symbol.sb b/Libs/Memory/hds/bram@Hex@ASCII@Init/symbol.sb new file mode 100644 index 0000000..0df2eff --- /dev/null +++ b/Libs/Memory/hds/bram@Hex@ASCII@Init/symbol.sb @@ -0,0 +1,1771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 166,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*29 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*30 (MRCItem +litem &19 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*52 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*53 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 195,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 196,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 440,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 442,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 199,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 200,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 201,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 202,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 86 +uid 203,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init" +) +(vvPair +variable "date" +value "11.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramHexASCIIInit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "11.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:51:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "bramHexASCIIInit" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Libs\\Memory\\hds\\bram@Hex@ASCII@Init\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEHexPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/Hex" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:51:22" +) +(vvPair +variable "unit" +value "bramHexASCIIInit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43400,27700" +st "clock" +blo "40000,27500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,15100,10200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41900,25700" +st "en" +blo "40000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,15000,11100" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44400,21700" +st "writeEn" +blo "40000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,15500,12000" +st "writeEn : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,45800,15700" +st "addressIn" +blo "40000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,31000,12900" +st "addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44000,19700" +st "dataIn" +blo "40000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,29300,13800" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17700" +st "dataOut" +blo "40000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,29400,14700" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,29000,43400,30000" +st "Memory" +blo "39200,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "39200,30000,42100,31000" +st "bramHexASCIIInit" +blo "39200,30800" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,32000,54400,37000" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18100,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "108,40,1411,907" +viewArea "-1100,-1100,69850,45826" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,7000,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8300,3400,9300" +st "Ports:" +blo "0,9100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,3000,15600" +st "User:" +blo "0,15400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,7600,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 676,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/bram@dualport/symbol.sb b/Libs/Memory/hds/bram@dualport/symbol.sb new file mode 100644 index 0000000..1ec12d5 --- /dev/null +++ b/Libs/Memory/hds/bram@dualport/symbol.sb @@ -0,0 +1,2131 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 65,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 69,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 71,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +uid 73,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +uid 75,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +uid 77,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 166,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*32 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*33 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*34 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*35 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*36 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 66,0 +) +*37 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 70,0 +) +*38 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 72,0 +) +*39 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 74,0 +) +*40 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 76,0 +) +*41 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 78,0 +) +*42 (MRCItem +litem &25 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *66 (MRCItem +litem &51 +pos 2 +dimension 20 +) +uid 194,0 +optionalChildren [ +*67 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 195,0 +) +*68 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 196,0 +) +*69 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*70 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 440,0 +) +*71 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 442,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*72 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 199,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 200,0 +) +*74 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 201,0 +) +*75 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 202,0 +) +*76 (MRCItem +litem &60 +pos 4 +dimension 86 +uid 203,0 +) +*77 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 204,0 +) +*78 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bram@dualport/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bram@dualport/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bram@dualport" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bramDualport" +) +(vvPair +variable "date" +value "12/30/22" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "30" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramDualport" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "12/30/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "12:23:59" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Memory" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "bramDualport" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bram@dualport/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projects/NGRW/FPGA/Prefs/../Libs/Memory/hds/bramDualport/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "12:23:59" +) +(vvPair +variable "unit" +value "bramDualport" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43600,27500" +st "clockA" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18000,10100" +st "clockA : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*81 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41800,25500" +st "enA" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18000,11000" +st "enA : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*82 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44800,21500" +st "writeEnA" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "writeEnA : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*83 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,44800,15500" +st "addressA" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34000,12800" +st "addressA : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*84 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44200,19500" +st "dataInA" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,32500,13700" +st "dataInA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*85 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17500" +st "dataOutA" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32500,14600" +st "dataOutA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*86 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,26625,55750,27375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +) +xt "50400,26500,54000,27500" +st "clockB" +ju 2 +blo "54000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "clockB : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*87 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,24625,55750,25375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +) +xt "52200,24500,54000,25500" +st "enB" +ju 2 +blo "54000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,18000,16400" +st "enB : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*88 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,20625,55750,21375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +) +xt "49200,20500,54000,21500" +st "writeEnB" +ju 2 +blo "54000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,18000,17300" +st "writeEnB : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*89 (CptPort +uid 134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 135,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,14625,55750,15375" +) +tg (CPTG +uid 136,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 137,0 +va (VaSet +) +xt "49200,14500,54000,15500" +st "addressB" +ju 2 +blo "54000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,34000,18200" +st "addressB : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*90 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,18625,55750,19375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +) +xt "49800,18500,54000,19500" +st "dataInB" +ju 2 +blo "54000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,32500,19100" +st "dataInB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*91 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,16625,55750,17375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "49200,16500,54000,17500" +st "dataOutB" +ju 2 +blo "54000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,31500,20000" +st "dataOutB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,45700,30800" +st "bramDualport" +blo "39200,30600" +) +) +gi *92 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,52000,35600" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*93 (Grouping +uid 16,0 +optionalChildren [ +*94 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *104 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*106 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1196,524,2497,1391" +viewArea "-1100,-1100,70048,44836" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *107 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *108 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 628,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb new file mode 100644 index 0000000..67aa8c6 --- /dev/null +++ b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb @@ -0,0 +1,2162 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 65,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 69,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 71,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +uid 73,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +uid 75,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +uid 77,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 166,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*32 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*33 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*34 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*35 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*36 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 66,0 +) +*37 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 70,0 +) +*38 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 72,0 +) +*39 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 74,0 +) +*40 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 76,0 +) +*41 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 78,0 +) +*42 (MRCItem +litem &25 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*65 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*66 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *67 (MRCItem +litem &51 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*68 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 195,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 196,0 +) +*70 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*71 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 440,0 +) +*72 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 442,0 +) +*73 (MRCItem +litem &65 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*74 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 199,0 +) +*75 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 200,0 +) +*76 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 201,0 +) +*77 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 202,0 +) +*78 (MRCItem +litem &60 +pos 4 +dimension 86 +uid 203,0 +) +*79 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 204,0 +) +*80 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramDualportWritefirst" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bramDualportWritefirst" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "bramDualportWritefirst" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43600,27500" +st "clockA" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18000,10100" +st "clockA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41800,25500" +st "enA" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18000,11000" +st "enA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*84 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44800,21500" +st "writeEnA" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "writeEnA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*85 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,44800,15500" +st "addressA" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34000,12800" +st "addressA : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*86 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44200,19500" +st "dataInA" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,32500,13700" +st "dataInA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*87 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17500" +st "dataOutA" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32500,14600" +st "dataOutA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*88 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,26625,55750,27375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +) +xt "50400,26500,54000,27500" +st "clockB" +ju 2 +blo "54000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "clockB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*89 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,24625,55750,25375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +) +xt "52200,24500,54000,25500" +st "enB" +ju 2 +blo "54000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,18000,16400" +st "enB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*90 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,20625,55750,21375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +) +xt "49200,20500,54000,21500" +st "writeEnB" +ju 2 +blo "54000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,18000,17300" +st "writeEnB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*91 (CptPort +uid 134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 135,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,14625,55750,15375" +) +tg (CPTG +uid 136,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 137,0 +va (VaSet +) +xt "49200,14500,54000,15500" +st "addressB" +ju 2 +blo "54000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,34000,18200" +st "addressB : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*92 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,18625,55750,19375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +) +xt "49800,18500,54000,19500" +st "dataInB" +ju 2 +blo "54000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,32500,19100" +st "dataInB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*93 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,16625,55750,17375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "49200,16500,54000,17500" +st "dataOutB" +ju 2 +blo "54000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,31500,20000" +st "dataOutB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,50700,30800" +st "bramDualportWritefirst" +blo "39200,30600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,58500,36500" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,66200,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "116,36,1417,903" +viewArea "-1090,-1090,75403,50355" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 605,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge/struct.bd b/Libs/Memory/hds/fifo@bridge/struct.bd new file mode 100644 index 0000000..d58d1e9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/struct.bd @@ -0,0 +1,4613 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "rx1ToTx2" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1201,0 +) +(Instance +name "rx2ToTx1" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1242,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:16" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:16" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 209,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "28000,20625,29500,21375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "29500,21000,30000,21000" +pts [ +"29500,21000" +"30000,21000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,20300,27000,21600" +st "clock" +ju 2 +blo "27000,21300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,7200,10000,8100" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "28000,22625,29500,23375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "29500,23000,30000,23000" +pts [ +"29500,23000" +"30000,23000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,22300,27000,23600" +st "reset" +ju 2 +blo "27000,23300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,8100,10000,9000" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 177,0 +optionalChildren [ +*6 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,73400,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,72200,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*16 (PortIoOut +uid 774,0 +shape (CompositeShape +uid 775,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 776,0 +sl 0 +ro 270 +xt "62500,40625,64000,41375" +) +(Line +uid 777,0 +sl 0 +ro 270 +xt "62000,41000,62500,41000" +pts [ +"62000,41000" +"62500,41000" +] +) +] +) +tg (WTG +uid 778,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 779,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,40500,68500,41800" +st "txWr1" +blo "65000,41500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 786,0 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +declText (MLText +uid 787,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18000,10000,18900" +st "txWr1 : std_ulogic" +) +) +*18 (PortIoIn +uid 788,0 +shape (CompositeShape +uid 789,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 790,0 +sl 0 +ro 270 +xt "28000,12625,29500,13375" +) +(Line +uid 791,0 +sl 0 +ro 270 +xt "29500,13000,30000,13000" +pts [ +"29500,13000" +"30000,13000" +] +) +] +) +tg (WTG +uid 792,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 793,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,12500,27000,13800" +st "rxData1 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,13500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 800,0 +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +declText (MLText +uid 801,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9000,24500,9900" +st "rxData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 802,0 +shape (CompositeShape +uid 803,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 804,0 +sl 0 +ro 90 +xt "28000,16625,29500,17375" +) +(Line +uid 805,0 +sl 0 +ro 90 +xt "29500,17000,30000,17000" +pts [ +"30000,17000" +"29500,17000" +] +) +] +) +tg (WTG +uid 806,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 807,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,16300,27000,17600" +st "rxRd1" +ju 2 +blo "27000,17300" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 814,0 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +declText (MLText +uid 815,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,14400,10000,15300" +st "rxRd1 : std_ulogic" +) +) +*22 (PortIoIn +uid 816,0 +shape (CompositeShape +uid 817,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 818,0 +sl 0 +ro 90 +xt "62500,38625,64000,39375" +) +(Line +uid 819,0 +sl 0 +ro 90 +xt "62000,39000,62500,39000" +pts [ +"62500,39000" +"62000,39000" +] +) +] +) +tg (WTG +uid 820,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 821,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,38300,69900,39600" +st "txFull1" +blo "65000,39300" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 828,0 +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +declText (MLText +uid 829,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,12600,10000,13500" +st "txFull1 : std_ulogic" +) +) +*24 (PortIoIn +uid 830,0 +shape (CompositeShape +uid 831,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 832,0 +sl 0 +ro 270 +xt "28000,14625,29500,15375" +) +(Line +uid 833,0 +sl 0 +ro 270 +xt "29500,15000,30000,15000" +pts [ +"29500,15000" +"30000,15000" +] +) +] +) +tg (WTG +uid 834,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 835,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,14500,27000,15800" +st "rxEmpty1" +ju 2 +blo "27000,15500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 842,0 +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +declText (MLText +uid 843,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,10800,10000,11700" +st "rxEmpty1 : std_ulogic" +) +) +*26 (PortIoOut +uid 844,0 +shape (CompositeShape +uid 845,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 846,0 +sl 0 +ro 270 +xt "62500,36625,64000,37375" +) +(Line +uid 847,0 +sl 0 +ro 270 +xt "62000,37000,62500,37000" +pts [ +"62000,37000" +"62500,37000" +] +) +] +) +tg (WTG +uid 848,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 849,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,36500,88100,37800" +st "txData1 : (dataBitNb-1 DOWNTO 0)" +blo "65000,37500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 856,0 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +declText (MLText +uid 857,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,16200,24500,17100" +st "txData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (PortIoOut +uid 858,0 +shape (CompositeShape +uid 859,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 860,0 +sl 0 +ro 90 +xt "28000,40625,29500,41375" +) +(Line +uid 861,0 +sl 0 +ro 90 +xt "29500,41000,30000,41000" +pts [ +"30000,41000" +"29500,41000" +] +) +] +) +tg (WTG +uid 862,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 863,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,40300,27000,41600" +st "rxRd2" +ju 2 +blo "27000,41300" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 870,0 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +declText (MLText +uid 871,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,15300,10000,16200" +st "rxRd2 : std_ulogic" +) +) +*30 (PortIoIn +uid 872,0 +shape (CompositeShape +uid 873,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 874,0 +sl 0 +ro 270 +xt "28000,36625,29500,37375" +) +(Line +uid 875,0 +sl 0 +ro 270 +xt "29500,37000,30000,37000" +pts [ +"29500,37000" +"30000,37000" +] +) +] +) +tg (WTG +uid 876,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 877,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,36500,27000,37800" +st "rxData2 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,37500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 884,0 +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +declText (MLText +uid 885,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9900,24500,10800" +st "rxData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*32 (PortIoOut +uid 886,0 +shape (CompositeShape +uid 887,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 888,0 +sl 0 +ro 270 +xt "62500,12625,64000,13375" +) +(Line +uid 889,0 +sl 0 +ro 270 +xt "62000,13000,62500,13000" +pts [ +"62000,13000" +"62500,13000" +] +) +] +) +tg (WTG +uid 890,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 891,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,12500,88100,13800" +st "txData2 : (dataBitNb-1 DOWNTO 0)" +blo "65000,13500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 898,0 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +declText (MLText +uid 899,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,17100,24500,18000" +st "txData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*34 (PortIoIn +uid 900,0 +shape (CompositeShape +uid 901,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 902,0 +sl 0 +ro 90 +xt "62500,14625,64000,15375" +) +(Line +uid 903,0 +sl 0 +ro 90 +xt "62000,15000,62500,15000" +pts [ +"62500,15000" +"62000,15000" +] +) +] +) +tg (WTG +uid 904,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 905,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,14300,69900,15600" +st "txFull2" +blo "65000,15300" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 912,0 +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +declText (MLText +uid 913,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,13500,10000,14400" +st "txFull2 : std_ulogic" +) +) +*36 (PortIoIn +uid 914,0 +shape (CompositeShape +uid 915,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 916,0 +sl 0 +ro 270 +xt "28000,38625,29500,39375" +) +(Line +uid 917,0 +sl 0 +ro 270 +xt "29500,39000,30000,39000" +pts [ +"29500,39000" +"30000,39000" +] +) +] +) +tg (WTG +uid 918,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 919,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,38500,27000,39800" +st "rxEmpty2" +ju 2 +blo "27000,39500" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 926,0 +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +declText (MLText +uid 927,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,11700,10000,12600" +st "rxEmpty2 : std_ulogic" +) +) +*38 (PortIoOut +uid 928,0 +shape (CompositeShape +uid 929,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 930,0 +sl 0 +ro 270 +xt "62500,16625,64000,17375" +) +(Line +uid 931,0 +sl 0 +ro 270 +xt "62000,17000,62500,17000" +pts [ +"62000,17000" +"62500,17000" +] +) +] +) +tg (WTG +uid 932,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 933,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,16500,68500,17800" +st "txWr2" +blo "65000,17500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 940,0 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 941,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18900,10000,19800" +st "txWr2 : std_ulogic" +) +) +*40 (SaComponent +uid 1201,0 +optionalChildren [ +*41 (CptPort +uid 1169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 1171,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1172,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,41500,21300" +st "clock" +blo "39000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 1175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1176,0 +va (VaSet +font "courier,9,0" +) +xt "39000,22400,41500,23300" +st "reset" +blo "39000,23100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*43 (CptPort +uid 1177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 1179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1180,0 +va (VaSet +font "courier,9,0" +) +xt "50500,12400,53000,13300" +st "data2" +ju 2 +blo "53000,13100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*44 (CptPort +uid 1181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1182,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,14625,54750,15375" +) +tg (CPTG +uid 1183,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1184,0 +va (VaSet +font "courier,9,0" +) +xt "50500,14400,53000,15300" +st "full2" +ju 2 +blo "53000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*45 (CptPort +uid 1185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,16625,54750,17375" +) +tg (CPTG +uid 1187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1188,0 +va (VaSet +font "courier,9,0" +) +xt "51500,16400,53000,17300" +st "wr2" +ju 2 +blo "53000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*46 (CptPort +uid 1189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 1191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1192,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42000,15300" +st "empty1" +blo "39000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*47 (CptPort +uid 1193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 1195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1196,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,41500,13300" +st "data1" +blo "39000,13100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*48 (CptPort +uid 1197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1198,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,16625,38000,17375" +) +tg (CPTG +uid 1199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1200,0 +va (VaSet +font "courier,9,0" +) +xt "39000,16400,40500,17300" +st "rd1" +blo "39000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1202,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,25000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1203,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1204,0 +va (VaSet +font "courier,9,1" +) +xt "38600,24800,41600,25700" +st "memory" +blo "38600,25500" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1205,0 +va (VaSet +font "courier,9,1" +) +xt "38600,26000,47100,26900" +st "fifoBridgeRxToTx" +blo "38600,26700" +tm "CptNameMgr" +) +*51 (Text +uid 1206,0 +va (VaSet +font "courier,9,1" +) +xt "38600,27200,42600,28100" +st "rx1ToTx2" +blo "38600,27900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1207,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1208,0 +text (MLText +uid 1209,0 +va (VaSet +font "courier,8,0" +) +xt "38000,28400,103000,31100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 1242,0 +optionalChildren [ +*53 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,44625,38000,45375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "courier,9,0" +) +xt "39000,44400,41500,45300" +st "clock" +blo "39000,45100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*54 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,46625,38000,47375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "courier,9,0" +) +xt "39000,46400,41500,47300" +st "reset" +blo "39000,47100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*55 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "courier,9,0" +) +xt "50500,36400,53000,37300" +st "data2" +ju 2 +blo "53000,37100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,38625,54750,39375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "courier,9,0" +) +xt "50500,38400,53000,39300" +st "full2" +ju 2 +blo "53000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,40625,54750,41375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "courier,9,0" +) +xt "51500,40400,53000,41300" +st "wr2" +ju 2 +blo "53000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 1230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,38625,38000,39375" +) +tg (CPTG +uid 1232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1233,0 +va (VaSet +font "courier,9,0" +) +xt "39000,38400,42000,39300" +st "empty1" +blo "39000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*59 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,36625,38000,37375" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1237,0 +va (VaSet +font "courier,9,0" +) +xt "39000,36400,41500,37300" +st "data1" +blo "39000,37100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*60 (CptPort +uid 1238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1239,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,40625,38000,41375" +) +tg (CPTG +uid 1240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1241,0 +va (VaSet +font "courier,9,0" +) +xt "39000,40400,40500,41300" +st "rd1" +blo "39000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,33000,54000,49000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1244,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1245,0 +va (VaSet +font "courier,9,1" +) +xt "38600,48800,41600,49700" +st "memory" +blo "38600,49500" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 1246,0 +va (VaSet +font "courier,9,1" +) +xt "38600,49700,47100,50600" +st "fifoBridgeRxToTx" +blo "38600,50400" +tm "CptNameMgr" +) +*63 (Text +uid 1247,0 +va (VaSet +font "courier,9,1" +) +xt "38600,50600,42600,51500" +st "rx2ToTx1" +blo "38600,51300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1248,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1249,0 +text (MLText +uid 1250,0 +va (VaSet +font "courier,8,0" +) +xt "38000,52400,103000,55100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "30000,21000,37250,21000" +pts [ +"30000,21000" +"37250,21000" +] +) +start &1 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "30000,19600,33500,20900" +st "clock" +blo "30000,20600" +tm "WireNameMgr" +) +) +on &2 +) +*65 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "30000,23000,37250,23000" +pts [ +"30000,23000" +"37250,23000" +] +) +start &3 +end &42 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "30000,21600,33500,22900" +st "reset" +blo "30000,22600" +tm "WireNameMgr" +) +) +on &4 +) +*66 (Wire +uid 780,0 +shape (OrthoPolyLine +uid 781,0 +va (VaSet +vasetType 3 +) +xt "54750,41000,62000,41000" +pts [ +"54750,41000" +"62000,41000" +] +) +start &57 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 785,0 +va (VaSet +font "courier,12,0" +) +xt "58000,39600,61500,40900" +st "txWr1" +blo "58000,40600" +tm "WireNameMgr" +) +) +on &17 +) +*67 (Wire +uid 794,0 +shape (OrthoPolyLine +uid 795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,13000,37250,13000" +pts [ +"30000,13000" +"37250,13000" +] +) +start &18 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 799,0 +va (VaSet +font "courier,12,0" +) +xt "30000,11600,34900,12900" +st "rxData1" +blo "30000,12600" +tm "WireNameMgr" +) +) +on &19 +) +*68 (Wire +uid 808,0 +shape (OrthoPolyLine +uid 809,0 +va (VaSet +vasetType 3 +) +xt "30000,17000,37250,17000" +pts [ +"37250,17000" +"30000,17000" +] +) +start &48 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 812,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 813,0 +va (VaSet +font "courier,12,0" +) +xt "30000,15600,33500,16900" +st "rxRd1" +blo "30000,16600" +tm "WireNameMgr" +) +) +on &21 +) +*69 (Wire +uid 822,0 +shape (OrthoPolyLine +uid 823,0 +va (VaSet +vasetType 3 +) +xt "54750,39000,62000,39000" +pts [ +"62000,39000" +"54750,39000" +] +) +start &22 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 826,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 827,0 +va (VaSet +font "courier,12,0" +) +xt "58000,37600,62900,38900" +st "txFull1" +blo "58000,38600" +tm "WireNameMgr" +) +) +on &23 +) +*70 (Wire +uid 836,0 +shape (OrthoPolyLine +uid 837,0 +va (VaSet +vasetType 3 +) +xt "30000,15000,37250,15000" +pts [ +"30000,15000" +"37250,15000" +] +) +start &24 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 840,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 841,0 +va (VaSet +font "courier,12,0" +) +xt "30000,13600,35600,14900" +st "rxEmpty1" +blo "30000,14600" +tm "WireNameMgr" +) +) +on &25 +) +*71 (Wire +uid 850,0 +shape (OrthoPolyLine +uid 851,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,37000,62000,37000" +pts [ +"54750,37000" +"62000,37000" +] +) +start &55 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 854,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 855,0 +va (VaSet +font "courier,12,0" +) +xt "57000,35600,61900,36900" +st "txData1" +blo "57000,36600" +tm "WireNameMgr" +) +) +on &27 +) +*72 (Wire +uid 864,0 +shape (OrthoPolyLine +uid 865,0 +va (VaSet +vasetType 3 +) +xt "30000,41000,37250,41000" +pts [ +"37250,41000" +"30000,41000" +] +) +start &60 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 868,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 869,0 +va (VaSet +font "courier,12,0" +) +xt "30000,39600,33500,40900" +st "rxRd2" +blo "30000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*73 (Wire +uid 878,0 +shape (OrthoPolyLine +uid 879,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,37000,37250,37000" +pts [ +"30000,37000" +"37250,37000" +] +) +start &30 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 882,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 883,0 +va (VaSet +font "courier,12,0" +) +xt "30000,35600,34900,36900" +st "rxData2" +blo "30000,36600" +tm "WireNameMgr" +) +) +on &31 +) +*74 (Wire +uid 892,0 +shape (OrthoPolyLine +uid 893,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,13000,62000,13000" +pts [ +"54750,13000" +"62000,13000" +] +) +start &43 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 897,0 +va (VaSet +font "courier,12,0" +) +xt "57000,11600,61900,12900" +st "txData2" +blo "57000,12600" +tm "WireNameMgr" +) +) +on &33 +) +*75 (Wire +uid 906,0 +shape (OrthoPolyLine +uid 907,0 +va (VaSet +vasetType 3 +) +xt "54750,15000,62000,15000" +pts [ +"62000,15000" +"54750,15000" +] +) +start &34 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 910,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 911,0 +va (VaSet +font "courier,12,0" +) +xt "58000,13600,62900,14900" +st "txFull2" +blo "58000,14600" +tm "WireNameMgr" +) +) +on &35 +) +*76 (Wire +uid 920,0 +shape (OrthoPolyLine +uid 921,0 +va (VaSet +vasetType 3 +) +xt "30000,39000,37250,39000" +pts [ +"30000,39000" +"37250,39000" +] +) +start &36 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 924,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 925,0 +va (VaSet +font "courier,12,0" +) +xt "30000,37600,35600,38900" +st "rxEmpty2" +blo "30000,38600" +tm "WireNameMgr" +) +) +on &37 +) +*77 (Wire +uid 934,0 +shape (OrthoPolyLine +uid 935,0 +va (VaSet +vasetType 3 +) +xt "54750,17000,62000,17000" +pts [ +"54750,17000" +"62000,17000" +] +) +start &45 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 938,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 939,0 +va (VaSet +font "courier,12,0" +) +xt "58000,15600,61500,16900" +st "txWr2" +blo "58000,16600" +tm "WireNameMgr" +) +) +on &39 +) +*78 (Wire +uid 1099,0 +shape (OrthoPolyLine +uid 1100,0 +va (VaSet +vasetType 3 +) +xt "34000,47000,37250,47000" +pts [ +"34000,47000" +"37250,47000" +] +) +end &54 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1106,0 +va (VaSet +font "courier,12,0" +) +xt "32000,45600,35500,46900" +st "reset" +blo "32000,46600" +tm "WireNameMgr" +) +) +on &4 +) +*79 (Wire +uid 1107,0 +shape (OrthoPolyLine +uid 1108,0 +va (VaSet +vasetType 3 +) +xt "34000,45000,37250,45000" +pts [ +"34000,45000" +"37250,45000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1113,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1114,0 +va (VaSet +font "courier,12,0" +) +xt "32000,43600,35500,44900" +st "clock" +blo "32000,44600" +tm "WireNameMgr" +) +) +on &2 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 210,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 211,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*82 (MLText +uid 212,0 +va (VaSet +) +xt "-3000,1000,15600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 213,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 214,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*84 (Text +uid 215,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*85 (MLText +uid 216,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 217,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*87 (MLText +uid 218,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 219,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*89 (MLText +uid 220,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "367,41,1424,909" +viewArea "-4497,-1499,104998,72675" +cachedDiagramExtent "-3000,0,103000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1358,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "2450,3500,5550,4500" +st "" +blo "2450,4300" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "2450,4500,5150,5500" +st "" +blo "2450,5300" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "2450,5500,3050,6500" +st "I0" +blo "2450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*94 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*95 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*100 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*101 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*103 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*104 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*108 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*110 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,5400,3500,6300" +st "Declarations" +blo "-3000,6100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,6300,0,7200" +st "Ports:" +blo "-3000,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,19800,1500,20700" +st "Pre User:" +blo "-3000,20500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,20700,5500,21600" +st "Diagram Signals:" +blo "-3000,21400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,21600,2500,22500" +st "Post User:" +blo "-3000,22300" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 652,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 627,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 629,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 751,0 +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +) +uid 753,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +) +uid 755,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +) +uid 757,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +) +uid 759,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 761,0 +) +*132 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +) +uid 763,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +) +uid 765,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +) +uid 767,0 +) +*135 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +) +uid 769,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +) +uid 771,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 773,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 665,0 +optionalChildren [ +*138 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *139 (MRCItem +litem &111 +pos 14 +dimension 20 +) +uid 667,0 +optionalChildren [ +*140 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 668,0 +) +*141 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 669,0 +) +*142 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 670,0 +) +*143 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 628,0 +) +*144 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 630,0 +) +*145 (MRCItem +litem &126 +pos 6 +dimension 20 +uid 750,0 +) +*146 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 752,0 +) +*147 (MRCItem +litem &128 +pos 5 +dimension 20 +uid 754,0 +) +*148 (MRCItem +litem &129 +pos 4 +dimension 20 +uid 756,0 +) +*149 (MRCItem +litem &130 +pos 2 +dimension 20 +uid 758,0 +) +*150 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 760,0 +) +*151 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 762,0 +) +*152 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 764,0 +) +*153 (MRCItem +litem &134 +pos 10 +dimension 20 +uid 766,0 +) +*154 (MRCItem +litem &135 +pos 11 +dimension 20 +uid 768,0 +) +*155 (MRCItem +litem &136 +pos 12 +dimension 20 +uid 770,0 +) +*156 (MRCItem +litem &137 +pos 13 +dimension 20 +uid 772,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 671,0 +optionalChildren [ +*157 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 672,0 +) +*158 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 673,0 +) +*159 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 674,0 +) +*160 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 675,0 +) +*161 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 676,0 +) +*162 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 677,0 +) +*163 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 678,0 +) +*164 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 679,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 666,0 +vaOverrides [ +] +) +] +) +uid 651,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +uid 681,0 +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +*177 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 716,0 +) +*178 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 718,0 +) +*179 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 1428,0 +) +] +) +pdm (PhysicalDM +uid 693,0 +optionalChildren [ +*180 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *181 (MRCItem +litem &165 +pos 2 +dimension 20 +) +uid 695,0 +optionalChildren [ +*182 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 696,0 +) +*183 (MRCItem +litem &167 +pos 1 +dimension 23 +uid 697,0 +) +*184 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +uid 698,0 +) +*185 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 715,0 +) +*186 (MRCItem +litem &178 +pos 1 +dimension 20 +uid 717,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 699,0 +optionalChildren [ +*187 (MRCItem +litem &169 +pos 0 +dimension 20 +uid 700,0 +) +*188 (MRCItem +litem &171 +pos 1 +dimension 50 +uid 701,0 +) +*189 (MRCItem +litem &172 +pos 2 +dimension 100 +uid 702,0 +) +*190 (MRCItem +litem &173 +pos 3 +dimension 100 +uid 703,0 +) +*191 (MRCItem +litem &174 +pos 4 +dimension 50 +uid 704,0 +) +*192 (MRCItem +litem &175 +pos 5 +dimension 50 +uid 705,0 +) +*193 (MRCItem +litem &176 +pos 6 +dimension 80 +uid 706,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 694,0 +vaOverrides [ +] +) +] +) +uid 680,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory/hds/fifo@bridge/symbol.sb b/Libs/Memory/hds/fifo@bridge/symbol.sb new file mode 100644 index 0000000..f4e8acb --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/symbol.sb @@ -0,0 +1,2251 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2019,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 313,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 315,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +uid 316,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 318,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 319,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +uid 321,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 322,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 323,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +uid 423,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 326,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 245,0 +optionalChildren [ +*30 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 248,0 +) +*31 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 250,0 +) +*32 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*33 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 272,0 +) +*34 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 273,0 +) +*35 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 275,0 +) +*36 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 276,0 +) +*37 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 278,0 +) +*38 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 279,0 +) +*39 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 280,0 +) +*40 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*41 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*42 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*43 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*44 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*45 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*47 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 254,0 +) +*48 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 258,0 +) +*49 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 260,0 +) +*50 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 262,0 +) +*51 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 264,0 +) +*52 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 266,0 +) +*53 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 268,0 +) +*54 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 312,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 328,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +*67 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 308,0 +) +*68 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 309,0 +) +*69 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 566,0 +) +] +) +pdm (PhysicalDM +uid 329,0 +optionalChildren [ +*70 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *71 (MRCItem +litem &55 +pos 2 +dimension 20 +) +uid 284,0 +optionalChildren [ +*72 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 287,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 289,0 +) +*74 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 291,0 +) +*75 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 310,0 +) +*76 (MRCItem +litem &68 +pos 1 +dimension 20 +uid 311,0 +) +*77 (MRCItem +litem &69 +pos 2 +dimension 20 +uid 565,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 285,0 +optionalChildren [ +*78 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 293,0 +) +*79 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 297,0 +) +*80 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 299,0 +) +*81 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 301,0 +) +*82 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 303,0 +) +*83 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 305,0 +) +*84 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 307,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 283,0 +vaOverrides [ +] +) +] +) +uid 327,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,32625,40000,33375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "41000,32400,44400,33600" +st "clock" +blo "41000,33400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,18000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*87 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,34625,40000,35375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "41000,34400,44300,35600" +st "reset" +blo "41000,35400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,18000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*88 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,6625,40000,7375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "41000,6400,46600,7600" +st "rxEmpty1" +blo "41000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,18000,12300" +st "rxEmpty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +) +*89 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 448,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,4625,40000,5375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "41000,4400,45800,5600" +st "rxData1" +blo "41000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,32500,10500" +st "rxData1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*90 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,9,0" +) +xt "41000,12400,45200,13600" +st "txFull1" +blo "41000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 229,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,18000,14100" +st "txFull1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +) +*91 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,8625,40000,9375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "41000,8400,44700,9600" +st "rxRd1" +blo "41000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,18000,15900" +st "rxRd1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*92 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "courier,9,0" +) +xt "41000,14400,44700,15600" +st "txWr1" +blo "41000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18600,18000,19500" +st "txWr1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +) +*93 (CptPort +uid 376,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,10625,40000,11375" +) +tg (CPTG +uid 378,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 379,0 +va (VaSet +font "courier,9,0" +) +xt "41000,10400,45800,11600" +st "txData1" +blo "41000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16800,32500,17700" +st "txData1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +) +*94 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "courier,9,0" +) +xt "41000,22400,44700,23600" +st "rxRd2" +blo "41000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,18000,16800" +st "rxRd2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +) +*95 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +font "courier,9,0" +) +xt "41000,18400,45800,19600" +st "rxData2" +blo "41000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32500,11400" +st "rxData2 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +) +*96 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,24625,40000,25375" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 394,0 +va (VaSet +font "courier,9,0" +) +xt "41000,24400,45800,25600" +st "txData2" +blo "41000,25400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17700,32500,18600" +st "txData2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +) +*97 (CptPort +uid 396,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,26625,40000,27375" +) +tg (CPTG +uid 398,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 399,0 +va (VaSet +font "courier,9,0" +) +xt "41000,26400,45200,27600" +st "txFull2" +blo "41000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,18000,15000" +st "txFull2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +) +*98 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 404,0 +va (VaSet +font "courier,9,0" +) +xt "41000,20400,46600,21600" +st "rxEmpty2" +blo "41000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 405,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,18000,13200" +st "rxEmpty2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +) +*99 (CptPort +uid 406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 407,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,28625,40000,29375" +) +tg (CPTG +uid 408,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 409,0 +va (VaSet +font "courier,9,0" +) +xt "41000,28400,44700,29600" +st "txWr2" +blo "41000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 410,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19500,17000,20400" +st "txWr2 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,1000,56000,37000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,36800,43600,37700" +st "Memory" +blo "40600,37500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,37700,46100,38600" +st "fifoBridge" +blo "40600,38400" +) +) +gi *100 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,39800,93000,44300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*101 (Grouping +uid 16,0 +optionalChildren [ +*102 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*107 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*109 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*110 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*111 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*114 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "165,83,1343,971" +viewArea "-1071,-1071,74572,59980" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20400,2500,21300" +st "User:" +blo "0,21100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21300,2000,21300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 589,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd new file mode 100644 index 0000000..ede687a --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd @@ -0,0 +1,3912 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g_txbigger0" +style 1 +insts [ +(Instance +name "Txbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 251,0 +) +] +) +(FrameInstance +name "g_rxbigger0" +style 1 +insts [ +(Instance +name "Rxbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 241,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "63000,15500,65600,16500" +st "dataTx" +blo "63000,16300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2000,48000,2900" +st "dataTx : std_ulogic_vector(dataBitNbTx-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-5700,15500,-3000,16500" +st "dataRx" +ju 2 +blo "-3000,16300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2800,48000,3700" +st "dataRx : std_ulogic_vector(dataBitNbRx-1 DOWNTO 0)" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 90 +xt "60500,17625,62000,18375" +) +(Line +uid 40,0 +sl 0 +ro 90 +xt "60000,18000,60500,18000" +pts [ +"60500,18000" +"60000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "63000,17500,65200,18500" +st "fullTx" +blo "63000,18300" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "22000,3600,32500,4500" +st "fullTx : std_ulogic" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "60500,19625,62000,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "60000,20000,60500,20000" +pts [ +"60000,20000" +"60500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "63000,19500,65800,20500" +st "writeTx" +blo "63000,20300" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "22000,4400,32500,5300" +st "writeTx : std_ulogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,17625,-500,18375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,18000,0,18000" +pts [ +"-500,18000" +"0,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-6200,17500,-3000,18500" +st "emptyRx" +ju 2 +blo "-3000,18300" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "22000,5200,32500,6100" +st "emptyRx : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,47625,-500,48375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,48000,0,48000" +pts [ +"-500,48000" +"0,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-5100,47500,-3000,48500" +st "reset" +ju 2 +blo "-3000,48300" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6000,32500,6900" +st "reset : std_uLogic" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 90 +xt "-2000,19625,-500,20375" +) +(Line +uid 96,0 +sl 0 +ro 90 +xt "-500,20000,0,20000" +pts [ +"0,20000" +"-500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-5800,19500,-3000,20500" +st "readRx" +ju 2 +blo "-3000,20300" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6800,32500,7700" +st "readRx : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,46625,-500,47375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,47000,0,47000" +pts [ +"-500,47000" +"0,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-5100,46500,-3000,47500" +st "clock" +ju 2 +blo "-3000,47300" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "22000,7600,32500,8500" +st "clock : std_ulogic" +) +) +*17 (Grouping +uid 141,0 +optionalChildren [ +*18 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-1000,14000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-1000,14000,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-5000,18000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-5000,17800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-3000,14000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-3000,13400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-3000,-3000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-3000,-3200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-4000,34000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-3800,27400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,-5000,34000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,-5000,20000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 161,0 +shape (Rectangle +uid 162,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-5000,14000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 163,0 +va (VaSet +fg "32768,0,0" +) +xt "-1000,-4500,8000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 164,0 +shape (Rectangle +uid 165,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-2000,-3000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 166,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-2000,-3800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*26 (CommentText +uid 167,0 +shape (Rectangle +uid 168,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-1000,-3000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 169,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-1000,-3200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 170,0 +shape (Rectangle +uid 171,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-2000,14000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 172,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-2000,7400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-7000,-5000,34000,0" +) +oxt "14000,66000,55000,71000" +) +*28 (Blk +uid 241,0 +shape (Rectangle +uid 242,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,15000,37000,26000" +) +ttg (MlTextGroup +uid 243,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 244,0 +va (VaSet +font "courier,8,1" +) +xt "29300,20500,32600,21500" +st "Memory" +blo "29300,21300" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 245,0 +va (VaSet +font "courier,8,1" +) +xt "29300,21500,48400,22500" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "29300,22300" +tm "BlkNameMgr" +) +*31 (Text +uid 246,0 +va (VaSet +font "courier,8,1" +) +xt "29300,22500,33500,23500" +st "Rxbigger0" +blo "29300,23300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 247,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 248,0 +text (MLText +uid 249,0 +va (VaSet +font "courier,8,0" +) +xt "29000,13400,51000,15200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,24250,30750,25750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*32 (Blk +uid 251,0 +shape (Rectangle +uid 252,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,33000,37000,44000" +) +oxt "29000,30000,37000,41000" +ttg (MlTextGroup +uid 253,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 254,0 +va (VaSet +font "courier,8,1" +) +xt "29300,38500,32600,39500" +st "Memory" +blo "29300,39300" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 255,0 +va (VaSet +font "courier,8,1" +) +xt "29300,39500,48200,40500" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "29300,40300" +tm "BlkNameMgr" +) +*35 (Text +uid 256,0 +va (VaSet +font "courier,8,1" +) +xt "29300,40500,33400,41500" +st "Txbigger0" +blo "29300,41300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 257,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 258,0 +text (MLText +uid 259,0 +va (VaSet +font "courier,8,0" +) +xt "29000,31400,51000,33200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 260,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,42250,30750,43750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*36 (Frame +uid 416,0 +shape (RectFrame +uid 417,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,30000,59000,45000" +) +title (TextAssociate +uid 418,0 +ps "TopLeftStrategy" +text (MLText +uid 419,0 +va (VaSet +) +xt "5350,28500,35950,29500" +st "g_txbigger0: IF dataBitNbTx > dataBitNbRx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 420,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 421,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,30300,6600,31700" +) +num (Text +uid 422,0 +va (VaSet +) +xt "5600,30500,6400,31500" +st "2" +blo "5600,31300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 423,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 424,0 +va (VaSet +font "courier,8,1" +) +xt "53000,45000,60900,46000" +st "Frame Declarations" +blo "53000,45800" +) +*38 (MLText +uid 425,0 +va (VaSet +) +xt "53000,46000,53000,46000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*39 (Frame +uid 426,0 +shape (RectFrame +uid 427,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,13000,59000,27000" +) +title (TextAssociate +uid 428,0 +ps "TopLeftStrategy" +text (MLText +uid 429,0 +va (VaSet +) +xt "5350,11500,36550,12500" +st "g_rxbigger0: IF dataBitNbRx >= dataBitNbTx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 430,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 431,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,13300,6600,14700" +) +num (Text +uid 432,0 +va (VaSet +) +xt "5600,13500,6400,14500" +st "1" +blo "5600,14300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 433,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 434,0 +va (VaSet +font "courier,8,1" +) +xt "53000,27000,60900,28000" +st "Frame Declarations" +blo "53000,27800" +) +*41 (MLText +uid 435,0 +va (VaSet +) +xt "53000,28000,53000,28000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*42 (Wire +uid 15,0 +optionalChildren [ +*43 (BdJunction +uid 339,0 +ps "OnConnectorStrategy" +shape (Circle +uid 340,0 +va (VaSet +vasetType 1 +) +xt "41600,15600,42400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,60000,16000" +pts [ +"60000,16000" +"37000,16000" +] +) +start &1 +end &28 +sat 32 +eat 2 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "46000,15000,56000,16000" +st "dataTx : (dataBitNbTx-1:0)" +blo "46000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*44 (Wire +uid 29,0 +optionalChildren [ +*45 (BdJunction +uid 331,0 +ps "OnConnectorStrategy" +shape (Circle +uid 332,0 +va (VaSet +vasetType 1 +) +xt "23600,15600,24400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,16000,29000,16000" +pts [ +"0,16000" +"29000,16000" +] +) +start &3 +end &28 +sat 32 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,15000,12200,16000" +st "dataRx : (dataBitNbRx-1:0)" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &4 +) +*46 (Wire +uid 43,0 +optionalChildren [ +*47 (BdJunction +uid 347,0 +ps "OnConnectorStrategy" +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +) +xt "42600,17600,43400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,60000,18000" +pts [ +"60000,18000" +"37000,18000" +] +) +start &5 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "49000,17000,51200,18000" +st "fullTx" +blo "49000,17800" +tm "WireNameMgr" +) +) +on &6 +) +*48 (Wire +uid 57,0 +optionalChildren [ +*49 (BdJunction +uid 355,0 +ps "OnConnectorStrategy" +shape (Circle +uid 356,0 +va (VaSet +vasetType 1 +) +xt "43600,19600,44400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,60000,20000" +pts [ +"60000,20000" +"37000,20000" +] +) +start &7 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "46000,19000,48800,20000" +st "writeTx" +blo "46000,19800" +tm "WireNameMgr" +) +) +on &8 +) +*50 (Wire +uid 71,0 +optionalChildren [ +*51 (BdJunction +uid 323,0 +ps "OnConnectorStrategy" +shape (Circle +uid 324,0 +va (VaSet +vasetType 1 +) +xt "22600,17600,23400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,18000,29000,18000" +pts [ +"0,18000" +"29000,18000" +] +) +start &9 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,17000,5200,18000" +st "emptyRx" +blo "2000,17800" +tm "WireNameMgr" +) +) +on &10 +) +*52 (Wire +uid 85,0 +optionalChildren [ +*53 (BdJunction +uid 283,0 +ps "OnConnectorStrategy" +shape (Circle +uid 284,0 +va (VaSet +vasetType 1 +) +xt "26600,47600,27400,48400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,48000,65000,48000" +pts [ +"0,48000" +"65000,48000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,47000,4100,48000" +st "reset" +blo "2000,47800" +tm "WireNameMgr" +) +) +on &12 +) +*54 (Wire +uid 99,0 +optionalChildren [ +*55 (BdJunction +uid 315,0 +ps "OnConnectorStrategy" +shape (Circle +uid 316,0 +va (VaSet +vasetType 1 +) +xt "21600,19600,22400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,20000,29000,20000" +pts [ +"0,20000" +"29000,20000" +] +) +start &13 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "19000,19000,21800,20000" +st "readRx" +blo "19000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*56 (Wire +uid 113,0 +optionalChildren [ +*57 (BdJunction +uid 299,0 +ps "OnConnectorStrategy" +shape (Circle +uid 300,0 +va (VaSet +vasetType 1 +) +xt "25600,46600,26400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,47000,65000,47000" +pts [ +"0,47000" +"65000,47000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,46000,4100,47000" +st "clock" +blo "2000,46800" +tm "WireNameMgr" +) +) +on &16 +) +*58 (Wire +uid 277,0 +optionalChildren [ +*59 (BdJunction +uid 291,0 +ps "OnConnectorStrategy" +shape (Circle +uid 292,0 +va (VaSet +vasetType 1 +) +xt "26600,41600,27400,42400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "27000,24000,29000,48000" +pts [ +"27000,48000" +"27000,24000" +"29000,24000" +] +) +start &53 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 282,0 +va (VaSet +) +xt "26000,23000,28100,24000" +st "reset" +blo "26000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*60 (Wire +uid 285,0 +shape (OrthoPolyLine +uid 286,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,29000,42000" +pts [ +"27000,42000" +"29000,42000" +] +) +start &59 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "26000,41000,28100,42000" +st "reset" +blo "26000,41800" +tm "WireNameMgr" +) +) +on &12 +) +*61 (Wire +uid 293,0 +optionalChildren [ +*62 (BdJunction +uid 307,0 +ps "OnConnectorStrategy" +shape (Circle +uid 308,0 +va (VaSet +vasetType 1 +) +xt "25600,39600,26400,40400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 294,0 +va (VaSet +vasetType 3 +) +xt "26000,22000,29000,47000" +pts [ +"26000,47000" +"26000,22000" +"29000,22000" +] +) +start &57 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 297,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +) +xt "26000,21000,28100,22000" +st "clock" +blo "26000,21800" +tm "WireNameMgr" +) +) +on &16 +) +*63 (Wire +uid 301,0 +shape (OrthoPolyLine +uid 302,0 +va (VaSet +vasetType 3 +) +xt "26000,40000,29000,40000" +pts [ +"26000,40000" +"29000,40000" +] +) +start &62 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 305,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 306,0 +va (VaSet +) +xt "26000,39000,28100,40000" +st "clock" +blo "26000,39800" +tm "WireNameMgr" +) +) +on &16 +) +*64 (Wire +uid 309,0 +shape (OrthoPolyLine +uid 310,0 +va (VaSet +vasetType 3 +) +xt "22000,20000,29000,38000" +pts [ +"22000,20000" +"22000,38000" +"29000,38000" +] +) +start &55 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 313,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 314,0 +va (VaSet +) +xt "25000,37000,27800,38000" +st "readRx" +blo "25000,37800" +tm "WireNameMgr" +) +) +on &14 +) +*65 (Wire +uid 317,0 +shape (OrthoPolyLine +uid 318,0 +va (VaSet +vasetType 3 +) +xt "23000,18000,29000,36000" +pts [ +"23000,18000" +"23000,36000" +"29000,36000" +] +) +start &51 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 322,0 +va (VaSet +) +xt "25000,35000,28200,36000" +st "emptyRx" +blo "25000,35800" +tm "WireNameMgr" +) +) +on &10 +) +*66 (Wire +uid 325,0 +shape (OrthoPolyLine +uid 326,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,16000,29000,34000" +pts [ +"24000,16000" +"24000,34000" +"29000,34000" +] +) +start &45 +end &32 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 329,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "25000,33000,27700,34000" +st "dataRx" +blo "25000,33800" +tm "WireNameMgr" +) +) +on &4 +) +*67 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,42000,34000" +pts [ +"42000,16000" +"42000,34000" +"37000,34000" +] +) +start &43 +end &32 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "39000,33000,41600,34000" +st "dataTx" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &2 +) +*68 (Wire +uid 341,0 +shape (OrthoPolyLine +uid 342,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,43000,36000" +pts [ +"43000,18000" +"43000,36000" +"37000,36000" +] +) +start &47 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 346,0 +va (VaSet +) +xt "39000,35000,41200,36000" +st "fullTx" +blo "39000,35800" +tm "WireNameMgr" +) +) +on &6 +) +*69 (Wire +uid 349,0 +shape (OrthoPolyLine +uid 350,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,44000,38000" +pts [ +"44000,20000" +"44000,38000" +"37000,38000" +] +) +start &49 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 353,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 354,0 +va (VaSet +) +xt "39000,37000,41800,38000" +st "writeTx" +blo "39000,37800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *70 (PackageList +uid 173,0 +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 174,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*72 (MLText +uid 175,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 176,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 177,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*74 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*75 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*76 (Text +uid 180,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*77 (MLText +uid 181,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*79 (MLText +uid 183,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "54,0,1681,1050" +viewArea "-19800,-14500,85125,52625" +cachedDiagramExtent "-7000,-5000,65800,48500" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,-49000" +lastUid 917,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*81 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*82 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*84 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*85 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*87 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*88 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*90 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*91 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*93 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*94 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*96 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*98 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "20000,0,25400,1000" +st "Declarations" +blo "20000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "20000,1000,22700,2000" +st "Ports:" +blo "20000,1800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,23800,1000" +st "Pre User:" +blo "20000,800" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "20000,8400,27100,9400" +st "Diagram Signals:" +blo "20000,9200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,24700,1000" +st "Post User:" +blo "20000,800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *101 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*102 (RefLabelRowHdr +) +*103 (TitleRowHdr +) +*104 (FilterRowHdr +) +*105 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*106 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*107 (GroupColHdr +tm "GroupColHdrMgr" +) +*108 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*109 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*110 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*111 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*112 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*113 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*114 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 121,0 +) +*115 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 123,0 +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 125,0 +) +*117 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 127,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 129,0 +) +*119 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 131,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 133,0 +) +*121 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*122 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *123 (MRCItem +litem &101 +pos 8 +dimension 20 +) +uid 201,0 +optionalChildren [ +*124 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 202,0 +) +*125 (MRCItem +litem &103 +pos 1 +dimension 23 +uid 203,0 +) +*126 (MRCItem +litem &104 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 20 +uid 122,0 +) +*128 (MRCItem +litem &115 +pos 2 +dimension 20 +uid 124,0 +) +*129 (MRCItem +litem &116 +pos 4 +dimension 20 +uid 126,0 +) +*130 (MRCItem +litem &117 +pos 7 +dimension 20 +uid 128,0 +) +*131 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 130,0 +) +*132 (MRCItem +litem &119 +pos 6 +dimension 20 +uid 132,0 +) +*133 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 134,0 +) +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*135 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 206,0 +) +*136 (MRCItem +litem &107 +pos 1 +dimension 50 +uid 207,0 +) +*137 (MRCItem +litem &108 +pos 2 +dimension 100 +uid 208,0 +) +*138 (MRCItem +litem &109 +pos 3 +dimension 50 +uid 209,0 +) +*139 (MRCItem +litem &110 +pos 4 +dimension 100 +uid 210,0 +) +*140 (MRCItem +litem &111 +pos 5 +dimension 100 +uid 211,0 +) +*141 (MRCItem +litem &112 +pos 6 +dimension 50 +uid 212,0 +) +*142 (MRCItem +litem &113 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *143 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "GenericNameColHdrMgr" +) +*151 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*152 (InitColHdr +tm "GenericValueColHdrMgr" +) +*153 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*154 (EolColHdr +tm "GenericEolColHdrMgr" +) +*155 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 137,0 +) +*156 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 139,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*157 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *158 (MRCItem +litem &143 +pos 2 +dimension 20 +) +uid 229,0 +optionalChildren [ +*159 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 230,0 +) +*160 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 231,0 +) +*161 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*162 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 138,0 +) +*163 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 140,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*164 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 234,0 +) +*165 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 235,0 +) +*166 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 236,0 +) +*167 (MRCItem +litem &151 +pos 3 +dimension 100 +uid 237,0 +) +*168 (MRCItem +litem &152 +pos 4 +dimension 50 +uid 238,0 +) +*169 (MRCItem +litem &153 +pos 5 +dimension 50 +uid 239,0 +) +*170 (MRCItem +litem &154 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 2 +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb new file mode 100644 index 0000000..344ccbd --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb @@ -0,0 +1,1810 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 148,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 150,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 152,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 154,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 156,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 158,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +uid 160,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +uid 162,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 68,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 149,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 151,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 153,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 157,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 159,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 161,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 188,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 190,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 97,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 98,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 189,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 101,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 102,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 103,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 104,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 105,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 106,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,21625,15000,22375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "16000,21500,18100,22500" +st "clock" +blo "16000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "clock : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*73 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,15625,23750,16375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "19400,15500,22000,16500" +st "dataTx" +ju 2 +blo "22000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,75000,2900" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*74 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,15625,15000,16375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "16000,15500,18700,16500" +st "dataRx" +blo "16000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*75 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "16000,17500,19200,18500" +st "emptyRx" +blo "16000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "emptyRx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*76 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,17625,23750,18375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +) +xt "19800,17500,22000,18500" +st "fullTx" +ju 2 +blo "22000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "fullTx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*77 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,19625,15000,20375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +) +xt "16000,19500,18800,20500" +st "readRx" +blo "16000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*78 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,23625,15000,24375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "16000,23500,18100,24500" +st "reset" +blo "16000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +) +*79 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 144,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,19625,23750,20375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +) +xt "19200,19500,22000,20500" +st "writeTx" +ju 2 +blo "22000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 147,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "writeTx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 187,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,14000,23000,26000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26000,18600,26900" +st "Memory" +blo "15600,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26900,29100,27800" +st "fifoBridgeBusWidthAdaption" +blo "15600,27600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,10800,27500,14400" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-1000,-10000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-1000,-11800,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-5000,-6000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-5000,-6200,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-3000,-10000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-3000,-10600,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-3000,-27000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-3000,-27200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-4000,10000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-3800,3400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-5000,10000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-5000,-4000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-5000,-10000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "-25000,-4500,-16000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-2000,-27000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-2000,-27800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-1000,-27000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-1000,-27200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-2000,-10000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-2000,-16600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-31000,-5000,10000,0" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "54,0,1681,1050" +viewArea "-39700,-13800,65825,53925" +cachedDiagramExtent "-31000,-5000,76500,28000" +hasePageBreakOrigin 1 +pageBreakOrigin "-31000,-49000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 308,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb new file mode 100644 index 0000000..de73111 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb @@ -0,0 +1,1875 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 309,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 310,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 311,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 312,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 313,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +uid 314,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 315,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 316,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 317,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 318,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 245,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 248,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 250,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*27 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 271,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 272,0 +) +*29 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 273,0 +) +*30 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 274,0 +) +*31 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 275,0 +) +*32 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 276,0 +) +*33 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 277,0 +) +*34 (MRCItem +litem &9 +pos 2 +dimension 20 +uid 278,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 254,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 258,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 260,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 262,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 264,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 266,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 268,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 308,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 304,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 305,0 +) +*57 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 370,0 +) +] +) +pdm (PhysicalDM +uid 321,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 280,0 +optionalChildren [ +*60 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 283,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 285,0 +) +*62 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 287,0 +) +*63 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 306,0 +) +*64 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 307,0 +) +*65 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 371,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 281,0 +optionalChildren [ +*66 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 289,0 +) +*67 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 293,0 +) +*68 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 295,0 +) +*69 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 297,0 +) +*70 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 299,0 +) +*71 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 301,0 +) +*72 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 303,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 279,0 +vaOverrides [ +] +) +] +) +uid 319,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTx" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "36000,21400,39400,22600" +st "clock" +blo "36000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,23625,35000,24375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "36000,23400,39300,24600" +st "reset" +blo "36000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +font "courier,9,0" +) +xt "46400,13400,50000,14600" +st "data2" +ju 2 +blo "50000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,31500,10500" +st "data2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +font "courier,9,0" +) +xt "47100,15400,50000,16600" +st "full2" +ju 2 +blo "50000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,17000,11400" +st "full2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 243,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +font "courier,9,0" +) +xt "47500,17400,50000,18600" +st "wr2" +ju 2 +blo "50000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17000,12300" +st "wr2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "36000,15400,40500,16600" +st "empty1" +blo "36000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17000,14100" +st "empty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*80 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,13625,35000,14375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "36000,13400,39600,14600" +st "data1" +blo "36000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,31500,13200" +st "data1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*81 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "36000,17400,38400,18600" +st "rd1" +blo "36000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16000,15000" +st "rd1 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,10000,51000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "35600,25800,38600,26700" +st "Memory" +blo "35600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "35600,26700,44100,27600" +st "fifoBridgeRxToTx" +blo "35600,27400" +) +) +gi *82 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,28800,88000,33300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*83 (Grouping +uid 16,0 +optionalChildren [ +*84 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*89 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *94 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*96 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1428,42,-414,976" +viewArea "-1000,-1000,72284,71447" +cachedDiagramExtent "0,0,89500,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 394,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface new file mode 100644 index 0000000..841657b --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +uid 504,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 506,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +uid 508,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 510,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +uid 512,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 514,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +uid 516,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +uid 518,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 505,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 507,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 509,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 511,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 513,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 515,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 517,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 519,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 467,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 468,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +) +*73 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 470,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 478,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +) +*75 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*76 (CptPort +uid 484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 485,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 487,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 488,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +) +*77 (CptPort +uid 489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 490,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 491,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 492,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 493,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*78 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 497,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +) +*79 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1680,156,-663,846" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 519,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface new file mode 100644 index 0000000..e2805d9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 40,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +uid 583,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +uid 585,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +uid 587,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +uid 589,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +uid 591,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 593,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +uid 595,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +uid 597,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 584,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 586,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 588,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 590,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 592,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 594,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 596,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 598,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 547,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +) +*73 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +) +*74 (CptPort +uid 553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 556,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 557,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +) +*75 (CptPort +uid 558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 561,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 562,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +) +*76 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 567,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +) +*77 (CptPort +uid 568,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 569,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 570,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 571,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 572,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +) +*78 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 576,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 577,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +) +*79 (CptPort +uid 578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 580,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 581,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 582,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "200,185,1217,875" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 598,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/flash@controller/symbol.sb b/Libs/Memory/hds/flash@controller/symbol.sb new file mode 100644 index 0000000..2795c5f --- /dev/null +++ b/Libs/Memory/hds/flash@controller/symbol.sb @@ -0,0 +1,2518 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +uid 423,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +uid 425,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +uid 427,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +uid 429,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +uid 726,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 201,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 424,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 426,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 428,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 430,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 493,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 727,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*76 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*77 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +uid 649,0 +) +*78 (LogGeneric +generic (GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +uid 751,0 +) +*79 (LogGeneric +generic (GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +uid 753,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 5 +dimension 20 +) +uid 229,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 230,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 231,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*85 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 543,0 +) +*86 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 545,0 +) +*87 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 650,0 +) +*88 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 752,0 +) +*89 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 754,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*90 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 234,0 +) +*91 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 235,0 +) +*92 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 236,0 +) +*93 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 237,0 +) +*94 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 238,0 +) +*95 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 239,0 +) +*96 (MRCItem +litem &74 +pos 6 +dimension 157 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "flashController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "flashController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,26625,37000,27375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "38000,26500,40100,27500" +st "clock" +blo "38000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*99 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,18625,37000,19375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "38000,18500,43700,19500" +st "flashDataValid" +blo "38000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,19000,24100" +st "flashDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*100 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,8625,37000,9375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "38000,8500,41600,9500" +st "flashAddr" +blo "38000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,30500,14200" +st "flashAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*101 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,8625,53750,9375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "46800,8500,52000,9500" +st "memAddress" +ju 2 +blo "52000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,38000,25000" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*102 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "38000,12500,43200,13500" +st "flashDataOut" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,33500,15100" +st "flashDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*103 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,10625,53750,11375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "47800,10500,52000,11500" +st "memDataIn" +ju 2 +blo "52000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,33500,20500" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*104 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,12625,53750,13375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "46800,12500,52000,13500" +st "memDataOut" +ju 2 +blo "52000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,33500,25900" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*105 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,16625,53750,17375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "48200,16500,52000,17500" +st "memWr_n" +ju 2 +blo "52000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,18000,28600" +st "memWr_n : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*106 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,24625,37000,25375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "38000,24500,40900,25500" +st "flashEn" +blo "38000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,19000,16000" +st "flashEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*107 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "38000,14500,41000,15500" +st "flashRd" +blo "38000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "flashRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*108 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,16625,37000,17375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "38000,16500,41000,17500" +st "flashWr" +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "flashWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*109 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,28625,37000,29375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "38000,28500,40100,29500" +st "reset" +blo "38000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,19000,21400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*110 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,20625,53750,21375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "48000,20500,52000,21500" +st "memRst_n" +ju 2 +blo "52000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "memRst_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*111 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,22625,53750,23375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "48500,22500,52000,23500" +st "flashSTS" +ju 2 +blo "52000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "flashSTS : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*112 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,14625,53750,15375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "48100,14500,52000,15500" +st "flashCE_n" +ju 2 +blo "52000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,19000,22300" +st "flashCE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*113 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,18625,53750,19375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "48100,18500,52000,19500" +st "memOE_n" +ju 2 +blo "52000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "memOE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*114 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "38000,10500,42600,11500" +st "flashDataIn" +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,33500,23200" +st "flashDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*115 (CptPort +uid 721,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 722,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,22625,37000,23375" +) +tg (CPTG +uid 723,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 724,0 +va (VaSet +) +xt "38000,22500,43400,23500" +st "memBusEn_n" +blo "38000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 725,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "memBusEn_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,5000,53000,31000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37550,31500,40550,32400" +st "Memory" +blo "37550,32200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37550,32400,45550,33300" +st "flashController" +blo "37550,33100" +) +) +gi *116 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "37000,35200,65000,41500" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 24 +rdWaitState positive 10 --< 66MHz * 160ns = 10.56 +wrWaitState positive 6 --> 66MHz * 90ns = 5.94 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +(GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +(GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*117 (Grouping +uid 16,0 +optionalChildren [ +*118 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*124 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*126 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*127 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*130 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "38,97,1401,992" +viewArea "-3000,-1000,73045,48580" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *131 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *132 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28600,500,29500" +st "User:" +blo "-2000,29300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,0,29500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 800,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/struct.bd b/Libs/Memory/hds/sdram@controller/struct.bd new file mode 100644 index 0000000..d8adef0 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/struct.bd @@ -0,0 +1,9775 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_5" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2332,0 +) +(Instance +name "U_6" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2398,0 +) +(Instance +name "U_2" +duLibraryName "memory" +duName "sdramControllerTimingsShiftRegister" +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +mwi 0 +uid 3238,0 +) +(Instance +name "U_4" +duLibraryName "memory" +duName "sdramControllerStoreData" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3306,0 +) +(Instance +name "U_7" +duLibraryName "memory" +duName "sdramControllerSampleDataIn" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3336,0 +) +(Instance +name "U_1" +duLibraryName "memory" +duName "sdramControllerRefreshCounter" +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +mwi 0 +uid 3568,0 +) +(Instance +name "U_3" +duLibraryName "memory" +duName "sdramControllerBuildAddress" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +mwi 0 +uid 3925,0 +) +(Instance +name "U_0" +duLibraryName "memory" +duName "sdramControllerFsm" +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +mwi 0 +uid 4230,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "162500,36625,164000,37375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "162000,37000,162500,37000" +pts [ +"162000,37000" +"162500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,36300,174700,37700" +st "memAddress" +blo "165000,37500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 63,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,82200,26500,83100" +st "memAddress : std_ulogic_vector( chipAddressBitNb-1 DOWNTO 0 )" +) +) +*3 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "83500,75625,85000,76375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "83000,76000,83500,76000" +pts [ +"83500,76000" +"83000,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "86000,75300,94100,76700" +st "memDataIn" +blo "86000,76500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 91,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,73800,22000,74700" +st "memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "162500,60625,164000,61375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "162000,61000,162500,61000" +pts [ +"162000,61000" +"162500,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,60300,174900,61700" +st "memDataOut" +blo "165000,61500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 105,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,84600,22000,85500" +st "memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "122500,82625,124000,83375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "122000,83000,122500,83000" +pts [ +"122000,83000" +"122500,83000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,82300,132200,83700" +st "memWr_n" +blo "125000,83500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 119,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,85800,7500,86700" +st "memWr_n : std_ulogic" +) +) +*9 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "48000,52625,49500,53375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "49500,53000,50000,53000" +pts [ +"49500,53000" +"50000,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "42200,52300,47000,53700" +st "ramEn" +ju 2 +blo "47000,53500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 133,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,77400,7500,78300" +st "ramEn : std_ulogic" +) +) +*11 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "8000,48625,9500,49375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "9500,49000,10000,49000" +pts [ +"9500,49000" +"10000,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2200,48300,7000,49700" +st "ramRd" +ju 2 +blo "7000,49500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 147,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,78600,7500,79500" +st "ramRd : std_ulogic" +) +) +*13 (PortIoIn +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "8000,26625,9500,27375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "9500,27000,10000,27000" +pts [ +"9500,27000" +"10000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2000,26300,7000,27700" +st "ramWr" +ju 2 +blo "7000,27500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 161,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,79800,7500,80700" +st "ramWr : std_ulogic" +) +) +*15 (PortIoIn +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "52000,56625,53500,57375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "53500,57000,54000,57000" +pts [ +"53500,57000" +"54000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "46900,56300,51000,57700" +st "reset" +ju 2 +blo "51000,57500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 175,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,81000,7500,81900" +st "reset : std_ulogic" +) +) +*17 (PortIoOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 270 +xt "122500,80625,124000,81375" +) +(Line +uid 180,0 +sl 0 +ro 270 +xt "122000,81000,122500,81000" +pts [ +"122000,81000" +"122500,81000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,80300,131300,81700" +st "sdCas_n" +blo "125000,81500" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 189,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,89400,7500,90300" +st "sdCas_n : std_ulogic" +) +) +*19 (PortIoOut +uid 191,0 +shape (CompositeShape +uid 192,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 193,0 +sl 0 +ro 270 +xt "162500,18625,164000,19375" +) +(Line +uid 194,0 +sl 0 +ro 270 +xt "162000,19000,162500,19000" +pts [ +"162000,19000" +"162500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 195,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,18300,169700,19700" +st "sdCke" +blo "165000,19500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 203,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +declText (MLText +uid 204,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,90600,7500,91500" +st "sdCke : std_ulogic" +) +) +*21 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "162500,20625,164000,21375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "162000,21000,162500,21000" +pts [ +"162000,21000" +"162500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,20300,169200,21700" +st "sdClk" +blo "165000,21500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 217,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,91800,7500,92700" +st "sdClk : std_ulogic" +) +) +*23 (PortIoOut +uid 219,0 +shape (CompositeShape +uid 220,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 221,0 +sl 0 +ro 270 +xt "122500,76625,124000,77375" +) +(Line +uid 222,0 +sl 0 +ro 270 +xt "122000,77000,122500,77000" +pts [ +"122000,77000" +"122500,77000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 223,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 224,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,76300,130500,77700" +st "sdCs_n" +blo "125000,77500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 231,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +declText (MLText +uid 232,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,93000,7500,93900" +st "sdCs_n : std_ulogic" +) +) +*25 (PortIoOut +uid 261,0 +shape (CompositeShape +uid 262,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 263,0 +sl 0 +ro 270 +xt "122500,78625,124000,79375" +) +(Line +uid 264,0 +sl 0 +ro 270 +xt "122000,79000,122500,79000" +pts [ +"122000,79000" +"122500,79000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 265,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 266,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,78300,131200,79700" +st "sdRas_n" +blo "125000,79500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 273,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +declText (MLText +uid 274,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,95400,7500,96300" +st "sdRas_n : std_ulogic" +) +) +*27 (Grouping +uid 313,0 +optionalChildren [ +*28 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,123000,153000,124000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,123000,151200,124000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,119000,157000,120000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,119000,156800,120000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,121000,153000,122000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,121000,152400,122000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,121000,136000,122000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,121000,135800,122000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,120000,173000,124000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,120200,166400,121200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "157000,119000,173000,120000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "157200,119000,159000,120000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,119000,153000,121000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "138000,119500,147000,120500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,122000,136000,123000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,122000,135200,123000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,123000,136000,124000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,123000,135800,124000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*37 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,122000,153000,123000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,122000,150600,123000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "132000,119000,173000,124000" +) +oxt "14000,66000,55000,71000" +) +*38 (PortIoIn +uid 599,0 +shape (CompositeShape +uid 600,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 601,0 +sl 0 +ro 270 +xt "52000,54625,53500,55375" +) +(Line +uid 602,0 +sl 0 +ro 270 +xt "53500,55000,54000,55000" +pts [ +"53500,55000" +"54000,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 603,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 604,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "47200,54300,51000,55700" +st "clock" +ju 2 +blo "51000,55500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 611,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,72600,7500,73500" +st "clock : std_ulogic" +) +) +*40 (PortIoOut +uid 613,0 +shape (CompositeShape +uid 614,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 615,0 +sl 0 +ro 270 +xt "82500,52625,84000,53375" +) +(Line +uid 616,0 +sl 0 +ro 270 +xt "82000,53000,82500,53000" +pts [ +"82000,53000" +"82500,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 617,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 618,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "85000,52300,95000,53700" +st "ramDataValid" +blo "85000,53500" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 625,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 626,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,88200,7500,89100" +st "ramDataValid : std_ulogic" +) +) +*42 (PortIoIn +uid 627,0 +shape (CompositeShape +uid 628,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 629,0 +sl 0 +ro 270 +xt "128000,36625,129500,37375" +) +(Line +uid 630,0 +sl 0 +ro 270 +xt "129500,37000,130000,37000" +pts [ +"129500,37000" +"130000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 631,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 632,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "120900,36300,127000,37700" +st "ramAddr" +ju 2 +blo "127000,37500" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 639,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +declText (MLText +uid 640,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,75000,19000,75900" +st "ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*44 (PortIoIn +uid 641,0 +shape (CompositeShape +uid 642,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 643,0 +sl 0 +ro 270 +xt "128000,60625,129500,61375" +) +(Line +uid 644,0 +sl 0 +ro 270 +xt "129500,61000,130000,61000" +pts [ +"129500,61000" +"130000,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 645,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 646,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "117700,60300,127000,61700" +st "ramDataOut" +ju 2 +blo "127000,61500" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 653,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +declText (MLText +uid 654,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,76200,22000,77100" +st "ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*46 (PortIoOut +uid 655,0 +shape (CompositeShape +uid 656,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 657,0 +sl 0 +ro 90 +xt "48000,75625,49500,76375" +) +(Line +uid 658,0 +sl 0 +ro 90 +xt "49500,76000,50000,76000" +pts [ +"50000,76000" +"49500,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 659,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 660,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39500,75300,47000,76700" +st "ramDataIn" +ju 2 +blo "47000,76500" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 667,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +declText (MLText +uid 668,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,87000,22000,87900" +st "ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*48 (PortIoOut +uid 1316,0 +shape (CompositeShape +uid 1317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1318,0 +sl 0 +ro 270 +xt "122500,84625,124000,85375" +) +(Line +uid 1319,0 +sl 0 +ro 270 +xt "122000,85000,122500,85000" +pts [ +"122000,85000" +"122500,85000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1321,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,84300,130100,85700" +st "sdDqm" +blo "125000,85500" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 1328,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +declText (MLText +uid 1329,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,94200,17000,95100" +st "sdDqm : std_ulogic_vector(1 DOWNTO 0)" +) +) +*50 (PortIoOut +uid 1330,0 +shape (CompositeShape +uid 1331,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1332,0 +sl 0 +ro 270 +xt "162500,38625,164000,39375" +) +(Line +uid 1333,0 +sl 0 +ro 270 +xt "162000,39000,162500,39000" +pts [ +"162000,39000" +"162500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1334,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1335,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,38300,177800,39700" +st "memBankAddress" +blo "165000,39500" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 1342,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +declText (MLText +uid 1343,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,83400,28500,84300" +st "memBankAddress : std_ulogic_vector( chipBankAddressBitNb-1 DOWNTO 0 )" +) +) +*52 (HdlText +uid 1607,0 +optionalChildren [ +*53 (EmbeddedText +uid 1613,0 +commentText (CommentText +uid 1614,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1615,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,18000,154000,24000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1616,0 +va (VaSet +font "courier,9,0" +) +xt "138200,18200,148200,20000" +st " +sdCke <= '1'; +sdClk <= not clock; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1608,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,17000,154000,25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1609,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 1610,0 +va (VaSet +font "courier,9,0" +) +xt "138150,25000,140150,26200" +st "eb1" +blo "138150,25900" +tm "HdlTextNameMgr" +) +*55 (Text +uid 1611,0 +va (VaSet +font "courier,9,0" +) +xt "138150,26200,139150,27400" +st "1" +blo "138150,27100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1612,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,23250,139750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*56 (Net +uid 1665,0 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +declText (MLText +uid 1666,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,112600,11000,113500" +st "SIGNAL powerUpDone : std_ulogic" +) +) +*57 (Net +uid 1681,0 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +declText (MLText +uid 1682,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,111400,11000,112300" +st "SIGNAL endOfRefreshCount : std_ulogic" +) +) +*58 (Net +uid 1695,0 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +declText (MLText +uid 1696,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,110200,28500,111100" +st "SIGNAL commandBus : std_ulogic_vector(commandBusBitNb-1 DOWNTO 0)" +) +) +*59 (HdlText +uid 1697,0 +optionalChildren [ +*60 (EmbeddedText +uid 1703,0 +commentText (CommentText +uid 1704,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1705,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,74000,114000,82000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1706,0 +va (VaSet +font "courier,9,0" +) +xt "98200,74200,114200,79600" +st " +sdCs_n <= commandBus(5); +sdRas_n <= commandBus(4); +sdCas_n <= commandBus(3); +memWr_n <= commandBus(2); +sdDqm <= commandBus(1 downto 0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1698,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,73000,114000,89000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1699,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1700,0 +va (VaSet +font "courier,9,0" +) +xt "98150,89000,100150,90200" +st "eb2" +blo "98150,89900" +tm "HdlTextNameMgr" +) +*62 (Text +uid 1701,0 +va (VaSet +font "courier,9,0" +) +xt "98150,90200,99150,91400" +st "2" +blo "98150,91100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1702,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,87250,99750,88750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*63 (Net +uid 1785,0 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +declText (MLText +uid 1786,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,118600,11000,119500" +st "SIGNAL timerStart : std_ulogic" +) +) +*64 (Net +uid 1801,0 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +declText (MLText +uid 1802,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,117400,26000,118300" +st "SIGNAL timerDone : std_ulogic_vector(1 TO maxDelayPeriodNb)" +) +) +*65 (Net +uid 1924,0 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +declText (MLText +uid 1925,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,107800,11000,108700" +st "SIGNAL addrSelPrecharge : std_ulogic" +) +) +*66 (Net +uid 2051,0 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +declText (MLText +uid 2052,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,106600,11000,107500" +st "SIGNAL addrSelModeReg : std_ulogic" +) +) +*67 (Net +uid 2266,0 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +declText (MLText +uid 2267,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,121000,11000,121900" +st "SIGNAL writeRequest : std_ulogic" +) +) +*68 (Net +uid 2276,0 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +declText (MLText +uid 2277,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,119800,11000,120700" +st "SIGNAL writeAck : std_ulogic" +) +) +*69 (SaComponent +uid 2332,0 +optionalChildren [ +*70 (CptPort +uid 2312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,32625,18000,33375" +) +tg (CPTG +uid 2314,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2315,0 +va (VaSet +) +xt "19000,32500,21100,33500" +st "clock" +blo "19000,33300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 2316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,26625,18000,27375" +) +tg (CPTG +uid 2318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2319,0 +va (VaSet +) +xt "19000,26500,22000,27500" +st "setFlag" +blo "19000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*72 (CptPort +uid 2320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,34625,18000,35375" +) +tg (CPTG +uid 2322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2323,0 +va (VaSet +) +xt "19000,34500,21100,35500" +st "reset" +blo "19000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*73 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,28625,18000,29375" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2327,0 +va (VaSet +) +xt "19000,28500,22700,29500" +st "resetFlag" +blo "19000,29300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*74 (CptPort +uid 2328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,26625,34750,27375" +) +tg (CPTG +uid 2330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2331,0 +va (VaSet +) +xt "31300,26500,33000,27500" +st "flag" +ju 2 +blo "33000,27300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 2333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,23000,34000,37000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 2335,0 +va (VaSet +font "courier,8,1" +) +xt "18000,37000,21300,38000" +st "memory" +blo "18000,37800" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 2336,0 +va (VaSet +font "courier,8,1" +) +xt "18000,38000,26000,39000" +st "sdramControllerSR" +blo "18000,38800" +tm "CptNameMgr" +) +*77 (Text +uid 2337,0 +va (VaSet +font "courier,8,1" +) +xt "18000,39000,19800,40000" +st "U_5" +blo "18000,39800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2339,0 +text (MLText +uid 2340,0 +va (VaSet +font "courier,8,0" +) +xt "18000,40200,18000,40200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,35250,19750,36750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 2366,0 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +declText (MLText +uid 2367,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,109000,11000,109900" +st "SIGNAL addrSelRow : std_ulogic" +) +) +*79 (Net +uid 2384,0 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +declText (MLText +uid 2385,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,105400,11000,106300" +st "SIGNAL addrSelCol : std_ulogic" +) +) +*80 (SaComponent +uid 2398,0 +optionalChildren [ +*81 (CptPort +uid 2408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,54625,18000,55375" +) +tg (CPTG +uid 2410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2411,0 +va (VaSet +) +xt "19000,54500,21100,55500" +st "clock" +blo "19000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*82 (CptPort +uid 2412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,48625,18000,49375" +) +tg (CPTG +uid 2414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2415,0 +va (VaSet +) +xt "19000,48500,22000,49500" +st "setFlag" +blo "19000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +) +) +) +*83 (CptPort +uid 2416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,56625,18000,57375" +) +tg (CPTG +uid 2418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2419,0 +va (VaSet +) +xt "19000,56500,21100,57500" +st "reset" +blo "19000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*84 (CptPort +uid 2420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,50625,18000,51375" +) +tg (CPTG +uid 2422,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +) +xt "19000,50500,22700,51500" +st "resetFlag" +blo "19000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +) +) +) +*85 (CptPort +uid 2424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,48625,34750,49375" +) +tg (CPTG +uid 2426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2427,0 +va (VaSet +) +xt "31300,48500,33000,49500" +st "flag" +ju 2 +blo "33000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 2399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,45000,34000,59000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2400,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 2401,0 +va (VaSet +font "courier,8,1" +) +xt "18000,59000,21300,60000" +st "memory" +blo "18000,59800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 2402,0 +va (VaSet +font "courier,8,1" +) +xt "18000,60000,26000,61000" +st "sdramControllerSR" +blo "18000,60800" +tm "CptNameMgr" +) +*88 (Text +uid 2403,0 +va (VaSet +font "courier,8,1" +) +xt "18000,61000,19800,62000" +st "U_6" +blo "18000,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2404,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2405,0 +text (MLText +uid 2406,0 +va (VaSet +font "courier,8,0" +) +xt "18000,62200,18000,62200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2407,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,57250,19750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*89 (Net +uid 2456,0 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +declText (MLText +uid 2457,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,113800,11000,114700" +st "SIGNAL readAck : std_ulogic" +) +) +*90 (Net +uid 2458,0 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +declText (MLText +uid 2459,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,115000,11000,115900" +st "SIGNAL readRequest : std_ulogic" +) +) +*91 (Net +uid 2516,0 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +declText (MLText +uid 2517,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,116200,11000,117100" +st "SIGNAL sampleData : std_ulogic" +) +) +*92 (SaComponent +uid 3238,0 +optionalChildren [ +*93 (CptPort +uid 3222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,60625,98000,61375" +) +tg (CPTG +uid 3224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3225,0 +va (VaSet +) +xt "99000,60500,101100,61500" +st "clock" +blo "99000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*94 (CptPort +uid 3226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,62625,98000,63375" +) +tg (CPTG +uid 3228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3229,0 +va (VaSet +) +xt "99000,62500,101100,63500" +st "reset" +blo "99000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*95 (CptPort +uid 3230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,56625,114750,57375" +) +tg (CPTG +uid 3232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3233,0 +va (VaSet +) +xt "109100,56500,113000,57500" +st "timerDone" +ju 2 +blo "113000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*96 (CptPort +uid 3234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,56625,98000,57375" +) +tg (CPTG +uid 3236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3237,0 +va (VaSet +) +xt "99000,56500,103100,57500" +st "timerStart" +blo "99000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 3239,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,53000,114000,65000" +) +oxt "32000,16000,48000,28000" +ttg (MlTextGroup +uid 3240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 3241,0 +va (VaSet +font "courier,8,1" +) +xt "98400,65000,101700,66000" +st "memory" +blo "98400,65800" +tm "BdLibraryNameMgr" +) +*98 (Text +uid 3242,0 +va (VaSet +font "courier,8,1" +) +xt "98400,66000,113600,67000" +st "sdramControllerTimingsShiftRegister" +blo "98400,66800" +tm "CptNameMgr" +) +*99 (Text +uid 3243,0 +va (VaSet +font "courier,8,1" +) +xt "98400,67000,100200,68000" +st "U_2" +blo "98400,67800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3244,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3245,0 +text (MLText +uid 3246,0 +va (VaSet +font "courier,8,0" +) +xt "98000,68200,125000,69100" +st "maxDelayPeriodNb = maxDelayPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3247,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,63250,99750,64750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*100 (SaComponent +uid 3306,0 +optionalChildren [ +*101 (CptPort +uid 3286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,66625,138000,67375" +) +tg (CPTG +uid 3288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3289,0 +va (VaSet +) +xt "139000,66500,141100,67500" +st "clock" +blo "139000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*102 (CptPort +uid 3290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,60625,154750,61375" +) +tg (CPTG +uid 3292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3293,0 +va (VaSet +) +xt "147800,60500,153000,61500" +st "memDataOut" +ju 2 +blo "153000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*103 (CptPort +uid 3294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,60625,138000,61375" +) +tg (CPTG +uid 3296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3297,0 +va (VaSet +) +xt "139000,60500,143900,61500" +st "ramDataOut" +blo "139000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*104 (CptPort +uid 3298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,62625,138000,63375" +) +tg (CPTG +uid 3300,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3301,0 +va (VaSet +) +xt "139000,62500,141700,63500" +st "ramWr" +blo "139000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*105 (CptPort +uid 3302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,68625,138000,69375" +) +tg (CPTG +uid 3304,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3305,0 +va (VaSet +) +xt "139000,68500,141100,69500" +st "reset" +blo "139000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 3307,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,57000,154000,71000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 3308,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 3309,0 +va (VaSet +font "courier,8,1" +) +xt "138500,71000,141800,72000" +st "memory" +blo "138500,71800" +tm "BdLibraryNameMgr" +) +*107 (Text +uid 3310,0 +va (VaSet +font "courier,8,1" +) +xt "138500,72000,149500,73000" +st "sdramControllerStoreData" +blo "138500,72800" +tm "CptNameMgr" +) +*108 (Text +uid 3311,0 +va (VaSet +font "courier,8,1" +) +xt "138500,73000,140300,74000" +st "U_4" +blo "138500,73800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3312,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3313,0 +text (MLText +uid 3314,0 +va (VaSet +font "courier,8,0" +) +xt "138000,74600,158000,75500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3315,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,69250,139750,70750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*109 (SaComponent +uid 3336,0 +optionalChildren [ +*110 (CptPort +uid 3316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,81625,58000,82375" +) +tg (CPTG +uid 3318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3319,0 +va (VaSet +) +xt "59000,81500,61100,82500" +st "clock" +blo "59000,82300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*111 (CptPort +uid 3320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3321,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,75625,74750,76375" +) +tg (CPTG +uid 3322,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3323,0 +va (VaSet +) +xt "68800,75500,73000,76500" +st "memDataIn" +ju 2 +blo "73000,76300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*112 (CptPort +uid 3324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3325,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,75625,58000,76375" +) +tg (CPTG +uid 3326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3327,0 +va (VaSet +) +xt "59000,75500,62900,76500" +st "ramDataIn" +blo "59000,76300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*113 (CptPort +uid 3328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,83625,58000,84375" +) +tg (CPTG +uid 3330,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3331,0 +va (VaSet +) +xt "59000,83500,61100,84500" +st "reset" +blo "59000,84300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*114 (CptPort +uid 3332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,79625,58000,80375" +) +tg (CPTG +uid 3334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3335,0 +va (VaSet +) +xt "59000,79500,63800,80500" +st "sampleData" +blo "59000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 3337,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,72000,74000,86000" +) +oxt "38000,15000,54000,29000" +ttg (MlTextGroup +uid 3338,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 3339,0 +va (VaSet +font "courier,8,1" +) +xt "58800,86000,62100,87000" +st "memory" +blo "58800,86800" +tm "BdLibraryNameMgr" +) +*116 (Text +uid 3340,0 +va (VaSet +font "courier,8,1" +) +xt "58800,87000,71200,88000" +st "sdramControllerSampleDataIn" +blo "58800,87800" +tm "CptNameMgr" +) +*117 (Text +uid 3341,0 +va (VaSet +font "courier,8,1" +) +xt "58800,88000,60600,89000" +st "U_7" +blo "58800,88800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3342,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3343,0 +text (MLText +uid 3344,0 +va (VaSet +font "courier,8,0" +) +xt "58000,89600,78000,90500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3345,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,84250,59750,85750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*118 (SaComponent +uid 3568,0 +optionalChildren [ +*119 (CptPort +uid 3548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,40625,98000,41375" +) +tg (CPTG +uid 3550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3551,0 +va (VaSet +) +xt "99000,40500,101100,41500" +st "clock" +blo "99000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*120 (CptPort +uid 3552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,36625,114750,37375" +) +tg (CPTG +uid 3554,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3555,0 +va (VaSet +) +xt "105500,36500,113000,37500" +st "endOfRefreshCount" +ju 2 +blo "113000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*121 (CptPort +uid 3556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3557,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,36625,98000,37375" +) +tg (CPTG +uid 3558,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3559,0 +va (VaSet +) +xt "99000,36500,104600,37500" +st "powerUpDone" +blo "99000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*122 (CptPort +uid 3560,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3561,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,42625,98000,43375" +) +tg (CPTG +uid 3562,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3563,0 +va (VaSet +) +xt "99000,42500,101100,43500" +st "reset" +blo "99000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*123 (CptPort +uid 3564,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3565,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,38625,114750,39375" +) +tg (CPTG +uid 3566,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3567,0 +va (VaSet +) +xt "107500,38500,113000,39500" +st "selectRefresh" +ju 2 +blo "113000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 3569,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,33000,114000,45000" +) +oxt "32000,12000,48000,24000" +ttg (MlTextGroup +uid 3570,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 3571,0 +va (VaSet +font "courier,8,1" +) +xt "98350,45000,101650,46000" +st "memory" +blo "98350,45800" +tm "BdLibraryNameMgr" +) +*125 (Text +uid 3572,0 +va (VaSet +font "courier,8,1" +) +xt "98350,46000,111650,47000" +st "sdramControllerRefreshCounter" +blo "98350,46800" +tm "CptNameMgr" +) +*126 (Text +uid 3573,0 +va (VaSet +font "courier,8,1" +) +xt "98350,47000,100150,48000" +st "U_1" +blo "98350,47800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3574,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3575,0 +text (MLText +uid 3576,0 +va (VaSet +font "courier,8,0" +) +xt "98000,48200,126000,50000" +st "delayCounterBitNb = delayCounterBitNb ( positive ) +refreshPeriodNb = refreshPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3577,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,43250,99750,44750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*127 (Net +uid 3578,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +declText (MLText +uid 3579,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,96600,7500,97500" +st "selectRefresh : std_ulogic" +) +) +*128 (PortIoOut +uid 3586,0 +shape (CompositeShape +uid 3587,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3588,0 +sl 0 +ro 270 +xt "122500,38625,124000,39375" +) +(Line +uid 3589,0 +sl 0 +ro 270 +xt "122000,39000,122500,39000" +pts [ +"122000,39000" +"122500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 3590,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3591,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,38300,135100,39700" +st "selectRefresh" +blo "125000,39500" +tm "WireNameMgr" +) +) +) +*129 (SaComponent +uid 3925,0 +optionalChildren [ +*130 (CptPort +uid 3897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,44625,138000,45375" +) +tg (CPTG +uid 3899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3900,0 +va (VaSet +) +xt "139000,44500,143600,45500" +st "addrSelCol" +blo "139000,45300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*131 (CptPort +uid 3901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,40625,138000,41375" +) +tg (CPTG +uid 3903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3904,0 +va (VaSet +) +xt "139000,40500,145800,41500" +st "addrSelModeReg" +blo "139000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*132 (CptPort +uid 3905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3906,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,38625,138000,39375" +) +tg (CPTG +uid 3907,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3908,0 +va (VaSet +) +xt "139000,38500,146000,39500" +st "addrSelPrecharge" +blo "139000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*133 (CptPort +uid 3909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,42625,138000,43375" +) +tg (CPTG +uid 3911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3912,0 +va (VaSet +) +xt "139000,42500,143900,43500" +st "addrSelRow" +blo "139000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*134 (CptPort +uid 3913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,36625,154750,37375" +) +tg (CPTG +uid 3915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3916,0 +va (VaSet +) +xt "147800,36500,153000,37500" +st "memAddress" +ju 2 +blo "153000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*135 (CptPort +uid 3917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,38625,154750,39375" +) +tg (CPTG +uid 3919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3920,0 +va (VaSet +) +xt "146200,38500,153000,39500" +st "memBankAddress" +ju 2 +blo "153000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*136 (CptPort +uid 3921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,36625,138000,37375" +) +tg (CPTG +uid 3923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3924,0 +va (VaSet +) +xt "139000,36500,142300,37500" +st "ramAddr" +blo "139000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 3926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,33000,154000,49000" +) +oxt "34000,6000,50000,22000" +ttg (MlTextGroup +uid 3927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 3928,0 +va (VaSet +font "courier,8,1" +) +xt "137850,49500,141150,50500" +st "memory" +blo "137850,50300" +tm "BdLibraryNameMgr" +) +*138 (Text +uid 3929,0 +va (VaSet +font "courier,8,1" +) +xt "137850,50500,150150,51500" +st "sdramControllerBuildAddress" +blo "137850,51300" +tm "CptNameMgr" +) +*139 (Text +uid 3930,0 +va (VaSet +font "courier,8,1" +) +xt "137850,51500,139650,52500" +st "U_3" +blo "137850,52300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3932,0 +text (MLText +uid 3933,0 +va (VaSet +font "courier,8,0" +) +xt "138000,53000,169000,57500" +st "addressBitNb = addressBitNb ( positive ) +chipAddressBitNb = chipAddressBitNb ( positive ) +chipBankAddressBitNb = chipBankAddressBitNb ( positive ) +rowAddressBitNb = rowAddressBitNb ( positive ) +colAddressBitNb = colAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3934,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,47250,139750,48750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*140 (SaComponent +uid 4230,0 +optionalChildren [ +*141 (CptPort +uid 4158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,46625,74750,47375" +) +tg (CPTG +uid 4160,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4161,0 +va (VaSet +) +xt "68400,46500,73000,47500" +st "addrSelCol" +ju 2 +blo "73000,47300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*142 (CptPort +uid 4162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,42625,74750,43375" +) +tg (CPTG +uid 4164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4165,0 +va (VaSet +) +xt "66200,42500,73000,43500" +st "addrSelModeReg" +ju 2 +blo "73000,43300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*143 (CptPort +uid 4166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,40625,74750,41375" +) +tg (CPTG +uid 4168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4169,0 +va (VaSet +) +xt "66000,40500,73000,41500" +st "addrSelPrecharge" +ju 2 +blo "73000,41300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*144 (CptPort +uid 4170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,44625,74750,45375" +) +tg (CPTG +uid 4172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4173,0 +va (VaSet +) +xt "68100,44500,73000,45500" +st "addrSelRow" +ju 2 +blo "73000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*145 (CptPort +uid 4174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,54625,58000,55375" +) +tg (CPTG +uid 4176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4177,0 +va (VaSet +) +xt "59000,54500,61100,55500" +st "clock" +blo "59000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*146 (CptPort +uid 4178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,54625,74750,55375" +) +tg (CPTG +uid 4180,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4181,0 +va (VaSet +) +xt "67700,54500,73000,55500" +st "commandBus" +ju 2 +blo "73000,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*147 (CptPort +uid 4182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,36625,58000,37375" +) +tg (CPTG +uid 4184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4185,0 +va (VaSet +) +xt "59000,36500,66500,37500" +st "endOfRefreshCount" +blo "59000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*148 (CptPort +uid 4186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,36625,74750,37375" +) +tg (CPTG +uid 4188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4189,0 +va (VaSet +) +xt "67400,36500,73000,37500" +st "powerUpDone" +ju 2 +blo "73000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*149 (CptPort +uid 4190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4191,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,52625,74750,53375" +) +tg (CPTG +uid 4192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4193,0 +va (VaSet +) +xt "67600,52500,73000,53500" +st "ramDataValid" +ju 2 +blo "73000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*150 (CptPort +uid 4194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,52625,58000,53375" +) +tg (CPTG +uid 4196,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4197,0 +va (VaSet +) +xt "59000,52500,61600,53500" +st "ramEn" +blo "59000,53300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*151 (CptPort +uid 4198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4199,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,48625,58000,49375" +) +tg (CPTG +uid 4200,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4201,0 +va (VaSet +) +xt "59000,48500,62100,49500" +st "readAck" +blo "59000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*152 (CptPort +uid 4202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,46625,58000,47375" +) +tg (CPTG +uid 4204,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4205,0 +va (VaSet +) +xt "59000,46500,64200,47500" +st "readRequest" +blo "59000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*153 (CptPort +uid 4206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,56625,58000,57375" +) +tg (CPTG +uid 4208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4209,0 +va (VaSet +) +xt "59000,56500,61100,57500" +st "reset" +blo "59000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*154 (CptPort +uid 4210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,48625,74750,49375" +) +tg (CPTG +uid 4212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4213,0 +va (VaSet +) +xt "68200,48500,73000,49500" +st "sampleData" +ju 2 +blo "73000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*155 (CptPort +uid 4214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,38625,58000,39375" +) +tg (CPTG +uid 4216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4217,0 +va (VaSet +) +xt "59000,38500,62900,39500" +st "timerDone" +blo "59000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*156 (CptPort +uid 4218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,38625,74750,39375" +) +tg (CPTG +uid 4220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4221,0 +va (VaSet +) +xt "68900,38500,73000,39500" +st "timerStart" +ju 2 +blo "73000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*157 (CptPort +uid 4222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,44625,58000,45375" +) +tg (CPTG +uid 4224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4225,0 +va (VaSet +) +xt "59000,44500,62200,45500" +st "writeAck" +blo "59000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*158 (CptPort +uid 4226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,42625,58000,43375" +) +tg (CPTG +uid 4228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4229,0 +va (VaSet +) +xt "59000,42500,64300,43500" +st "writeRequest" +blo "59000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 4231,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,33000,74000,59000" +) +oxt "35000,6000,51000,32000" +ttg (MlTextGroup +uid 4232,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*159 (Text +uid 4233,0 +va (VaSet +font "courier,8,1" +) +xt "58750,59000,62050,60000" +st "memory" +blo "58750,59800" +tm "BdLibraryNameMgr" +) +*160 (Text +uid 4234,0 +va (VaSet +font "courier,8,1" +) +xt "58750,60000,67250,61000" +st "sdramControllerFsm" +blo "58750,60800" +tm "CptNameMgr" +) +*161 (Text +uid 4235,0 +va (VaSet +font "courier,8,1" +) +xt "58750,61000,60550,62000" +st "U_0" +blo "58750,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4236,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4237,0 +text (MLText +uid 4238,0 +va (VaSet +font "courier,8,0" +) +xt "39000,62000,85000,71000" +st "commandBusBitNb = commandBusBitNb ( positive ) +maxDelayPeriodNb = maxDelayPeriodNb ( positive ) +prechargeToRefreshPeriodNb = 2 ( positive ) --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb = 5 ( positive ) --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb = 1 ( positive ) --1 CK +activeToWritePeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +writeToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +readToSamplePeriodNb = 2 ( positive ) --2 CK with latency = 2 +readToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 " +) +header "" +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +viewicon (ZoomableIcon +uid 4239,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,57250,59750,58750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*162 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,37000,162000,37000" +pts [ +"162000,37000" +"154750,37000" +] +) +start &1 +end &134 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,12,0" +) +xt "156000,35600,165700,37000" +st "memAddress" +blo "156000,36800" +tm "WireNameMgr" +) +) +on &2 +) +*163 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,76000,83000,76000" +pts [ +"83000,76000" +"74750,76000" +] +) +start &3 +end &111 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "76000,74600,84100,76000" +st "memDataIn" +blo "76000,75800" +tm "WireNameMgr" +) +) +on &4 +) +*164 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,61000,162000,61000" +pts [ +"162000,61000" +"154750,61000" +] +) +start &5 +end &102 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,12,0" +) +xt "161000,59600,170900,61000" +st "memDataOut" +blo "161000,60800" +tm "WireNameMgr" +) +) +on &6 +) +*165 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "114000,83000,122000,83000" +pts [ +"122000,83000" +"114000,83000" +] +) +start &7 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "116000,81600,123200,83000" +st "memWr_n" +blo "116000,82800" +tm "WireNameMgr" +) +) +on &8 +) +*166 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "50000,53000,57250,53000" +pts [ +"50000,53000" +"57250,53000" +] +) +start &9 +end &150 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "50000,51600,54800,53000" +st "ramEn" +blo "50000,52800" +tm "WireNameMgr" +) +) +on &10 +) +*167 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "10000,49000,17250,49000" +pts [ +"10000,49000" +"17250,49000" +] +) +start &11 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,12,0" +) +xt "10000,47600,14800,49000" +st "ramRd" +blo "10000,48800" +tm "WireNameMgr" +) +) +on &12 +) +*168 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "54000,57000,57250,57000" +pts [ +"54000,57000" +"57250,57000" +] +) +start &15 +end &153 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,12,0" +) +xt "53000,55600,57100,57000" +st "reset" +blo "53000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*169 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "114000,81000,122000,81000" +pts [ +"122000,81000" +"114000,81000" +] +) +start &17 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +font "courier,12,0" +) +xt "117000,79600,123300,81000" +st "sdCas_n" +blo "117000,80800" +tm "WireNameMgr" +) +) +on &18 +) +*170 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "154000,19000,162000,19000" +pts [ +"162000,19000" +"154000,19000" +] +) +start &19 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +font "courier,12,0" +) +xt "159000,17600,163700,19000" +st "sdCke" +blo "159000,18800" +tm "WireNameMgr" +) +) +on &20 +) +*171 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "154000,21000,162000,21000" +pts [ +"162000,21000" +"154000,21000" +] +) +start &21 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,12,0" +) +xt "159000,19600,163200,21000" +st "sdClk" +blo "159000,20800" +tm "WireNameMgr" +) +) +on &22 +) +*172 (Wire +uid 225,0 +shape (OrthoPolyLine +uid 226,0 +va (VaSet +vasetType 3 +) +xt "114000,77000,122000,77000" +pts [ +"122000,77000" +"114000,77000" +] +) +start &23 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +font "courier,12,0" +) +xt "118000,75600,123500,77000" +st "sdCs_n" +blo "118000,76800" +tm "WireNameMgr" +) +) +on &24 +) +*173 (Wire +uid 267,0 +shape (OrthoPolyLine +uid 268,0 +va (VaSet +vasetType 3 +) +xt "114000,79000,122000,79000" +pts [ +"122000,79000" +"114000,79000" +] +) +start &25 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 271,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 272,0 +va (VaSet +font "courier,12,0" +) +xt "117000,77600,123200,79000" +st "sdRas_n" +blo "117000,78800" +tm "WireNameMgr" +) +) +on &26 +) +*174 (Wire +uid 605,0 +shape (OrthoPolyLine +uid 606,0 +va (VaSet +vasetType 3 +) +xt "54000,55000,57250,55000" +pts [ +"54000,55000" +"57250,55000" +] +) +start &38 +end &145 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 610,0 +va (VaSet +font "courier,12,0" +) +xt "54000,53600,57800,55000" +st "clock" +blo "54000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*175 (Wire +uid 619,0 +shape (OrthoPolyLine +uid 620,0 +va (VaSet +vasetType 3 +) +xt "74750,53000,82000,53000" +pts [ +"74750,53000" +"82000,53000" +] +) +start &149 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 623,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 624,0 +va (VaSet +font "courier,12,0" +) +xt "76000,51600,86000,53000" +st "ramDataValid" +blo "76000,52800" +tm "WireNameMgr" +) +) +on &41 +) +*176 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,37000,137250,37000" +pts [ +"130000,37000" +"137250,37000" +] +) +start &42 +end &136 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +va (VaSet +font "courier,12,0" +) +xt "130000,35600,136100,37000" +st "ramAddr" +blo "130000,36800" +tm "WireNameMgr" +) +) +on &43 +) +*177 (Wire +uid 647,0 +shape (OrthoPolyLine +uid 648,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,61000,137250,61000" +pts [ +"130000,61000" +"137250,61000" +] +) +start &44 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 652,0 +va (VaSet +font "courier,12,0" +) +xt "129000,59600,138300,61000" +st "ramDataOut" +blo "129000,60800" +tm "WireNameMgr" +) +) +on &45 +) +*178 (Wire +uid 661,0 +shape (OrthoPolyLine +uid 662,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,76000,57250,76000" +pts [ +"57250,76000" +"50000,76000" +] +) +start &112 +end &46 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 665,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 666,0 +va (VaSet +font "courier,12,0" +) +xt "50000,74600,57500,76000" +st "ramDataIn" +blo "50000,75800" +tm "WireNameMgr" +) +) +on &47 +) +*179 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "114000,85000,122000,85000" +pts [ +"114000,85000" +"122000,85000" +] +) +start &59 +end &48 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +font "courier,12,0" +) +xt "117000,83600,122100,85000" +st "sdDqm" +blo "117000,84800" +tm "WireNameMgr" +) +) +on &49 +) +*180 (Wire +uid 1336,0 +shape (OrthoPolyLine +uid 1337,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,39000,162000,39000" +pts [ +"154750,39000" +"162000,39000" +] +) +start &135 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1341,0 +va (VaSet +font "courier,12,0" +) +xt "156000,37600,168800,39000" +st "memBankAddress" +blo "156000,38800" +tm "WireNameMgr" +) +) +on &51 +) +*181 (Wire +uid 1637,0 +shape (OrthoPolyLine +uid 1638,0 +va (VaSet +vasetType 3 +) +xt "94000,43000,97250,43000" +pts [ +"94000,43000" +"97250,43000" +] +) +end &122 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1644,0 +va (VaSet +font "courier,12,0" +) +xt "93000,41600,97100,43000" +st "reset" +blo "93000,42800" +tm "WireNameMgr" +) +) +on &16 +) +*182 (Wire +uid 1645,0 +shape (OrthoPolyLine +uid 1646,0 +va (VaSet +vasetType 3 +) +xt "94000,41000,97250,41000" +pts [ +"94000,41000" +"97250,41000" +] +) +end &119 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +va (VaSet +font "courier,12,0" +) +xt "94000,39600,97800,41000" +st "clock" +blo "94000,40800" +tm "WireNameMgr" +) +) +on &39 +) +*183 (Wire +uid 1655,0 +shape (OrthoPolyLine +uid 1656,0 +va (VaSet +vasetType 3 +) +xt "74750,37000,97250,37000" +pts [ +"74750,37000" +"97250,37000" +] +) +start &148 +end &121 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1662,0 +va (VaSet +font "courier,12,0" +) +xt "76000,35600,86600,37000" +st "powerUpDone" +blo "76000,36800" +tm "WireNameMgr" +) +) +on &56 +) +*184 (Wire +uid 1671,0 +shape (OrthoPolyLine +uid 1672,0 +va (VaSet +vasetType 3 +) +xt "54000,29000,118000,37000" +pts [ +"114750,37000" +"118000,37000" +"118000,29000" +"54000,29000" +"54000,37000" +"57250,37000" +] +) +start &120 +end &147 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1677,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1678,0 +va (VaSet +font "courier,12,0" +) +xt "117000,35600,131000,37000" +st "endOfRefreshCount" +blo "117000,36800" +tm "WireNameMgr" +) +) +on &57 +) +*185 (Wire +uid 1687,0 +shape (OrthoPolyLine +uid 1688,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,55000,98000,77000" +pts [ +"74750,55000" +"86000,55000" +"86000,77000" +"98000,77000" +] +) +start &146 +end &59 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1693,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1694,0 +va (VaSet +font "courier,12,0" +) +xt "88000,75600,97900,77000" +st "commandBus" +blo "88000,76800" +tm "WireNameMgr" +) +) +on &58 +) +*186 (Wire +uid 1761,0 +shape (OrthoPolyLine +uid 1762,0 +va (VaSet +vasetType 3 +) +xt "74750,39000,97250,57000" +pts [ +"74750,39000" +"90000,39000" +"90000,57000" +"97250,57000" +] +) +start &156 +end &96 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1768,0 +va (VaSet +font "courier,12,0" +) +xt "76000,37600,83900,39000" +st "timerStart" +blo "76000,38800" +tm "WireNameMgr" +) +) +on &63 +) +*187 (Wire +uid 1769,0 +shape (OrthoPolyLine +uid 1770,0 +va (VaSet +vasetType 3 +) +xt "94000,61000,97250,61000" +pts [ +"94000,61000" +"97250,61000" +] +) +end &93 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1776,0 +va (VaSet +font "courier,12,0" +) +xt "94000,59600,97800,61000" +st "clock" +blo "94000,60800" +tm "WireNameMgr" +) +) +on &39 +) +*188 (Wire +uid 1777,0 +shape (OrthoPolyLine +uid 1778,0 +va (VaSet +vasetType 3 +) +xt "94000,63000,97250,63000" +pts [ +"94000,63000" +"97250,63000" +] +) +end &94 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1784,0 +va (VaSet +font "courier,12,0" +) +xt "93000,61600,97100,63000" +st "reset" +blo "93000,62800" +tm "WireNameMgr" +) +) +on &16 +) +*189 (Wire +uid 1789,0 +shape (OrthoPolyLine +uid 1790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,27000,120000,57000" +pts [ +"114750,57000" +"120000,57000" +"120000,27000" +"52000,27000" +"52000,39000" +"57250,39000" +] +) +start &95 +end &155 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1796,0 +va (VaSet +font "courier,12,0" +) +xt "117000,55600,124300,57000" +st "timerDone" +blo "117000,56800" +tm "WireNameMgr" +) +) +on &64 +) +*190 (Wire +uid 1916,0 +shape (OrthoPolyLine +uid 1917,0 +va (VaSet +vasetType 3 +) +xt "130000,39000,137250,39000" +pts [ +"130000,39000" +"137250,39000" +] +) +end &132 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1922,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1923,0 +va (VaSet +font "courier,12,0" +) +xt "127000,37600,139800,39000" +st "addrSelPrecharge" +blo "127000,38800" +tm "WireNameMgr" +) +) +on &65 +) +*191 (Wire +uid 1926,0 +shape (OrthoPolyLine +uid 1927,0 +va (VaSet +vasetType 3 +) +xt "74750,41000,82000,41000" +pts [ +"74750,41000" +"82000,41000" +] +) +start &143 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 1932,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1933,0 +va (VaSet +font "courier,12,0" +) +xt "76000,39600,88800,41000" +st "addrSelPrecharge" +blo "76000,40800" +tm "WireNameMgr" +) +) +on &65 +) +*192 (Wire +uid 2043,0 +shape (OrthoPolyLine +uid 2044,0 +va (VaSet +vasetType 3 +) +xt "74750,43000,82000,43000" +pts [ +"74750,43000" +"82000,43000" +] +) +start &142 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +font "courier,12,0" +) +xt "76000,41600,88200,43000" +st "addrSelModeReg" +blo "76000,42800" +tm "WireNameMgr" +) +) +on &66 +) +*193 (Wire +uid 2053,0 +shape (OrthoPolyLine +uid 2054,0 +va (VaSet +vasetType 3 +) +xt "130000,41000,137250,41000" +pts [ +"130000,41000" +"137250,41000" +] +) +end &131 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2059,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2060,0 +va (VaSet +font "courier,12,0" +) +xt "127000,39600,139200,41000" +st "addrSelModeReg" +blo "127000,40800" +tm "WireNameMgr" +) +) +on &66 +) +*194 (Wire +uid 2063,0 +shape (OrthoPolyLine +uid 2064,0 +va (VaSet +vasetType 3 +) +xt "130000,63000,137250,63000" +pts [ +"130000,63000" +"137250,63000" +] +) +end &104 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2070,0 +va (VaSet +font "courier,12,0" +) +xt "131000,61600,136000,63000" +st "ramWr" +blo "131000,62800" +tm "WireNameMgr" +) +) +on &14 +) +*195 (Wire +uid 2071,0 +shape (OrthoPolyLine +uid 2072,0 +va (VaSet +vasetType 3 +) +xt "134000,67000,137250,67000" +pts [ +"134000,67000" +"137250,67000" +] +) +end &101 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2078,0 +va (VaSet +font "courier,12,0" +) +xt "134000,65600,137800,67000" +st "clock" +blo "134000,66800" +tm "WireNameMgr" +) +) +on &39 +) +*196 (Wire +uid 2079,0 +shape (OrthoPolyLine +uid 2080,0 +va (VaSet +vasetType 3 +) +xt "134000,69000,137250,69000" +pts [ +"134000,69000" +"137250,69000" +] +) +end &105 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2085,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2086,0 +va (VaSet +font "courier,12,0" +) +xt "133000,67600,137100,69000" +st "reset" +blo "133000,68800" +tm "WireNameMgr" +) +) +on &16 +) +*197 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "10000,27000,17250,27000" +pts [ +"10000,27000" +"17250,27000" +] +) +start &13 +end &71 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2240,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2241,0 +va (VaSet +font "courier,12,0" +) +xt "10000,25600,15000,27000" +st "ramWr" +blo "10000,26800" +tm "WireNameMgr" +) +) +on &14 +) +*198 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "14000,33000,17250,33000" +pts [ +"14000,33000" +"17250,33000" +] +) +end &70 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2248,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2249,0 +va (VaSet +font "courier,12,0" +) +xt "14000,31600,17800,33000" +st "clock" +blo "14000,32800" +tm "WireNameMgr" +) +) +on &39 +) +*199 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "14000,35000,17250,35000" +pts [ +"14000,35000" +"17250,35000" +] +) +end &72 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2257,0 +va (VaSet +font "courier,12,0" +) +xt "13000,33600,17100,35000" +st "reset" +blo "13000,34800" +tm "WireNameMgr" +) +) +on &16 +) +*200 (Wire +uid 2258,0 +shape (OrthoPolyLine +uid 2259,0 +va (VaSet +vasetType 3 +) +xt "34750,27000,42000,27000" +pts [ +"34750,27000" +"42000,27000" +] +) +start &74 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2264,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2265,0 +va (VaSet +font "courier,12,0" +) +xt "36000,25600,46000,27000" +st "writeRequest" +blo "36000,26800" +tm "WireNameMgr" +) +) +on &67 +) +*201 (Wire +uid 2268,0 +shape (OrthoPolyLine +uid 2269,0 +va (VaSet +vasetType 3 +) +xt "10000,29000,17250,29000" +pts [ +"17250,29000" +"10000,29000" +] +) +start &73 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2274,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2275,0 +va (VaSet +font "courier,12,0" +) +xt "11000,27600,17100,29000" +st "writeAck" +blo "11000,28800" +tm "WireNameMgr" +) +) +on &68 +) +*202 (Wire +uid 2342,0 +shape (OrthoPolyLine +uid 2343,0 +va (VaSet +vasetType 3 +) +xt "50000,45000,57250,45000" +pts [ +"57250,45000" +"50000,45000" +] +) +start &157 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2349,0 +va (VaSet +font "courier,12,0" +) +xt "49000,43600,55100,45000" +st "writeAck" +blo "49000,44800" +tm "WireNameMgr" +) +) +on &68 +) +*203 (Wire +uid 2350,0 +shape (OrthoPolyLine +uid 2351,0 +va (VaSet +vasetType 3 +) +xt "50000,43000,57250,43000" +pts [ +"57250,43000" +"50000,43000" +] +) +start &158 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2357,0 +va (VaSet +font "courier,12,0" +) +xt "48000,41600,58000,43000" +st "writeRequest" +blo "48000,42800" +tm "WireNameMgr" +) +) +on &67 +) +*204 (Wire +uid 2358,0 +shape (OrthoPolyLine +uid 2359,0 +va (VaSet +vasetType 3 +) +xt "74750,45000,82000,45000" +pts [ +"74750,45000" +"82000,45000" +] +) +start &144 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2364,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2365,0 +va (VaSet +font "courier,12,0" +) +xt "76000,43600,85100,45000" +st "addrSelRow" +blo "76000,44800" +tm "WireNameMgr" +) +) +on &78 +) +*205 (Wire +uid 2368,0 +shape (OrthoPolyLine +uid 2369,0 +va (VaSet +vasetType 3 +) +xt "130000,43000,137250,43000" +pts [ +"130000,43000" +"137250,43000" +] +) +end &133 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2375,0 +va (VaSet +font "courier,12,0" +) +xt "128000,41600,137100,43000" +st "addrSelRow" +blo "128000,42800" +tm "WireNameMgr" +) +) +on &78 +) +*206 (Wire +uid 2376,0 +shape (OrthoPolyLine +uid 2377,0 +va (VaSet +vasetType 3 +) +xt "74750,47000,82000,47000" +pts [ +"74750,47000" +"82000,47000" +] +) +start &141 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2383,0 +va (VaSet +font "courier,12,0" +) +xt "76000,45600,84400,47000" +st "addrSelCol" +blo "76000,46800" +tm "WireNameMgr" +) +) +on &79 +) +*207 (Wire +uid 2386,0 +shape (OrthoPolyLine +uid 2387,0 +va (VaSet +vasetType 3 +) +xt "130000,45000,137250,45000" +pts [ +"130000,45000" +"137250,45000" +] +) +end &130 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2393,0 +va (VaSet +font "courier,12,0" +) +xt "128000,43600,136400,45000" +st "addrSelCol" +blo "128000,44800" +tm "WireNameMgr" +) +) +on &79 +) +*208 (Wire +uid 2428,0 +shape (OrthoPolyLine +uid 2429,0 +va (VaSet +vasetType 3 +) +xt "14000,57000,17250,57000" +pts [ +"14000,57000" +"17250,57000" +] +) +end &83 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2432,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2433,0 +va (VaSet +font "courier,12,0" +) +xt "13000,55600,17100,57000" +st "reset" +blo "13000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*209 (Wire +uid 2434,0 +shape (OrthoPolyLine +uid 2435,0 +va (VaSet +vasetType 3 +) +xt "14000,55000,17250,55000" +pts [ +"14000,55000" +"17250,55000" +] +) +end &81 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2439,0 +va (VaSet +font "courier,12,0" +) +xt "14000,53600,17800,55000" +st "clock" +blo "14000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*210 (Wire +uid 2440,0 +shape (OrthoPolyLine +uid 2441,0 +va (VaSet +vasetType 3 +) +xt "10000,51000,17250,51000" +pts [ +"17250,51000" +"10000,51000" +] +) +start &84 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2447,0 +va (VaSet +font "courier,12,0" +) +xt "11000,49600,16800,51000" +st "readAck" +blo "11000,50800" +tm "WireNameMgr" +) +) +on &89 +) +*211 (Wire +uid 2448,0 +shape (OrthoPolyLine +uid 2449,0 +va (VaSet +vasetType 3 +) +xt "34750,49000,42000,49000" +pts [ +"34750,49000" +"42000,49000" +] +) +start &85 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2455,0 +va (VaSet +font "courier,12,0" +) +xt "36000,47600,45700,49000" +st "readRequest" +blo "36000,48800" +tm "WireNameMgr" +) +) +on &90 +) +*212 (Wire +uid 2460,0 +shape (OrthoPolyLine +uid 2461,0 +va (VaSet +vasetType 3 +) +xt "50000,47000,57250,47000" +pts [ +"57250,47000" +"50000,47000" +] +) +start &152 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2467,0 +va (VaSet +font "courier,12,0" +) +xt "49000,45600,58700,47000" +st "readRequest" +blo "49000,46800" +tm "WireNameMgr" +) +) +on &90 +) +*213 (Wire +uid 2468,0 +shape (OrthoPolyLine +uid 2469,0 +va (VaSet +vasetType 3 +) +xt "50000,49000,57250,49000" +pts [ +"57250,49000" +"50000,49000" +] +) +start &151 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2475,0 +va (VaSet +font "courier,12,0" +) +xt "49000,47600,54800,49000" +st "readAck" +blo "49000,48800" +tm "WireNameMgr" +) +) +on &89 +) +*214 (Wire +uid 2490,0 +shape (OrthoPolyLine +uid 2491,0 +va (VaSet +vasetType 3 +) +xt "54000,82000,57250,82000" +pts [ +"54000,82000" +"57250,82000" +] +) +end &110 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2496,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2497,0 +va (VaSet +font "courier,12,0" +) +xt "54000,80600,57800,82000" +st "clock" +blo "54000,81800" +tm "WireNameMgr" +) +) +on &39 +) +*215 (Wire +uid 2498,0 +shape (OrthoPolyLine +uid 2499,0 +va (VaSet +vasetType 3 +) +xt "54000,84000,57250,84000" +pts [ +"54000,84000" +"57250,84000" +] +) +end &113 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2505,0 +va (VaSet +font "courier,12,0" +) +xt "53000,82600,57100,84000" +st "reset" +blo "53000,83800" +tm "WireNameMgr" +) +) +on &16 +) +*216 (Wire +uid 2508,0 +shape (OrthoPolyLine +uid 2509,0 +va (VaSet +vasetType 3 +) +xt "74750,49000,82000,49000" +pts [ +"74750,49000" +"82000,49000" +] +) +start &154 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2514,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2515,0 +va (VaSet +font "courier,12,0" +) +xt "76000,47600,85100,49000" +st "sampleData" +blo "76000,48800" +tm "WireNameMgr" +) +) +on &91 +) +*217 (Wire +uid 2518,0 +shape (OrthoPolyLine +uid 2519,0 +va (VaSet +vasetType 3 +) +xt "50000,80000,57250,80000" +pts [ +"57250,80000" +"50000,80000" +] +) +start &114 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2524,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2525,0 +va (VaSet +font "courier,12,0" +) +xt "48000,78600,57100,80000" +st "sampleData" +blo "48000,79800" +tm "WireNameMgr" +) +) +on &91 +) +*218 (Wire +uid 3580,0 +shape (OrthoPolyLine +uid 3581,0 +va (VaSet +vasetType 3 +) +xt "114750,39000,122000,39000" +pts [ +"114750,39000" +"122000,39000" +] +) +start &123 +end &128 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 3584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3585,0 +va (VaSet +font "courier,12,0" +) +xt "116750,37600,126850,39000" +st "selectRefresh" +blo "116750,38800" +tm "WireNameMgr" +) +) +on &127 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *219 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*220 (Text +uid 346,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,0,-4600,1000" +st "Package List" +blo "-10000,800" +) +*221 (MLText +uid 347,0 +va (VaSet +) +xt "-10000,1000,8600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*222 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*223 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*224 (MLText +uid 351,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*225 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*226 (MLText +uid 353,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*227 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*228 (MLText +uid 355,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "193,111,1505,984" +viewArea "-11177,81929,31991,110521" +cachedDiagramExtent "-10000,0,177800,124000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 40 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-10000,0" +lastUid 4544,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*229 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,3500,8700,4900" +st "" +blo "2200,4700" +tm "BdLibraryNameMgr" +) +*230 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,4900,8000,6300" +st "" +blo "2200,6100" +tm "BlkNameMgr" +) +*231 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,6300,5500,7700" +st "U_0" +blo "2200,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*232 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*233 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*234 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +va (VaSet +) +xt "900,3500,5300,4700" +st "Library" +blo "900,4500" +tm "BdLibraryNameMgr" +) +*236 (Text +va (VaSet +) +xt "900,4700,10200,5900" +st "SaComponent" +blo "900,5700" +tm "CptNameMgr" +) +*237 (Text +va (VaSet +) +xt "900,5900,3700,7100" +st "U_0" +blo "900,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*238 (Text +va (VaSet +) +xt "500,3500,4900,4700" +st "Library" +blo "500,4500" +) +*239 (Text +va (VaSet +) +xt "500,4700,10800,5900" +st "VhdlComponent" +blo "500,5700" +) +*240 (Text +va (VaSet +) +xt "500,5900,3300,7100" +st "U_0" +blo "500,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*241 (Text +va (VaSet +) +xt "50,3500,4450,4700" +st "Library" +blo "50,4500" +) +*242 (Text +va (VaSet +) +xt "50,4700,11750,5900" +st "VerilogComponent" +blo "50,5700" +) +*243 (Text +va (VaSet +) +xt "50,5900,2850,7100" +st "U_0" +blo "50,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*244 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,4000,5150,5200" +st "eb1" +blo "3150,4900" +tm "HdlTextNameMgr" +) +*245 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,5200,4150,6400" +st "1" +blo "3150,6100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,9,0" +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,9,0" +) +) +second (MLText +va (VaSet +font "courier,9,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*246 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*247 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*248 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*249 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,70600,-4600,71600" +st "Declarations" +blo "-10000,71400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,71600,-7300,72600" +st "Ports:" +blo "-10000,72400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,97800,-6200,98800" +st "Pre User:" +blo "-10000,98600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,98800,29500,105100" +st "constant commandBusBitNb: positive := 6; +--constant maxDelayPeriodNb: positive := 5; + +-- delay counter: +-- 20120621 -- zas -- added to generics +--constant delayCounterBitNb: positive := 13; -- 66MHz * 100us = 6600 < 8K +--constant refreshPeriodNb: positive := 1031; -- 66MHz * 64ms / 4096" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,104400,-2900,105400" +st "Diagram Signals:" +blo "-10000,105200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-10000,70600,-5300,71600" +st "Post User:" +blo "-10000,71400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-10000,70600,-10000,70600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 53,0 +usingSuid 1 +emptyRow *250 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*251 (RefLabelRowHdr +) +*252 (TitleRowHdr +) +*253 (FilterRowHdr +) +*254 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*255 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*256 (GroupColHdr +tm "GroupColHdrMgr" +) +*257 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*258 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*259 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*260 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*261 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*262 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*263 (LeafLogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +) +uid 283,0 +) +*264 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +) +uid 285,0 +) +*265 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +) +uid 287,0 +) +*266 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +) +uid 289,0 +) +*267 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 291,0 +) +*268 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +) +uid 293,0 +) +*269 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +) +uid 295,0 +) +*270 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +) +uid 297,0 +) +*271 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +) +uid 299,0 +) +*272 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +) +uid 301,0 +) +*273 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 303,0 +) +*274 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +) +uid 305,0 +) +*275 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 311,0 +) +*276 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +) +uid 590,0 +) +*277 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 592,0 +) +*278 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +) +uid 594,0 +) +*279 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 596,0 +) +*280 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +) +uid 598,0 +) +*281 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +) +uid 1313,0 +) +*282 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +) +uid 1315,0 +) +*283 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +) +uid 1667,0 +) +*284 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +) +uid 1683,0 +) +*285 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +) +uid 1707,0 +) +*286 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +) +uid 1797,0 +) +*287 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +) +uid 1803,0 +) +*288 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +) +uid 1934,0 +) +*289 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +) +uid 2061,0 +) +*290 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +) +uid 2278,0 +) +*291 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +) +uid 2280,0 +) +*292 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +) +uid 2394,0 +) +*293 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +) +uid 2396,0 +) +*294 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +) +uid 2476,0 +) +*295 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +) +uid 2478,0 +) +*296 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +) +uid 2526,0 +) +*297 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +) +uid 3592,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*298 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *299 (MRCItem +litem &250 +pos 35 +dimension 20 +) +uid 373,0 +optionalChildren [ +*300 (MRCItem +litem &251 +pos 0 +dimension 20 +uid 374,0 +) +*301 (MRCItem +litem &252 +pos 1 +dimension 23 +uid 375,0 +) +*302 (MRCItem +litem &253 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*303 (MRCItem +litem &263 +pos 5 +dimension 20 +uid 284,0 +) +*304 (MRCItem +litem &264 +pos 8 +dimension 20 +uid 286,0 +) +*305 (MRCItem +litem &265 +pos 9 +dimension 20 +uid 288,0 +) +*306 (MRCItem +litem &266 +pos 10 +dimension 20 +uid 290,0 +) +*307 (MRCItem +litem &267 +pos 11 +dimension 20 +uid 292,0 +) +*308 (MRCItem +litem &268 +pos 3 +dimension 20 +uid 294,0 +) +*309 (MRCItem +litem &269 +pos 6 +dimension 20 +uid 296,0 +) +*310 (MRCItem +litem &270 +pos 7 +dimension 20 +uid 298,0 +) +*311 (MRCItem +litem &271 +pos 12 +dimension 20 +uid 300,0 +) +*312 (MRCItem +litem &272 +pos 13 +dimension 20 +uid 302,0 +) +*313 (MRCItem +litem &273 +pos 14 +dimension 20 +uid 304,0 +) +*314 (MRCItem +litem &274 +pos 15 +dimension 20 +uid 306,0 +) +*315 (MRCItem +litem &275 +pos 17 +dimension 20 +uid 312,0 +) +*316 (MRCItem +litem &276 +pos 0 +dimension 20 +uid 589,0 +) +*317 (MRCItem +litem &277 +pos 1 +dimension 20 +uid 591,0 +) +*318 (MRCItem +litem &278 +pos 2 +dimension 20 +uid 593,0 +) +*319 (MRCItem +litem &279 +pos 4 +dimension 20 +uid 595,0 +) +*320 (MRCItem +litem &280 +pos 18 +dimension 20 +uid 597,0 +) +*321 (MRCItem +litem &281 +pos 16 +dimension 20 +uid 1312,0 +) +*322 (MRCItem +litem &282 +pos 19 +dimension 20 +uid 1314,0 +) +*323 (MRCItem +litem &283 +pos 21 +dimension 20 +uid 1668,0 +) +*324 (MRCItem +litem &284 +pos 22 +dimension 20 +uid 1684,0 +) +*325 (MRCItem +litem &285 +pos 23 +dimension 20 +uid 1708,0 +) +*326 (MRCItem +litem &286 +pos 24 +dimension 20 +uid 1798,0 +) +*327 (MRCItem +litem &287 +pos 25 +dimension 20 +uid 1804,0 +) +*328 (MRCItem +litem &288 +pos 26 +dimension 20 +uid 1935,0 +) +*329 (MRCItem +litem &289 +pos 27 +dimension 20 +uid 2062,0 +) +*330 (MRCItem +litem &290 +pos 28 +dimension 20 +uid 2279,0 +) +*331 (MRCItem +litem &291 +pos 29 +dimension 20 +uid 2281,0 +) +*332 (MRCItem +litem &292 +pos 30 +dimension 20 +uid 2395,0 +) +*333 (MRCItem +litem &293 +pos 31 +dimension 20 +uid 2397,0 +) +*334 (MRCItem +litem &294 +pos 32 +dimension 20 +uid 2477,0 +) +*335 (MRCItem +litem &295 +pos 33 +dimension 20 +uid 2479,0 +) +*336 (MRCItem +litem &296 +pos 34 +dimension 20 +uid 2527,0 +) +*337 (MRCItem +litem &297 +pos 20 +dimension 20 +uid 3593,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*338 (MRCItem +litem &254 +pos 0 +dimension 20 +uid 378,0 +) +*339 (MRCItem +litem &256 +pos 1 +dimension 50 +uid 379,0 +) +*340 (MRCItem +litem &257 +pos 2 +dimension 100 +uid 380,0 +) +*341 (MRCItem +litem &258 +pos 3 +dimension 50 +uid 381,0 +) +*342 (MRCItem +litem &259 +pos 4 +dimension 100 +uid 382,0 +) +*343 (MRCItem +litem &260 +pos 5 +dimension 100 +uid 383,0 +) +*344 (MRCItem +litem &261 +pos 6 +dimension 50 +uid 384,0 +) +*345 (MRCItem +litem &262 +pos 7 +dimension 80 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *346 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*347 (RefLabelRowHdr +) +*348 (TitleRowHdr +) +*349 (FilterRowHdr +) +*350 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*351 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*352 (GroupColHdr +tm "GroupColHdrMgr" +) +*353 (NameColHdr +tm "GenericNameColHdrMgr" +) +*354 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*355 (InitColHdr +tm "GenericValueColHdrMgr" +) +*356 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*357 (EolColHdr +tm "GenericEolColHdrMgr" +) +*358 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 852,0 +) +*359 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 854,0 +) +*360 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 1038,0 +) +*361 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 1444,0 +) +*362 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 3935,0 +) +*363 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 3937,0 +) +*364 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4122,0 +) +*365 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4124,0 +) +*366 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 4126,0 +) +*367 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 4128,0 +) +*368 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4130,0 +) +*369 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 4132,0 +) +*370 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 4134,0 +) +*371 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4136,0 +) +*372 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 4138,0 +) +*373 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 4140,0 +) +*374 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 4341,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*375 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *376 (MRCItem +litem &346 +pos 17 +dimension 20 +) +uid 401,0 +optionalChildren [ +*377 (MRCItem +litem &347 +pos 0 +dimension 20 +uid 402,0 +) +*378 (MRCItem +litem &348 +pos 1 +dimension 23 +uid 403,0 +) +*379 (MRCItem +litem &349 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*380 (MRCItem +litem &358 +pos 0 +dimension 20 +uid 851,0 +) +*381 (MRCItem +litem &359 +pos 1 +dimension 20 +uid 853,0 +) +*382 (MRCItem +litem &360 +pos 2 +dimension 20 +uid 1037,0 +) +*383 (MRCItem +litem &361 +pos 3 +dimension 20 +uid 1443,0 +) +*384 (MRCItem +litem &362 +pos 4 +dimension 20 +uid 3936,0 +) +*385 (MRCItem +litem &363 +pos 5 +dimension 20 +uid 3938,0 +) +*386 (MRCItem +litem &364 +pos 6 +dimension 20 +uid 4123,0 +) +*387 (MRCItem +litem &365 +pos 7 +dimension 20 +uid 4125,0 +) +*388 (MRCItem +litem &366 +pos 8 +dimension 20 +uid 4127,0 +) +*389 (MRCItem +litem &367 +pos 9 +dimension 20 +uid 4129,0 +) +*390 (MRCItem +litem &368 +pos 10 +dimension 20 +uid 4131,0 +) +*391 (MRCItem +litem &369 +pos 11 +dimension 20 +uid 4133,0 +) +*392 (MRCItem +litem &370 +pos 12 +dimension 20 +uid 4135,0 +) +*393 (MRCItem +litem &371 +pos 13 +dimension 20 +uid 4137,0 +) +*394 (MRCItem +litem &372 +pos 14 +dimension 20 +uid 4139,0 +) +*395 (MRCItem +litem &373 +pos 15 +dimension 20 +uid 4141,0 +) +*396 (MRCItem +litem &374 +pos 16 +dimension 20 +uid 4342,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*397 (MRCItem +litem &350 +pos 0 +dimension 20 +uid 406,0 +) +*398 (MRCItem +litem &352 +pos 1 +dimension 50 +uid 407,0 +) +*399 (MRCItem +litem &353 +pos 2 +dimension 222 +uid 408,0 +) +*400 (MRCItem +litem &354 +pos 3 +dimension 100 +uid 409,0 +) +*401 (MRCItem +litem &355 +pos 4 +dimension 50 +uid 410,0 +) +*402 (MRCItem +litem &356 +pos 5 +dimension 50 +uid 411,0 +) +*403 (MRCItem +litem &357 +pos 6 +dimension 256 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/symbol.sb b/Libs/Memory/hds/sdram@controller/symbol.sb new file mode 100644 index 0000000..0ae9d39 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/symbol.sb @@ -0,0 +1,2973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +uid 423,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +uid 425,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +uid 427,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +uid 429,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +uid 433,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +uid 553,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +uid 650,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 201,0 +optionalChildren [ +*37 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*39 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*40 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*41 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*42 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*43 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*44 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*45 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*46 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*47 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*48 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*49 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*50 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*51 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*52 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*53 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +*54 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 426,0 +) +*55 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 428,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 430,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 434,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 493,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 554,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 649,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*61 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*62 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*63 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*64 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*65 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*66 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*67 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*68 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*82 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*83 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 546,0 +) +*84 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 555,0 +) +*85 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 726,0 +) +*86 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 728,0 +) +*87 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 753,0 +) +*88 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 755,0 +) +*89 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 757,0 +) +*90 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 759,0 +) +*91 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 761,0 +) +*92 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 763,0 +) +*93 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 765,0 +) +*94 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 767,0 +) +*95 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 769,0 +) +*96 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 771,0 +) +*97 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 796,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*98 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *99 (MRCItem +litem &69 +pos 4 +dimension 20 +) +uid 229,0 +optionalChildren [ +*100 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 230,0 +) +*101 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 231,0 +) +*102 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*103 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 543,0 +) +*104 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 545,0 +) +*105 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 547,0 +) +*106 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 556,0 +) +*107 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 725,0 +) +*108 (MRCItem +litem &86 +pos 5 +dimension 20 +uid 727,0 +) +*109 (MRCItem +litem &87 +pos 6 +dimension 20 +uid 752,0 +) +*110 (MRCItem +litem &88 +pos 7 +dimension 20 +uid 754,0 +) +*111 (MRCItem +litem &89 +pos 8 +dimension 20 +uid 756,0 +) +*112 (MRCItem +litem &90 +pos 9 +dimension 20 +uid 758,0 +) +*113 (MRCItem +litem &91 +pos 10 +dimension 20 +uid 760,0 +) +*114 (MRCItem +litem &92 +pos 11 +dimension 20 +uid 762,0 +) +*115 (MRCItem +litem &93 +pos 12 +dimension 20 +uid 764,0 +) +*116 (MRCItem +litem &94 +pos 13 +dimension 20 +uid 766,0 +) +*117 (MRCItem +litem &95 +pos 14 +dimension 20 +uid 768,0 +) +*118 (MRCItem +litem &96 +pos 15 +dimension 20 +uid 770,0 +) +*119 (MRCItem +litem &97 +pos 16 +dimension 20 +uid 795,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*120 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 234,0 +) +*121 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 235,0 +) +*122 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 236,0 +) +*123 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 237,0 +) +*124 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 238,0 +) +*125 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 239,0 +) +*126 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*127 (SymbolBody +uid 8,0 +optionalChildren [ +*128 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,29625,42000,30375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "43000,29500,45100,30500" +st "clock" +blo "43000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*129 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,15625,42000,16375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "43000,15500,48400,16500" +st "ramDataValid" +blo "43000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,19000,25000" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*130 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,5625,42000,6375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "43000,5500,46300,6500" +st "ramAddr" +blo "43000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,30500,15100" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*131 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,5625,58750,6375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "51800,5500,57000,6500" +st "memAddress" +ju 2 +blo "57000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,38000,20500" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*132 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "43000,9500,47900,10500" +st "ramDataOut" +blo "43000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,33500,16000" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*133 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,9625,58750,10375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "52800,9500,57000,10500" +st "memDataIn" +ju 2 +blo "57000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,33500,14200" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*134 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,11625,58750,12375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "51800,11500,57000,12500" +st "memDataOut" +ju 2 +blo "57000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,33500,22300" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*135 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,19625,58750,20375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "53200,19500,57000,20500" +st "memWr_n" +ju 2 +blo "57000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,19000,23200" +st "memWr_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*136 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,27625,42000,28375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "43000,27500,45600,28500" +st "ramEn" +blo "43000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*137 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,11625,42000,12375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "43000,11500,45700,12500" +st "ramRd" +blo "43000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "ramRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*138 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,13625,42000,14375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "43000,13500,45700,14500" +st "ramWr" +blo "43000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*139 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,31625,42000,32375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "43000,31500,45100,32500" +st "reset" +blo "43000,32300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*140 (CptPort +uid 347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,17625,58750,18375" +) +tg (CPTG +uid 349,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 350,0 +va (VaSet +) +xt "53600,17500,57000,18500" +st "sdCas_n" +ju 2 +blo "57000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 351,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,19000,25900" +st "sdCas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*141 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,23625,58750,24375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "54500,23500,57000,24500" +st "sdCke" +ju 2 +blo "57000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "sdCke : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*142 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,25625,58750,26375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "54700,25500,57000,26500" +st "sdClk" +ju 2 +blo "57000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "sdClk : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*143 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,13625,58750,14375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "54000,13500,57000,14500" +st "sdCs_n" +ju 2 +blo "57000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,19000,28600" +st "sdCs_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*144 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,21625,58750,22375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "54100,21500,57000,22500" +st "sdDqm" +ju 2 +blo "57000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,28600,28500,29500" +st "sdDqm : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*145 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,15625,58750,16375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +) +xt "53600,15500,57000,16500" +st "sdRas_n" +ju 2 +blo "57000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 381,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,19000,30400" +st "sdRas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*146 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "43000,7500,46900,8500" +st "ramDataIn" +blo "43000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,33500,24100" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*147 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,7625,58750,8375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +) +xt "50200,7500,57000,8500" +st "memBankAddress" +ju 2 +blo "57000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,40000,21400" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*148 (CptPort +uid 651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 652,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,29625,58750,30375" +) +tg (CPTG +uid 653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 654,0 +va (VaSet +) +xt "51500,29500,57000,30500" +st "selectRefresh" +ju 2 +blo "57000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 655,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,18000,31300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,2000,58000,34000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42550,34500,45550,35400" +st "Memory" +blo "42550,35200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42550,35400,50550,36300" +st "sdramController" +blo "42550,36100" +) +) +gi *149 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,38200,77500,55300" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 9 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +loadModeToActivePeriodNb positive 1 --1 CK +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +delayCounterbitNb positive 13 --66MHz * 100us = 6600 < 8K +refreshPeriodNb positive 1031 --66MHz * 64ms / 4096 +maxDelayPeriodNb positive 5 --66MHz*66ns = 4.356 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*150 (Grouping +uid 16,0 +optionalChildren [ +*151 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*152 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*153 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*154 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*155 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*156 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*157 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*158 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*159 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*160 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *161 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*163 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "13,42,1372,937" +viewArea "-3000,-1000,73380,51327" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *164 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *165 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,31300,500,32200" +st "User:" +blo "-2000,32000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,0,32200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 819,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@build@address/symbol.sb b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb new file mode 100644 index 0000000..5e8a713 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +uid 360,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +uid 362,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +uid 364,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +uid 366,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 368,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 370,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +uid 372,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 361,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 363,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 365,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 367,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 369,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 371,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 159,0 +) +*54 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 161,0 +) +*55 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 163,0 +) +*56 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 420,0 +) +*57 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +uid 422,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &41 +pos 5 +dimension 20 +) +uid 124,0 +optionalChildren [ +*60 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 125,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 126,0 +) +*62 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*63 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 162,0 +) +*65 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 164,0 +) +*66 (MRCItem +litem &56 +pos 3 +dimension 20 +uid 421,0 +) +*67 (MRCItem +litem &57 +pos 4 +dimension 20 +uid 423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*68 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 129,0 +) +*69 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 131,0 +) +*71 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 132,0 +) +*72 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 133,0 +) +*73 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 134,0 +) +*74 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerBuildAddress" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +) +xt "35000,17500,39600,18500" +st "addrSelCol" +blo "35000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,20000,31300" +st "addrSelCol : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*77 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 331,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 333,0 +va (VaSet +) +xt "35000,13500,41800,14500" +st "addrSelModeReg" +blo "35000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "courier,8,0" +) +xt "0,31300,20000,32200" +st "addrSelModeReg : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*78 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,11625,34000,12375" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "35000,11500,42000,12500" +st "addrSelPrecharge" +blo "35000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 339,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,20000,33100" +st "addrSelPrecharge : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*79 (CptPort +uid 340,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 341,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 342,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 343,0 +va (VaSet +) +xt "35000,15500,39900,16500" +st "addrSelRow" +blo "35000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "0,33100,20000,34000" +st "addrSelRow : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*80 (CptPort +uid 345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,9625,50750,10375" +) +tg (CPTG +uid 347,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 348,0 +va (VaSet +) +xt "43800,9500,49000,10500" +st "memAddress" +ju 2 +blo "49000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 349,0 +va (VaSet +font "courier,8,0" +) +xt "0,34900,39000,35800" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*81 (CptPort +uid 350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 351,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,11625,50750,12375" +) +tg (CPTG +uid 352,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 353,0 +va (VaSet +) +xt "42200,11500,49000,12500" +st "memBankAddress" +ju 2 +blo "49000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 354,0 +va (VaSet +font "courier,8,0" +) +xt "0,35800,40000,36700" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*82 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,9625,34000,10375" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 358,0 +va (VaSet +) +xt "35000,9500,38300,10500" +st "ramAddr" +blo "35000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "courier,8,0" +) +xt "0,34000,31500,34900" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,6000,50000,22000" +) +oxt "15000,6000,31000,23000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33850,22500,36850,23400" +st "Memory" +blo "33850,23200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33850,23400,47850,24300" +st "sdramControllerBuildAddress" +blo "33850,24100" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,26000,51500,32300" +st "Generic Declarations + +addressBitNb positive 24 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,45,1386,982" +viewArea "-3100,-1100,72602,50512" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28400,3400,29400" +st "Declarations" +blo "-2000,29200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,29400,700,30400" +st "Ports:" +blo "-2000,30200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,36700,500,37600" +st "User:" +blo "-2000,37400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,28400,3800,29400" +st "Internal User:" +blo "-2000,29200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,37600,0,37600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,28400,-2000,28400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 446,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/fsm.sm b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm new file mode 100644 index 0000000..d559982 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm @@ -0,0 +1,11074 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +uid 156,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,24000,14000,32000" +radius 4000 +) +name (Text +uid 41,0 +va (VaSet +font "courier,10,1" +) +xt "7500,27400,12500,28600" +st "powerUp" +ju 0 +blo "10000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,28300,13700,29500" +st "wait 2" +blo "10100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,29000,10000,29000" +blo "10000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "7900,29400,16100,30600" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,29300,16000,29300" +pts [ +"8000,29300" +"16000,29300" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,28650,16000,28650" +pts [ +"8000,28650" +"16000,28650" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,28925,7900,29275" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,26625,7900,26975" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "8000,29100,8000,29100" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "8000,29500,20000,30500" +st "powerUpDone <= '0';" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "8000,26800,8000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,29000,13900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "4150,10700,4749,11300" +pts [ +"4150,10700" +"4449,10700" +"4449,11300" +"4749,11300" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "650,10500,2750,11500" +st "clock" +ju 2 +blo "2750,11300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10450,15200,11550" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "5600,10550,15100,11450" +st "rising_edge(clock)" +tm "SmControlConditionMgr" +) +) +edge 2 +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "3250,14500,5500,15500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,14700,4749,15300" +pts [ +"4749,14700" +"4449,14700" +"4449,15300" +"4150,15300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "3499,14825,3599,14875" +pts [ +"3499,14875" +"3599,14825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "3499,14825,3499,15175" +pts [ +"3499,15175" +"3499,14825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,14850,4599,15150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1650,12950,8050,14050" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1750,13050,7950,13950" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,14219,7062,15781" +radius 781 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "5881,14500,6681,15500" +st "1" +ju 0 +blo "6281,15300" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "1150,14500,3250,15500" +st "reset" +ju 2 +blo "3250,15300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11125,19125,18125,20025" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "13500,14500,15750,15500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "13000,15000,13500,15000" +pts [ +"13000,15000" +"13500,15000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "courier,8,1" +) +xt "16250,14500,19950,15500" +st "powerUp" +blo "16250,15300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "3250,12100,5050,13900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"3724,13426" +"4576,12574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"4576,13426" +"3724,12574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "13500,12500,15750,13500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "13000,13000,13500,13000" +pts [ +"13000,13000" +"13500,13000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "courier,8,1" +) +xt "16250,12500,19950,13500" +st "powerUp" +blo "16250,13300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 120,0 +optionalChildren [ +*9 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,96000,127000,97000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 124,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,96000,125200,97000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,92000,131000,93000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 127,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,92000,130800,93000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,94000,127000,95000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 130,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,94000,126400,95000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,94000,110000,95000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,94000,109800,95000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,93000,147000,97000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,93200,140400,94200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "131000,92000,147000,93000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "131200,92000,133000,93000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,92000,127000,94000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 142,0 +va (VaSet +fg "32768,0,0" +) +xt "112000,92500,121000,93500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,95000,110000,96000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,95000,109200,96000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,96000,110000,97000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,96000,109800,97000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,95000,127000,96000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,95000,126400,96000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 121,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "106000,92000,147000,97000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 229,0 +shape (Circle +uid 230,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,24000,30000,32000" +radius 4000 +) +name (Text +uid 231,0 +va (VaSet +font "courier,10,1" +) +xt "23050,27400,28950,28600" +st "initialize1" +ju 0 +blo "26000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 232,0 +ps "CenterOffsetStrategy" +text (Text +uid 233,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,28300,29700,29500" +st "wait 2" +blo "26100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 234,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,29000,26000,29000" +blo "26000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 237,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,28400,34500,31600" +) +autoResize 1 +tline (Line +uid 239,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,28300,34400,28300" +pts [ +"23600,28300" +"34400,28300" +] +) +bline (Line +uid 240,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,29150,34400,29150" +pts [ +"23600,29150" +"34400,29150" +] +) +ttri (Triangle +uid 241,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,27925,23500,28275" +) +btri (Triangle +uid 242,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,26625,23500,26975" +) +entryActions (MLText +uid 243,0 +va (VaSet +) +xt "23600,28100,23600,28100" +tm "Actions" +) +inActions (MLText +uid 244,0 +va (VaSet +) +xt "23600,28500,38600,31500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 245,0 +va (VaSet +) +xt "25000,26800,25000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 235,0 +ps "CenterOffsetStrategy" +text (MLText +uid 236,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,29000,29900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 285,0 +shape (Circle +uid 286,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,24000,46000,32000" +radius 4000 +) +name (Text +uid 287,0 +va (VaSet +font "courier,10,1" +) +xt "39050,27400,44950,28600" +st "initialize2" +ju 0 +blo "42000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 288,0 +ps "CenterOffsetStrategy" +text (Text +uid 289,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,28300,45700,29500" +st "wait 2" +blo "42100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 290,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,29000,42000,29000" +blo "42000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,29900,44100,30100" +) +autoResize 1 +tline (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,29300,48850,29300" +pts [ +"39150,29300" +"48850,29300" +] +) +bline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,28650,48850,28650" +pts [ +"39150,28650" +"48850,28650" +] +) +ttri (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,28925,39900,29275" +) +btri (Triangle +uid 298,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,26625,39900,26975" +) +entryActions (MLText +uid 299,0 +va (VaSet +) +xt "39150,29100,39150,29100" +tm "Actions" +) +inActions (MLText +uid 300,0 +va (VaSet +) +xt "39150,29500,48850,30500" +tm "Actions" +) +exitActions (MLText +uid 301,0 +va (VaSet +) +xt "40000,26800,40000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 291,0 +ps "CenterOffsetStrategy" +text (MLText +uid 292,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,29000,45900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 334,0 +shape (Circle +uid 335,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,24000,62000,32000" +radius 4000 +) +name (Text +uid 336,0 +va (VaSet +font "courier,10,1" +) +xt "55050,27400,60950,28600" +st "initialize3" +ju 0 +blo "58000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 337,0 +ps "CenterOffsetStrategy" +text (Text +uid 338,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,28300,61700,29500" +st "wait 2" +blo "58100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 339,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,29000,58000,29000" +blo "58000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 342,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 343,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,28900,67800,31100" +) +autoResize 1 +tline (Line +uid 344,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28800,67700,28800" +pts [ +"56300,28800" +"67700,28800" +] +) +bline (Line +uid 345,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28900,67700,28900" +pts [ +"56300,28900" +"67700,28900" +] +) +ttri (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,28425,56200,28775" +) +btri (Triangle +uid 347,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,26625,56200,26975" +) +entryActions (MLText +uid 348,0 +va (VaSet +) +xt "56300,28600,56300,28600" +tm "Actions" +) +inActions (MLText +uid 349,0 +va (VaSet +) +xt "56300,29000,72500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 350,0 +va (VaSet +) +xt "58000,26800,58000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 340,0 +ps "CenterOffsetStrategy" +text (MLText +uid 341,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,29000,61900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 361,0 +shape (Circle +uid 362,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,24000,78000,32000" +radius 4000 +) +name (Text +uid 363,0 +va (VaSet +font "courier,10,1" +) +xt "71050,27400,76950,28600" +st "initialize4" +ju 0 +blo "74000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 364,0 +ps "CenterOffsetStrategy" +text (Text +uid 365,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,28300,77700,29500" +st "wait 2" +blo "74100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 366,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,29000,74000,29000" +blo "74000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 369,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 370,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,29900,78100,30100" +) +autoResize 1 +tline (Line +uid 371,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28800,84300,28800" +pts [ +"71700,28800" +"84300,28800" +] +) +bline (Line +uid 372,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28900,84300,28900" +pts [ +"71700,28900" +"84300,28900" +] +) +ttri (Triangle +uid 373,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,28425,73900,28775" +) +btri (Triangle +uid 374,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,26625,73900,26975" +) +entryActions (MLText +uid 375,0 +va (VaSet +) +xt "71700,28600,71700,28600" +tm "Actions" +) +inActions (MLText +uid 376,0 +va (VaSet +) +xt "71700,29000,84300,31000" +tm "Actions" +) +exitActions (MLText +uid 377,0 +va (VaSet +) +xt "74000,26800,74000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 367,0 +ps "CenterOffsetStrategy" +text (MLText +uid 368,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,29000,77900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 378,0 +shape (Circle +uid 379,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,24000,94000,32000" +radius 4000 +) +name (Text +uid 380,0 +va (VaSet +font "courier,10,1" +) +xt "87050,27400,92950,28600" +st "initialize5" +ju 0 +blo "90000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 381,0 +ps "CenterOffsetStrategy" +text (Text +uid 382,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,28300,93700,29500" +st "wait 2" +blo "90100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 383,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,29000,90000,29000" +blo "90000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 386,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,28900,99800,31100" +) +autoResize 1 +tline (Line +uid 388,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28800,99700,28800" +pts [ +"88300,28800" +"99700,28800" +] +) +bline (Line +uid 389,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28900,99700,28900" +pts [ +"88300,28900" +"99700,28900" +] +) +ttri (Triangle +uid 390,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,28425,88200,28775" +) +btri (Triangle +uid 391,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,26625,88200,26975" +) +entryActions (MLText +uid 392,0 +va (VaSet +) +xt "88300,28600,88300,28600" +tm "Actions" +) +inActions (MLText +uid 393,0 +va (VaSet +) +xt "88300,29000,104500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 394,0 +va (VaSet +) +xt "90000,26800,90000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 384,0 +ps "CenterOffsetStrategy" +text (MLText +uid 385,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,29000,93900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 415,0 +shape (Circle +uid 416,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,24000,110000,32000" +radius 4000 +) +name (Text +uid 417,0 +va (VaSet +font "courier,10,1" +) +xt "103050,27400,108950,28600" +st "initialize6" +ju 0 +blo "106000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 418,0 +ps "CenterOffsetStrategy" +text (Text +uid 419,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,28300,109700,29500" +st "wait 2" +blo "106100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 420,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,29000,106000,29000" +blo "106000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 423,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 424,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,29900,110100,30100" +) +autoResize 1 +tline (Line +uid 425,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28800,116300,28800" +pts [ +"103700,28800" +"116300,28800" +] +) +bline (Line +uid 426,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28900,116300,28900" +pts [ +"103700,28900" +"116300,28900" +] +) +ttri (Triangle +uid 427,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,28425,105900,28775" +) +btri (Triangle +uid 428,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,26625,105900,26975" +) +entryActions (MLText +uid 429,0 +va (VaSet +) +xt "103700,28600,103700,28600" +tm "Actions" +) +inActions (MLText +uid 430,0 +va (VaSet +) +xt "103700,29000,116300,31000" +tm "Actions" +) +exitActions (MLText +uid 431,0 +va (VaSet +) +xt "106000,26800,106000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 421,0 +ps "CenterOffsetStrategy" +text (MLText +uid 422,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,29000,109900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 502,0 +shape (Circle +uid 503,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "118000,24000,126000,32000" +radius 4000 +) +name (Text +uid 504,0 +va (VaSet +font "courier,10,1" +) +xt "119050,27400,124950,28600" +st "initialize7" +ju 0 +blo "122000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 505,0 +ps "CenterOffsetStrategy" +text (Text +uid 506,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "122100,28300,125700,29500" +st "wait 2" +blo "122100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 507,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "122000,29000,122000,29000" +blo "122000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 510,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "119850,28400,132150,31600" +) +autoResize 1 +tline (Line +uid 512,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,28300,132050,28300" +pts [ +"119950,28300" +"132050,28300" +] +) +bline (Line +uid 513,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,29150,132050,29150" +pts [ +"119950,29150" +"132050,29150" +] +) +ttri (Triangle +uid 514,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,27925,119850,28275" +) +btri (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,26625,119850,26975" +) +entryActions (MLText +uid 516,0 +va (VaSet +) +xt "119950,28100,119950,28100" +tm "Actions" +) +inActions (MLText +uid 517,0 +va (VaSet +) +xt "119950,28500,136150,31500" +st "commandBus <= loadModeReg; +timerStart <= '1'; +addrSelModeReg <= '1';" +tm "Actions" +) +exitActions (MLText +uid 518,0 +va (VaSet +) +xt "122000,26800,122000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 508,0 +ps "CenterOffsetStrategy" +text (MLText +uid 509,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "120400,29000,125900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 531,0 +shape (Circle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "134000,24000,142000,32000" +radius 4000 +) +name (Text +uid 533,0 +va (VaSet +font "courier,10,1" +) +xt "135050,27400,140950,28600" +st "initialize8" +ju 0 +blo "138000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 534,0 +ps "CenterOffsetStrategy" +text (Text +uid 535,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "138100,28300,141700,29500" +st "wait 2" +blo "138100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 536,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "138000,29000,138000,29000" +blo "138000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 539,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "141900,29900,142100,30100" +) +autoResize 1 +tline (Line +uid 541,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28800,148300,28800" +pts [ +"135700,28800" +"148300,28800" +] +) +bline (Line +uid 542,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28900,148300,28900" +pts [ +"135700,28900" +"148300,28900" +] +) +ttri (Triangle +uid 543,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,28425,137900,28775" +) +btri (Triangle +uid 544,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,26625,137900,26975" +) +entryActions (MLText +uid 545,0 +va (VaSet +) +xt "135700,28600,135700,28600" +tm "Actions" +) +inActions (MLText +uid 546,0 +va (VaSet +) +xt "135700,29000,148300,31000" +tm "Actions" +) +exitActions (MLText +uid 547,0 +va (VaSet +) +xt "138000,26800,138000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 537,0 +ps "CenterOffsetStrategy" +text (MLText +uid 538,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "136400,29000,141900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 558,0 +shape (Circle +uid 559,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,40000,14000,48000" +radius 4000 +) +name (Text +uid 560,0 +va (VaSet +font "courier,10,1" +) +xt "8800,43400,11200,44600" +st "idle" +ju 0 +blo "10000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 561,0 +ps "CenterOffsetStrategy" +text (Text +uid 562,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,44300,13700,45500" +st "wait 2" +blo "10100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 563,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,45000,10000,45000" +blo "10000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 566,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 567,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "11900,45900,12100,46100" +) +autoResize 1 +tline (Line +uid 568,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,45300,16000,45300" +pts [ +"8000,45300" +"16000,45300" +] +) +bline (Line +uid 569,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,44650,16000,44650" +pts [ +"8000,44650" +"16000,44650" +] +) +ttri (Triangle +uid 570,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,44925,7900,45275" +) +btri (Triangle +uid 571,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,42625,7900,42975" +) +entryActions (MLText +uid 572,0 +va (VaSet +) +xt "8000,45100,8000,45100" +tm "Actions" +) +inActions (MLText +uid 573,0 +va (VaSet +) +xt "8000,45500,16000,46500" +tm "Actions" +) +exitActions (MLText +uid 574,0 +va (VaSet +) +xt "8000,42800,8000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 564,0 +ps "CenterOffsetStrategy" +text (MLText +uid 565,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,45000,13900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (Junction +uid 575,0 +shape (Diamond +uid 576,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,34350,11650,37650" +) +symbol (Text +uid 577,0 +va (VaSet +font "courier,10,1" +) +xt "9350,35400,10650,36600" +st "&" +ju 0 +blo "10000,36400" +) +name (TextAssociate +uid 578,0 +ps "CenterOffsetStrategy" +text (Text +uid 579,0 +va (VaSet +font "courier,8,1" +) +xt "11500,36500,11500,36500" +blo "11500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 580,0 +ps "CenterOffsetStrategy" +text (MLText +uid 581,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,37500,13150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (Junction +uid 592,0 +shape (Diamond +uid 593,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "136350,34350,139650,37650" +) +symbol (Text +uid 594,0 +va (VaSet +font "courier,10,1" +) +xt "137350,35400,138650,36600" +st "&" +ju 0 +blo "138000,36400" +) +name (TextAssociate +uid 595,0 +ps "CenterOffsetStrategy" +text (Text +uid 596,0 +va (VaSet +font "courier,8,1" +) +xt "139500,36500,139500,36500" +blo "139500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 597,0 +ps "CenterOffsetStrategy" +text (MLText +uid 598,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "135650,37500,141150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 629,0 +shape (Circle +uid 630,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,40000,30000,48000" +radius 4000 +) +name (Text +uid 631,0 +va (VaSet +font "courier,10,1" +) +xt "23650,43400,28350,44600" +st "refresh1" +ju 0 +blo "26000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 632,0 +ps "CenterOffsetStrategy" +text (Text +uid 633,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,44300,29700,45500" +st "wait 2" +blo "26100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 634,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,45000,26000,45000" +blo "26000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 637,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 638,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,45400,34500,48600" +) +autoResize 1 +tline (Line +uid 639,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,45300,34400,45300" +pts [ +"23600,45300" +"34400,45300" +] +) +bline (Line +uid 640,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,46150,34400,46150" +pts [ +"23600,46150" +"34400,46150" +] +) +ttri (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,44925,23500,45275" +) +btri (Triangle +uid 642,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,43625,23500,43975" +) +entryActions (MLText +uid 643,0 +va (VaSet +) +xt "23600,45100,23600,45100" +tm "Actions" +) +inActions (MLText +uid 644,0 +va (VaSet +) +xt "23600,45500,38600,48500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 645,0 +va (VaSet +) +xt "25000,43800,25000,43800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 635,0 +ps "CenterOffsetStrategy" +text (MLText +uid 636,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,45000,29900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 656,0 +shape (Circle +uid 657,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,40000,46000,48000" +radius 4000 +) +name (Text +uid 658,0 +va (VaSet +font "courier,10,1" +) +xt "39650,43400,44350,44600" +st "refresh2" +ju 0 +blo "42000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 659,0 +ps "CenterOffsetStrategy" +text (Text +uid 660,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,44300,45700,45500" +st "wait 2" +blo "42100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 661,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,45000,42000,45000" +blo "42000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 664,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 665,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,45900,44100,46100" +) +autoResize 1 +tline (Line +uid 666,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,45300,48850,45300" +pts [ +"39150,45300" +"48850,45300" +] +) +bline (Line +uid 667,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,44650,48850,44650" +pts [ +"39150,44650" +"48850,44650" +] +) +ttri (Triangle +uid 668,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,44925,39900,45275" +) +btri (Triangle +uid 669,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,42625,39900,42975" +) +entryActions (MLText +uid 670,0 +va (VaSet +) +xt "39150,45100,39150,45100" +tm "Actions" +) +inActions (MLText +uid 671,0 +va (VaSet +) +xt "39150,45500,48850,46500" +tm "Actions" +) +exitActions (MLText +uid 672,0 +va (VaSet +) +xt "40000,42800,40000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 662,0 +ps "CenterOffsetStrategy" +text (MLText +uid 663,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,45000,45900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 673,0 +shape (Circle +uid 674,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,40000,62000,48000" +radius 4000 +) +name (Text +uid 675,0 +va (VaSet +font "courier,10,1" +) +xt "55650,43400,60350,44600" +st "refresh3" +ju 0 +blo "58000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 676,0 +ps "CenterOffsetStrategy" +text (Text +uid 677,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,44300,61700,45500" +st "wait 2" +blo "58100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 678,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,45000,58000,45000" +blo "58000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 681,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 682,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,44900,67800,47100" +) +autoResize 1 +tline (Line +uid 683,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44800,67700,44800" +pts [ +"56300,44800" +"67700,44800" +] +) +bline (Line +uid 684,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44900,67700,44900" +pts [ +"56300,44900" +"67700,44900" +] +) +ttri (Triangle +uid 685,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,44425,56200,44775" +) +btri (Triangle +uid 686,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,42625,56200,42975" +) +entryActions (MLText +uid 687,0 +va (VaSet +) +xt "56300,44600,56300,44600" +tm "Actions" +) +inActions (MLText +uid 688,0 +va (VaSet +) +xt "56300,45000,72500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 689,0 +va (VaSet +) +xt "58000,42800,58000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 679,0 +ps "CenterOffsetStrategy" +text (MLText +uid 680,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,45000,61900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 690,0 +shape (Circle +uid 691,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,40000,78000,48000" +radius 4000 +) +name (Text +uid 692,0 +va (VaSet +font "courier,10,1" +) +xt "71650,43400,76350,44600" +st "refresh4" +ju 0 +blo "74000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 693,0 +ps "CenterOffsetStrategy" +text (Text +uid 694,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,44300,77700,45500" +st "wait 2" +blo "74100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 695,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,45000,74000,45000" +blo "74000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 698,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 699,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,45900,78100,46100" +) +autoResize 1 +tline (Line +uid 700,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44800,84300,44800" +pts [ +"71700,44800" +"84300,44800" +] +) +bline (Line +uid 701,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44900,84300,44900" +pts [ +"71700,44900" +"84300,44900" +] +) +ttri (Triangle +uid 702,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,44425,73900,44775" +) +btri (Triangle +uid 703,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,42625,73900,42975" +) +entryActions (MLText +uid 704,0 +va (VaSet +) +xt "71700,44600,71700,44600" +tm "Actions" +) +inActions (MLText +uid 705,0 +va (VaSet +) +xt "71700,45000,84300,47000" +tm "Actions" +) +exitActions (MLText +uid 706,0 +va (VaSet +) +xt "74000,42800,74000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 696,0 +ps "CenterOffsetStrategy" +text (MLText +uid 697,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,45000,77900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 707,0 +shape (Circle +uid 708,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,40000,94000,48000" +radius 4000 +) +name (Text +uid 709,0 +va (VaSet +font "courier,10,1" +) +xt "87650,43400,92350,44600" +st "refresh5" +ju 0 +blo "90000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 710,0 +ps "CenterOffsetStrategy" +text (Text +uid 711,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,44300,93700,45500" +st "wait 2" +blo "90100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 712,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,45000,90000,45000" +blo "90000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 716,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,44900,99800,47100" +) +autoResize 1 +tline (Line +uid 717,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44800,99700,44800" +pts [ +"88300,44800" +"99700,44800" +] +) +bline (Line +uid 718,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44900,99700,44900" +pts [ +"88300,44900" +"99700,44900" +] +) +ttri (Triangle +uid 719,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,44425,88200,44775" +) +btri (Triangle +uid 720,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,42625,88200,42975" +) +entryActions (MLText +uid 721,0 +va (VaSet +) +xt "88300,44600,88300,44600" +tm "Actions" +) +inActions (MLText +uid 722,0 +va (VaSet +) +xt "88300,45000,104500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 723,0 +va (VaSet +) +xt "90000,42800,90000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 713,0 +ps "CenterOffsetStrategy" +text (MLText +uid 714,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,45000,93900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 724,0 +shape (Circle +uid 725,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,40000,110000,48000" +radius 4000 +) +name (Text +uid 726,0 +va (VaSet +font "courier,10,1" +) +xt "102750,43400,109250,44600" +st "initialize13" +ju 0 +blo "106000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 727,0 +ps "CenterOffsetStrategy" +text (Text +uid 728,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,44300,109700,45500" +st "wait 2" +blo "106100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 729,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,45000,106000,45000" +blo "106000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 732,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 733,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,45900,110100,46100" +) +autoResize 1 +tline (Line +uid 734,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44800,116300,44800" +pts [ +"103700,44800" +"116300,44800" +] +) +bline (Line +uid 735,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44900,116300,44900" +pts [ +"103700,44900" +"116300,44900" +] +) +ttri (Triangle +uid 736,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,44425,105900,44775" +) +btri (Triangle +uid 737,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,42625,105900,42975" +) +entryActions (MLText +uid 738,0 +va (VaSet +) +xt "103700,44600,103700,44600" +tm "Actions" +) +inActions (MLText +uid 739,0 +va (VaSet +) +xt "103700,45000,116300,47000" +tm "Actions" +) +exitActions (MLText +uid 740,0 +va (VaSet +) +xt "106000,42800,106000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 730,0 +ps "CenterOffsetStrategy" +text (MLText +uid 731,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,45000,109900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (Junction +uid 791,0 +shape (Diamond +uid 792,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,34350,115650,37650" +) +symbol (Text +uid 793,0 +va (VaSet +font "courier,10,1" +) +xt "113350,35400,114650,36600" +st "&" +ju 0 +blo "114000,36400" +) +name (TextAssociate +uid 794,0 +ps "CenterOffsetStrategy" +text (Text +uid 795,0 +va (VaSet +font "courier,8,1" +) +xt "115500,36500,115500,36500" +blo "115500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 796,0 +ps "CenterOffsetStrategy" +text (MLText +uid 797,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,37500,117150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (Junction +uid 798,0 +shape (Diamond +uid 799,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,42350,115650,45650" +) +symbol (Text +uid 800,0 +va (VaSet +font "courier,10,1" +) +xt "113350,43400,114650,44600" +st "&" +ju 0 +blo "114000,44400" +) +name (TextAssociate +uid 801,0 +ps "CenterOffsetStrategy" +text (Text +uid 802,0 +va (VaSet +font "courier,8,1" +) +xt "115500,44500,115500,44500" +blo "115500,44500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 803,0 +ps "CenterOffsetStrategy" +text (MLText +uid 804,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,45500,117150,46400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (State +uid 870,0 +shape (Circle +uid 871,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,56000,30000,64000" +radius 4000 +) +name (Text +uid 872,0 +va (VaSet +font "courier,10,1" +) +xt "24150,59400,27850,60600" +st "write1" +ju 0 +blo "26000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 873,0 +ps "CenterOffsetStrategy" +text (Text +uid 874,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,60300,29700,61500" +st "wait 2" +blo "26100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 875,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,61000,26000,61000" +blo "26000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 878,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 879,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,60900,33700,65100" +) +autoResize 1 +tline (Line +uid 880,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,60800,33600,60800" +pts [ +"24400,60800" +"33600,60800" +] +) +bline (Line +uid 881,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,62400,33600,62400" +pts [ +"24400,62400" +"33600,62400" +] +) +ttri (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,60425,24300,60775" +) +btri (Triangle +uid 883,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,59625,24300,59975" +) +entryActions (MLText +uid 884,0 +va (VaSet +) +xt "24400,60600,24400,60600" +tm "Actions" +) +inActions (MLText +uid 885,0 +va (VaSet +) +xt "24400,61000,37600,65000" +st "commandBus <= active; +writeAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 886,0 +va (VaSet +) +xt "25000,59800,25000,59800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 876,0 +ps "CenterOffsetStrategy" +text (MLText +uid 877,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,61000,29900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*39 (State +uid 887,0 +shape (Circle +uid 888,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,56000,46000,64000" +radius 4000 +) +name (Text +uid 889,0 +va (VaSet +font "courier,10,1" +) +xt "40150,59400,43850,60600" +st "write2" +ju 0 +blo "42000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 890,0 +ps "CenterOffsetStrategy" +text (Text +uid 891,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,60300,45700,61500" +st "wait 2" +blo "42100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 892,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,61000,42000,61000" +blo "42000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 895,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 896,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,61900,44100,62100" +) +autoResize 1 +tline (Line +uid 897,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,61300,48850,61300" +pts [ +"39150,61300" +"48850,61300" +] +) +bline (Line +uid 898,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,60650,48850,60650" +pts [ +"39150,60650" +"48850,60650" +] +) +ttri (Triangle +uid 899,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,60925,39900,61275" +) +btri (Triangle +uid 900,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,58625,39900,58975" +) +entryActions (MLText +uid 901,0 +va (VaSet +) +xt "39150,61100,39150,61100" +tm "Actions" +) +inActions (MLText +uid 902,0 +va (VaSet +) +xt "39150,61500,48850,62500" +tm "Actions" +) +exitActions (MLText +uid 903,0 +va (VaSet +) +xt "40000,58800,40000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 893,0 +ps "CenterOffsetStrategy" +text (MLText +uid 894,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,61000,45900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*40 (State +uid 904,0 +shape (Circle +uid 905,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,56000,62000,64000" +radius 4000 +) +name (Text +uid 906,0 +va (VaSet +font "courier,10,1" +) +xt "56150,59400,59850,60600" +st "write3" +ju 0 +blo "58000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 907,0 +ps "CenterOffsetStrategy" +text (Text +uid 908,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,60300,61700,61500" +st "wait 2" +blo "58100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 909,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,61000,58000,61000" +blo "58000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 912,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 913,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56450,60400,65550,63600" +) +autoResize 1 +tline (Line +uid 914,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,60300,65450,60300" +pts [ +"56550,60300" +"65450,60300" +] +) +bline (Line +uid 915,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,61150,65450,61150" +pts [ +"56550,61150" +"65450,61150" +] +) +ttri (Triangle +uid 916,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,59925,56450,60275" +) +btri (Triangle +uid 917,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,58625,56450,58975" +) +entryActions (MLText +uid 918,0 +va (VaSet +) +xt "56550,60100,56550,60100" +tm "Actions" +) +inActions (MLText +uid 919,0 +va (VaSet +) +xt "56550,60500,69150,63500" +st "commandBus <= write; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 920,0 +va (VaSet +) +xt "57000,58800,57000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 910,0 +ps "CenterOffsetStrategy" +text (MLText +uid 911,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,61000,61900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*41 (State +uid 921,0 +shape (Circle +uid 922,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,56000,78000,64000" +radius 4000 +) +name (Text +uid 923,0 +va (VaSet +font "courier,10,1" +) +xt "72150,59400,75850,60600" +st "write4" +ju 0 +blo "74000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 924,0 +ps "CenterOffsetStrategy" +text (Text +uid 925,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,60300,77700,61500" +st "wait 2" +blo "74100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 926,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,61000,74000,61000" +blo "74000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 929,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 930,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,61900,78100,62100" +) +autoResize 1 +tline (Line +uid 931,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60800,84300,60800" +pts [ +"71700,60800" +"84300,60800" +] +) +bline (Line +uid 932,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60900,84300,60900" +pts [ +"71700,60900" +"84300,60900" +] +) +ttri (Triangle +uid 933,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,60425,73900,60775" +) +btri (Triangle +uid 934,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,58625,73900,58975" +) +entryActions (MLText +uid 935,0 +va (VaSet +) +xt "71700,60600,71700,60600" +tm "Actions" +) +inActions (MLText +uid 936,0 +va (VaSet +) +xt "71700,61000,84300,63000" +tm "Actions" +) +exitActions (MLText +uid 937,0 +va (VaSet +) +xt "74000,58800,74000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 927,0 +ps "CenterOffsetStrategy" +text (MLText +uid 928,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,61000,77900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*42 (Junction +uid 978,0 +shape (Diamond +uid 979,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,58350,11650,61650" +) +symbol (Text +uid 980,0 +va (VaSet +font "courier,10,1" +) +xt "9350,59400,10650,60600" +st "&" +ju 0 +blo "10000,60400" +) +name (TextAssociate +uid 981,0 +ps "CenterOffsetStrategy" +text (Text +uid 982,0 +va (VaSet +font "courier,8,1" +) +xt "11500,60500,11500,60500" +blo "11500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 983,0 +ps "CenterOffsetStrategy" +text (MLText +uid 984,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,61500,13150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*43 (Junction +uid 999,0 +shape (Diamond +uid 1000,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,58350,115650,61650" +) +symbol (Text +uid 1001,0 +va (VaSet +font "courier,10,1" +) +xt "113350,59400,114650,60600" +st "&" +ju 0 +blo "114000,60400" +) +name (TextAssociate +uid 1002,0 +ps "CenterOffsetStrategy" +text (Text +uid 1003,0 +va (VaSet +font "courier,8,1" +) +xt "115500,60500,115500,60500" +blo "115500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1005,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,61500,117150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*44 (State +uid 1026,0 +shape (Circle +uid 1027,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,72000,30000,80000" +radius 4000 +) +name (Text +uid 1028,0 +va (VaSet +font "courier,10,1" +) +xt "24300,75400,27700,76600" +st "read1" +ju 0 +blo "26000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1029,0 +ps "CenterOffsetStrategy" +text (Text +uid 1030,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,76300,29700,77500" +st "wait 2" +blo "26100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1031,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,77000,26000,77000" +blo "26000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1034,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1035,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,76900,33700,81100" +) +autoResize 1 +tline (Line +uid 1036,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,76800,33600,76800" +pts [ +"24400,76800" +"33600,76800" +] +) +bline (Line +uid 1037,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,78400,33600,78400" +pts [ +"24400,78400" +"33600,78400" +] +) +ttri (Triangle +uid 1038,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,76425,24300,76775" +) +btri (Triangle +uid 1039,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,75625,24300,75975" +) +entryActions (MLText +uid 1040,0 +va (VaSet +) +xt "24400,76600,24400,76600" +tm "Actions" +) +inActions (MLText +uid 1041,0 +va (VaSet +) +xt "24400,77000,37600,81000" +st "commandBus <= active; +readAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1042,0 +va (VaSet +) +xt "25000,75800,25000,75800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1032,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1033,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,77000,29900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*45 (State +uid 1043,0 +shape (Circle +uid 1044,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,72000,46000,80000" +radius 4000 +) +name (Text +uid 1045,0 +va (VaSet +font "courier,10,1" +) +xt "40300,75400,43700,76600" +st "read2" +ju 0 +blo "42000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1046,0 +ps "CenterOffsetStrategy" +text (Text +uid 1047,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,76300,45700,77500" +st "wait 2" +blo "42100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1048,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,77000,42000,77000" +blo "42000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1051,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1052,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,77900,44100,78100" +) +autoResize 1 +tline (Line +uid 1053,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,77300,48850,77300" +pts [ +"39150,77300" +"48850,77300" +] +) +bline (Line +uid 1054,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,76650,48850,76650" +pts [ +"39150,76650" +"48850,76650" +] +) +ttri (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,76925,39900,77275" +) +btri (Triangle +uid 1056,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,74625,39900,74975" +) +entryActions (MLText +uid 1057,0 +va (VaSet +) +xt "39150,77100,39150,77100" +tm "Actions" +) +inActions (MLText +uid 1058,0 +va (VaSet +) +xt "39150,77500,48850,78500" +tm "Actions" +) +exitActions (MLText +uid 1059,0 +va (VaSet +) +xt "40000,74800,40000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1049,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1050,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,77000,45900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*46 (State +uid 1060,0 +shape (Circle +uid 1061,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,72000,62000,80000" +radius 4000 +) +name (Text +uid 1062,0 +va (VaSet +font "courier,10,1" +) +xt "56300,75400,59700,76600" +st "read3" +ju 0 +blo "58000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1063,0 +ps "CenterOffsetStrategy" +text (Text +uid 1064,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,76300,61700,77500" +st "wait 2" +blo "58100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1065,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,77000,58000,77000" +blo "58000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1068,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1069,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56700,76400,65300,79600" +) +autoResize 1 +tline (Line +uid 1070,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,76300,65200,76300" +pts [ +"56800,76300" +"65200,76300" +] +) +bline (Line +uid 1071,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,77150,65200,77150" +pts [ +"56800,77150" +"65200,77150" +] +) +ttri (Triangle +uid 1072,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,75925,56700,76275" +) +btri (Triangle +uid 1073,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,74625,56700,74975" +) +entryActions (MLText +uid 1074,0 +va (VaSet +) +xt "56800,76100,56800,76100" +tm "Actions" +) +inActions (MLText +uid 1075,0 +va (VaSet +) +xt "56800,76500,68800,79500" +st "commandBus <= read; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1076,0 +va (VaSet +) +xt "57000,74800,57000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1066,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1067,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,77000,61900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*47 (State +uid 1077,0 +shape (Circle +uid 1078,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,72000,78000,80000" +radius 4000 +) +name (Text +uid 1079,0 +va (VaSet +font "courier,10,1" +) +xt "72300,75400,75700,76600" +st "read4" +ju 0 +blo "74000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1080,0 +ps "CenterOffsetStrategy" +text (Text +uid 1081,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,76300,77700,77500" +st "wait 2" +blo "74100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1082,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,77000,74000,77000" +blo "74000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1085,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1086,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,77900,78100,78100" +) +autoResize 1 +tline (Line +uid 1087,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76800,84300,76800" +pts [ +"71700,76800" +"84300,76800" +] +) +bline (Line +uid 1088,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76900,84300,76900" +pts [ +"71700,76900" +"84300,76900" +] +) +ttri (Triangle +uid 1089,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,76425,73900,76775" +) +btri (Triangle +uid 1090,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,74625,73900,74975" +) +entryActions (MLText +uid 1091,0 +va (VaSet +) +xt "71700,76600,71700,76600" +tm "Actions" +) +inActions (MLText +uid 1092,0 +va (VaSet +) +xt "71700,77000,84300,79000" +tm "Actions" +) +exitActions (MLText +uid 1093,0 +va (VaSet +) +xt "74000,74800,74000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1083,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1084,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,77000,77900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*48 (Junction +uid 1094,0 +shape (Diamond +uid 1095,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,74350,115650,77650" +) +symbol (Text +uid 1096,0 +va (VaSet +font "courier,10,1" +) +xt "113350,75400,114650,76600" +st "&" +ju 0 +blo "114000,76400" +) +name (TextAssociate +uid 1097,0 +ps "CenterOffsetStrategy" +text (Text +uid 1098,0 +va (VaSet +font "courier,8,1" +) +xt "115500,76500,115500,76500" +blo "115500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1099,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1100,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,77500,117150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*49 (Junction +uid 1151,0 +shape (Diamond +uid 1152,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,74350,11650,77650" +) +symbol (Text +uid 1153,0 +va (VaSet +font "courier,10,1" +) +xt "9350,75400,10650,76600" +st "&" +ju 0 +blo "10000,76400" +) +name (TextAssociate +uid 1154,0 +ps "CenterOffsetStrategy" +text (Text +uid 1155,0 +va (VaSet +font "courier,8,1" +) +xt "11500,76500,11500,76500" +blo "11500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1156,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1157,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,77500,13150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*50 (State +uid 1217,0 +shape (Circle +uid 1218,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,72000,94000,80000" +radius 4000 +) +name (Text +uid 1219,0 +va (VaSet +font "courier,10,1" +) +xt "88300,75400,91700,76600" +st "read5" +ju 0 +blo "90000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1220,0 +ps "CenterOffsetStrategy" +text (Text +uid 1221,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,76300,93700,77500" +st "wait 2" +blo "90100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1222,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,77000,90000,77000" +blo "90000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1225,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1226,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "87800,76900,96200,79100" +) +autoResize 1 +tline (Line +uid 1227,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76800,96100,76800" +pts [ +"87900,76800" +"96100,76800" +] +) +bline (Line +uid 1228,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76900,96100,76900" +pts [ +"87900,76900" +"96100,76900" +] +) +ttri (Triangle +uid 1229,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,76425,87800,76775" +) +btri (Triangle +uid 1230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,74625,87800,74975" +) +entryActions (MLText +uid 1231,0 +va (VaSet +) +xt "87900,76600,87900,76600" +tm "Actions" +) +inActions (MLText +uid 1232,0 +va (VaSet +) +xt "87900,77000,100500,79000" +st "sampleData <= '1'; +ramDataValid <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1233,0 +va (VaSet +) +xt "88000,74800,88000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1223,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1224,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,77000,93900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 1234,0 +shape (Circle +uid 1235,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,72000,110000,80000" +radius 4000 +) +name (Text +uid 1236,0 +va (VaSet +font "courier,10,1" +) +xt "104300,75400,107700,76600" +st "read6" +ju 0 +blo "106000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1237,0 +ps "CenterOffsetStrategy" +text (Text +uid 1238,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,76300,109700,77500" +st "wait 2" +blo "106100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1239,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,77000,106000,77000" +blo "106000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1242,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,77900,110100,78100" +) +autoResize 1 +tline (Line +uid 1244,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76800,116300,76800" +pts [ +"103700,76800" +"116300,76800" +] +) +bline (Line +uid 1245,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76900,116300,76900" +pts [ +"103700,76900" +"116300,76900" +] +) +ttri (Triangle +uid 1246,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,76425,105900,76775" +) +btri (Triangle +uid 1247,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,74625,105900,74975" +) +entryActions (MLText +uid 1248,0 +va (VaSet +) +xt "103700,76600,103700,76600" +tm "Actions" +) +inActions (MLText +uid 1249,0 +va (VaSet +) +xt "103700,77000,116300,79000" +tm "Actions" +) +exitActions (MLText +uid 1250,0 +va (VaSet +) +xt "106000,74800,106000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1240,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1241,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,77000,109900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*52 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "5500,15000,13000,15000" +pts [ +"5500,15000" +"13000,15000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7250,14000,15450,16000" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "7750,15400,14950,15400" +pts [ +"7750,15400" +"14950,15400" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "7750,14000,14950,15000" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "7150,15800,15550,16800" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5469,14219,7031,15781" +radius 781 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "5850,14500,6650,15500" +st "1" +ju 0 +blo "6250,15300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*53 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "5050,13000,13000,13000" +pts [ +"5050,13000" +"13000,13000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "6825,12495,11225,13505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "9025,13900,9025,13900" +pts [ +"9025,13900" +"9025,13900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "7325,12500,10725,13500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "9025,13900,9025,13900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5064,12219,6626,13781" +radius 781 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "5445,12500,6245,13500" +st "1" +ju 0 +blo "5845,13300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*54 (Transition +uid 246,0 +shape (Spline +uid 247,0 +va (VaSet +vasetType 3 +) +xt "14000,27968,22000,27970" +pts [ +"14000,27970" +"22000,27968" +] +arrow 1 +) +start &2 +end &19 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 248,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 249,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "11700,24550,22300,26550" +) +autoResize 1 +lineShape (Line +uid 250,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "12200,26450,21800,26450" +pts [ +"12200,26450" +"21800,26450" +] +) +condition (MLText +uid 251,0 +va (VaSet +) +xt "12200,25050,26600,26050" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 252,0 +va (VaSet +) +xt "12200,26450,21800,27450" +tm "Actions" +) +) +tp (TransitionPriority +uid 253,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,27188,15581,28750" +radius 781 +) +pr (Text +uid 255,0 +va (VaSet +isHidden 1 +) +xt "14400,27469,15200,28469" +st "1" +ju 0 +blo "14800,28269" +tm "TransitionPriority" +) +padding "100,100" +) +) +*55 (Transition +uid 312,0 +shape (Spline +uid 313,0 +va (VaSet +vasetType 3 +) +xt "30000,28035,38000,28036" +pts [ +"30000,28035" +"38000,28036" +] +arrow 1 +) +start &19 +end &20 +tb (TransitionBlock +uid 314,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 315,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,28031,37900,29041" +) +autoResize 1 +lineShape (Line +uid 316,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,29436,35700,29436" +pts [ +"35700,29436" +"35700,29436" +] +) +condition (MLText +uid 317,0 +va (VaSet +) +xt "34000,28036,37400,29036" +tm "Condition" +) +actions (MLText +uid 318,0 +va (VaSet +) +xt "35700,29436,35700,29436" +tm "Actions" +) +) +tp (TransitionPriority +uid 319,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 320,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,27254,31581,28816" +radius 781 +) +pr (Text +uid 321,0 +va (VaSet +isHidden 1 +) +xt "30400,27535,31200,28535" +st "1" +ju 0 +blo "30800,28335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*56 (Transition +uid 351,0 +shape (Spline +uid 352,0 +va (VaSet +vasetType 3 +) +xt "46000,27955,54000,27955" +pts [ +"46000,27955" +"54000,27955" +] +arrow 1 +) +start &20 +end &21 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 353,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,25000,65350,27000" +) +autoResize 1 +lineShape (Line +uid 355,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,26900,44150,26900" +pts [ +"44150,26900" +"44150,26900" +] +) +condition (MLText +uid 356,0 +va (VaSet +) +xt "42450,25500,76650,26500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 357,0 +va (VaSet +) +xt "53650,26900,53650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 358,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 359,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,27174,47581,28736" +radius 781 +) +pr (Text +uid 360,0 +va (VaSet +isHidden 1 +) +xt "46400,27455,47200,28455" +st "1" +ju 0 +blo "46800,28255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*57 (Transition +uid 395,0 +shape (Spline +uid 396,0 +va (VaSet +vasetType 3 +) +xt "62000,28002,70000,28006" +pts [ +"62000,28006" +"70000,28002" +] +arrow 1 +) +start &21 +end &22 +es 0 +tb (TransitionBlock +uid 397,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 398,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,27999,69900,29009" +) +autoResize 1 +lineShape (Line +uid 399,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,29404,67700,29404" +pts [ +"67700,29404" +"67700,29404" +] +) +condition (MLText +uid 400,0 +va (VaSet +) +xt "66000,28004,69400,29004" +tm "Condition" +) +actions (MLText +uid 401,0 +va (VaSet +) +xt "67700,29404,67700,29404" +tm "Actions" +) +) +tp (TransitionPriority +uid 402,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 403,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,27224,63581,28786" +radius 781 +) +pr (Text +uid 404,0 +va (VaSet +isHidden 1 +) +xt "62400,27505,63200,28505" +st "1" +ju 0 +blo "62800,28305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*58 (Transition +uid 405,0 +shape (Spline +uid 406,0 +va (VaSet +vasetType 3 +) +xt "78000,27984,86000,27985" +pts [ +"78000,27984" +"86000,27985" +] +arrow 1 +) +start &22 +end &23 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 407,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 408,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,25000,94950,27000" +) +autoResize 1 +lineShape (Line +uid 409,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,26900,76550,26900" +pts [ +"76550,26900" +"76550,26900" +] +) +condition (MLText +uid 410,0 +va (VaSet +) +xt "74850,25500,105450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 411,0 +va (VaSet +) +xt "84650,26900,84650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 412,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 413,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,27203,79581,28765" +radius 781 +) +pr (Text +uid 414,0 +va (VaSet +isHidden 1 +) +xt "78400,27484,79200,28484" +st "1" +ju 0 +blo "78800,28284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*59 (Transition +uid 432,0 +shape (Spline +uid 433,0 +va (VaSet +vasetType 3 +) +xt "94000,28006,102000,28006" +pts [ +"94000,28006" +"102000,28006" +] +arrow 1 +) +start &23 +end &24 +tb (TransitionBlock +uid 434,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 435,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,28001,101900,29011" +) +autoResize 1 +lineShape (Line +uid 436,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,29406,99700,29406" +pts [ +"99700,29406" +"99700,29406" +] +) +condition (MLText +uid 437,0 +va (VaSet +) +xt "98000,28006,101400,29006" +tm "Condition" +) +actions (MLText +uid 438,0 +va (VaSet +) +xt "99700,29406,99700,29406" +tm "Actions" +) +) +tp (TransitionPriority +uid 439,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 440,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,27225,95581,28787" +radius 781 +) +pr (Text +uid 441,0 +va (VaSet +isHidden 1 +) +xt "94400,27506,95200,28506" +st "1" +ju 0 +blo "94800,28306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*60 (Transition +uid 519,0 +shape (Spline +uid 520,0 +va (VaSet +vasetType 3 +) +xt "110000,27969,118000,27975" +pts [ +"110000,27975" +"118000,27969" +] +arrow 1 +) +start &24 +end &25 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 521,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 522,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "106350,25000,126950,27000" +) +autoResize 1 +lineShape (Line +uid 523,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "108550,26900,108550,26900" +pts [ +"108550,26900" +"108550,26900" +] +) +condition (MLText +uid 524,0 +va (VaSet +) +xt "106850,25500,137450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 525,0 +va (VaSet +) +xt "116650,26900,116650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 526,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 527,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "110019,27193,111581,28755" +radius 781 +) +pr (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "110400,27474,111200,28474" +st "1" +ju 0 +blo "110800,28274" +tm "TransitionPriority" +) +padding "100,100" +) +) +*61 (Transition +uid 548,0 +shape (Spline +uid 549,0 +va (VaSet +vasetType 3 +) +xt "126000,28011,134000,28013" +pts [ +"126000,28011" +"134000,28013" +] +arrow 1 +) +start &25 +end &26 +tb (TransitionBlock +uid 550,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 551,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "129500,28007,133900,29017" +) +autoResize 1 +lineShape (Line +uid 552,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "131700,29412,131700,29412" +pts [ +"131700,29412" +"131700,29412" +] +) +condition (MLText +uid 553,0 +va (VaSet +) +xt "130000,28012,133400,29012" +tm "Condition" +) +actions (MLText +uid 554,0 +va (VaSet +) +xt "131700,29412,131700,29412" +tm "Actions" +) +) +tp (TransitionPriority +uid 555,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 556,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "126019,27230,127581,28792" +radius 781 +) +pr (Text +uid 557,0 +va (VaSet +isHidden 1 +) +xt "126400,27511,127200,28511" +st "1" +ju 0 +blo "126800,28311" +tm "TransitionPriority" +) +padding "100,100" +) +) +*62 (Transition +uid 582,0 +shape (Spline +uid 583,0 +va (VaSet +vasetType 3 +) +xt "9964,37622,9972,40000" +pts [ +"9972,37622" +"9964,40000" +] +arrow 1 +) +start &28 +end &27 +tb (TransitionBlock +uid 584,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 585,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9468,38806,13868,39816" +) +autoResize 1 +lineShape (Line +uid 586,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11668,40211,11668,40211" +pts [ +"11668,40211" +"11668,40211" +] +) +condition (MLText +uid 587,0 +va (VaSet +) +xt "9968,38811,13368,39811" +tm "Condition" +) +actions (MLText +uid 588,0 +va (VaSet +) +xt "11668,40211,11668,40211" +tm "Actions" +) +) +tp (TransitionPriority +uid 589,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 590,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9190,37078,10752,38640" +radius 781 +) +pr (Text +uid 591,0 +va (VaSet +isHidden 1 +) +xt "9571,37359,10371,38359" +st "1" +ju 0 +blo "9971,38159" +tm "TransitionPriority" +) +padding "100,100" +) +) +*63 (Transition +uid 599,0 +shape (Spline +uid 600,0 +va (VaSet +vasetType 3 +) +xt "138008,32000,138019,34358" +pts [ +"138019,32000" +"138008,34358" +] +arrow 1 +) +start &26 +end &29 +es 0 +tb (TransitionBlock +uid 601,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 602,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "116400,33495,138900,34505" +) +autoResize 1 +lineShape (Line +uid 603,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "118600,34900,118600,34900" +pts [ +"118600,34900" +"118600,34900" +] +) +condition (MLText +uid 604,0 +va (VaSet +) +xt "116900,33500,138400,34500" +tm "Condition" +) +actions (MLText +uid 605,0 +va (VaSet +) +xt "127650,34900,127650,34900" +tm "Actions" +) +) +tp (TransitionPriority +uid 606,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 607,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "137236,31454,138798,33016" +radius 781 +) +pr (Text +uid 608,0 +va (VaSet +isHidden 1 +) +xt "137617,31735,138417,32735" +st "1" +ju 0 +blo "138017,32535" +tm "TransitionPriority" +) +padding "100,100" +) +) +*64 (Transition +uid 619,0 +shape (Spline +uid 620,0 +va (VaSet +vasetType 3 +) +xt "115626,35976,136366,35984" +pts [ +"136366,35984" +"115626,35976" +] +arrow 1 +) +start &29 +end &36 +tb (TransitionBlock +uid 621,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 622,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "125496,35975,129896,36985" +) +autoResize 1 +lineShape (Line +uid 623,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "127696,37380,127696,37380" +pts [ +"127696,37380" +"127696,37380" +] +) +condition (MLText +uid 624,0 +va (VaSet +) +xt "125996,35980,129396,36980" +tm "Condition" +) +actions (MLText +uid 625,0 +va (VaSet +) +xt "127696,37380,127696,37380" +tm "Actions" +) +) +tp (TransitionPriority +uid 626,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 627,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "133511,35202,135073,36764" +radius 781 +) +pr (Text +uid 628,0 +va (VaSet +isHidden 1 +) +xt "133892,35483,134692,36483" +st "1" +ju 0 +blo "134292,36283" +tm "TransitionPriority" +) +padding "100,100" +) +) +*65 (Transition +uid 646,0 +shape (Spline +uid 647,0 +va (VaSet +vasetType 3 +) +xt "14000,44000,22000,44000" +pts [ +"14000,44000" +"22000,44000" +] +arrow 1 +) +start &27 +end &30 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 648,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 649,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12700,41000,23300,43000" +) +autoResize 1 +lineShape (Line +uid 650,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14900,42900,14900,42900" +pts [ +"14900,42900" +"14900,42900" +] +) +condition (MLText +uid 651,0 +va (VaSet +) +xt "13200,41500,27600,42500" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 652,0 +va (VaSet +) +xt "18000,42900,18000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 653,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 654,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,43219,15581,44781" +radius 781 +) +pr (Text +uid 655,0 +va (VaSet +) +xt "14400,43500,15200,44500" +st "1" +ju 0 +blo "14800,44300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*66 (Transition +uid 741,0 +shape (Spline +uid 742,0 +va (VaSet +vasetType 3 +) +xt "46000,43955,54000,43955" +pts [ +"46000,43955" +"54000,43955" +] +arrow 1 +) +start &31 +end &32 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 743,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 744,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,41000,65350,43000" +) +autoResize 1 +lineShape (Line +uid 745,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,42900,44150,42900" +pts [ +"44150,42900" +"44150,42900" +] +) +condition (MLText +uid 746,0 +va (VaSet +) +xt "42450,41500,76650,42500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 747,0 +va (VaSet +) +xt "53650,42900,53650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 748,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 749,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,43174,47581,44736" +radius 781 +) +pr (Text +uid 750,0 +va (VaSet +isHidden 1 +) +xt "46400,43455,47200,44455" +st "1" +ju 0 +blo "46800,44255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*67 (Transition +uid 751,0 +shape (Spline +uid 752,0 +va (VaSet +vasetType 3 +) +xt "62000,44002,70000,44006" +pts [ +"62000,44006" +"70000,44002" +] +arrow 1 +) +start &32 +end &33 +es 0 +tb (TransitionBlock +uid 753,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 754,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,43999,69900,45009" +) +autoResize 1 +lineShape (Line +uid 755,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,45404,67700,45404" +pts [ +"67700,45404" +"67700,45404" +] +) +condition (MLText +uid 756,0 +va (VaSet +) +xt "66000,44004,69400,45004" +tm "Condition" +) +actions (MLText +uid 757,0 +va (VaSet +) +xt "67700,45404,67700,45404" +tm "Actions" +) +) +tp (TransitionPriority +uid 758,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 759,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,43224,63581,44786" +radius 781 +) +pr (Text +uid 760,0 +va (VaSet +isHidden 1 +) +xt "62400,43505,63200,44505" +st "1" +ju 0 +blo "62800,44305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*68 (Transition +uid 761,0 +shape (Spline +uid 762,0 +va (VaSet +vasetType 3 +) +xt "78000,43984,86000,43985" +pts [ +"78000,43984" +"86000,43985" +] +arrow 1 +) +start &33 +end &34 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 763,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 764,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,41000,94950,43000" +) +autoResize 1 +lineShape (Line +uid 765,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,42900,76550,42900" +pts [ +"76550,42900" +"76550,42900" +] +) +condition (MLText +uid 766,0 +va (VaSet +) +xt "74850,41500,105450,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 767,0 +va (VaSet +) +xt "84650,42900,84650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 768,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 769,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,43203,79581,44765" +radius 781 +) +pr (Text +uid 770,0 +va (VaSet +isHidden 1 +) +xt "78400,43484,79200,44484" +st "1" +ju 0 +blo "78800,44284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*69 (Transition +uid 771,0 +shape (Spline +uid 772,0 +va (VaSet +vasetType 3 +) +xt "94000,44006,102000,44006" +pts [ +"94000,44006" +"102000,44006" +] +arrow 1 +) +start &34 +end &35 +tb (TransitionBlock +uid 773,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 774,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,44001,101900,45011" +) +autoResize 1 +lineShape (Line +uid 775,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,45406,99700,45406" +pts [ +"99700,45406" +"99700,45406" +] +) +condition (MLText +uid 776,0 +va (VaSet +) +xt "98000,44006,101400,45006" +tm "Condition" +) +actions (MLText +uid 777,0 +va (VaSet +) +xt "99700,45406,99700,45406" +tm "Actions" +) +) +tp (TransitionPriority +uid 778,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 779,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,43225,95581,44787" +radius 781 +) +pr (Text +uid 780,0 +va (VaSet +isHidden 1 +) +xt "94400,43506,95200,44506" +st "1" +ju 0 +blo "94800,44306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 781,0 +shape (Spline +uid 782,0 +va (VaSet +vasetType 3 +) +xt "30000,44004,38000,44014" +pts [ +"30000,44014" +"38000,44004" +] +arrow 1 +) +start &30 +end &31 +es 0 +tb (TransitionBlock +uid 783,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 784,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,44004,37900,45014" +) +autoResize 1 +lineShape (Line +uid 785,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,45409,35700,45409" +pts [ +"35700,45409" +"35700,45409" +] +) +condition (MLText +uid 786,0 +va (VaSet +) +xt "34000,44009,37400,45009" +tm "Condition" +) +actions (MLText +uid 787,0 +va (VaSet +) +xt "35700,45409,35700,45409" +tm "Actions" +) +) +tp (TransitionPriority +uid 788,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 789,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,43232,31581,44794" +radius 781 +) +pr (Text +uid 790,0 +va (VaSet +isHidden 1 +) +xt "30400,43513,31200,44513" +st "1" +ju 0 +blo "30800,44313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 805,0 +shape (Spline +uid 806,0 +va (VaSet +vasetType 3 +) +xt "11626,35973,112377,35976" +pts [ +"112377,35973" +"11626,35976" +] +arrow 1 +) +start &36 +end &28 +tb (TransitionBlock +uid 807,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 808,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "61501,35970,65901,36980" +) +autoResize 1 +lineShape (Line +uid 809,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63701,37375,63701,37375" +pts [ +"63701,37375" +"63701,37375" +] +) +condition (MLText +uid 810,0 +va (VaSet +) +xt "62001,35975,65401,36975" +tm "Condition" +) +actions (MLText +uid 811,0 +va (VaSet +) +xt "63701,37375,63701,37375" +tm "Actions" +) +) +tp (TransitionPriority +uid 812,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 813,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "101521,35192,103083,36754" +radius 781 +) +pr (Text +uid 814,0 +va (VaSet +isHidden 1 +) +xt "101902,35473,102702,36473" +st "1" +ju 0 +blo "102302,36273" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 815,0 +shape (Spline +uid 816,0 +va (VaSet +vasetType 3 +) +xt "110000,43971,112376,43974" +pts [ +"110000,43971" +"112376,43974" +] +arrow 1 +) +start &35 +end &37 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tb (TransitionBlock +uid 817,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 818,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "107350,41000,128650,43000" +) +autoResize 1 +lineShape (Line +uid 819,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "109550,42900,109550,42900" +pts [ +"109550,42900" +"109550,42900" +] +) +condition (MLText +uid 820,0 +va (VaSet +) +xt "107850,41500,139650,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tm "Condition" +) +actions (MLText +uid 821,0 +va (VaSet +) +xt "118000,42900,118000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 822,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 823,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109456,43190,111018,44752" +radius 781 +) +pr (Text +uid 824,0 +va (VaSet +isHidden 1 +) +xt "109837,43471,110637,44471" +st "1" +ju 0 +blo "110237,44271" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 825,0 +shape (Spline +uid 826,0 +va (VaSet +vasetType 3 +) +xt "114015,37635,114025,42375" +pts [ +"114025,42375" +"114015,37635" +] +arrow 1 +) +start &37 +end &36 +tb (TransitionBlock +uid 827,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 828,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113520,40000,117920,41010" +) +autoResize 1 +lineShape (Line +uid 829,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115720,41405,115720,41405" +pts [ +"115720,41405" +"115720,41405" +] +) +condition (MLText +uid 830,0 +va (VaSet +) +xt "114020,40005,117420,41005" +tm "Condition" +) +actions (MLText +uid 831,0 +va (VaSet +) +xt "115720,41405,115720,41405" +tm "Actions" +) +) +tp (TransitionPriority +uid 832,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 833,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113243,41120,114805,42682" +radius 781 +) +pr (Text +uid 834,0 +va (VaSet +isHidden 1 +) +xt "113624,41401,114424,42401" +st "1" +ju 0 +blo "114024,42201" +tm "TransitionPriority" +) +padding "100,100" +) +) +*74 (Transition +uid 938,0 +shape (Spline +uid 939,0 +va (VaSet +vasetType 3 +) +xt "62000,60002,70000,60006" +pts [ +"62000,60006" +"70000,60002" +] +arrow 1 +) +start &40 +end &41 +es 0 +tb (TransitionBlock +uid 940,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 941,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,59999,69900,61009" +) +autoResize 1 +lineShape (Line +uid 942,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,61404,67700,61404" +pts [ +"67700,61404" +"67700,61404" +] +) +condition (MLText +uid 943,0 +va (VaSet +) +xt "66000,60004,69400,61004" +tm "Condition" +) +actions (MLText +uid 944,0 +va (VaSet +) +xt "67700,61404,67700,61404" +tm "Actions" +) +) +tp (TransitionPriority +uid 945,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 946,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,59224,63581,60786" +radius 781 +) +pr (Text +uid 947,0 +va (VaSet +isHidden 1 +) +xt "62400,59505,63200,60505" +st "1" +ju 0 +blo "62800,60305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*75 (Transition +uid 948,0 +shape (Spline +uid 949,0 +va (VaSet +vasetType 3 +) +xt "46000,59955,54000,59955" +pts [ +"46000,59955" +"54000,59955" +] +arrow 1 +) +start &39 +end &40 +cond "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tb (TransitionBlock +uid 950,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 951,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,57000,62850,59000" +) +autoResize 1 +lineShape (Line +uid 952,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,58900,44150,58900" +pts [ +"44150,58900" +"44150,58900" +] +) +condition (MLText +uid 953,0 +va (VaSet +) +xt "42450,57500,73650,58500" +st "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 954,0 +va (VaSet +) +xt "52400,58900,52400,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 955,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 956,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,59174,47581,60736" +radius 781 +) +pr (Text +uid 957,0 +va (VaSet +isHidden 1 +) +xt "46400,59455,47200,60455" +st "1" +ju 0 +blo "46800,60255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*76 (Transition +uid 958,0 +shape (Spline +uid 959,0 +va (VaSet +vasetType 3 +) +xt "30000,59975,38000,59992" +pts [ +"30000,59975" +"38000,59992" +] +arrow 1 +) +start &38 +end &39 +es 0 +tb (TransitionBlock +uid 960,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 961,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,59979,37900,60989" +) +autoResize 1 +lineShape (Line +uid 962,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,61384,35700,61384" +pts [ +"35700,61384" +"35700,61384" +] +) +condition (MLText +uid 963,0 +va (VaSet +) +xt "34000,59984,37400,60984" +tm "Condition" +) +actions (MLText +uid 964,0 +va (VaSet +) +xt "35700,61384,35700,61384" +tm "Actions" +) +) +tp (TransitionPriority +uid 965,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 966,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,59195,31581,60757" +radius 781 +) +pr (Text +uid 967,0 +va (VaSet +isHidden 1 +) +xt "30400,59476,31200,60476" +st "1" +ju 0 +blo "30800,60276" +tm "TransitionPriority" +) +padding "100,100" +) +) +*77 (Transition +uid 968,0 +shape (Spline +uid 969,0 +va (VaSet +vasetType 3 +) +xt "11626,59973,22000,59976" +pts [ +"11626,59976" +"22000,59973" +] +arrow 1 +) +start &42 +end &38 +cond "(ramEn = '1') and (writeRequest = '1')" +tb (TransitionBlock +uid 970,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 971,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12938,56847,28038,58847" +) +autoResize 1 +lineShape (Line +uid 972,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "15138,58747,15138,58747" +pts [ +"15138,58747" +"15138,58747" +] +) +condition (MLText +uid 973,0 +va (VaSet +) +xt "13438,57347,36838,58347" +st "(ramEn = '1') and (writeRequest = '1')" +tm "Condition" +) +actions (MLText +uid 974,0 +va (VaSet +) +xt "20488,58747,20488,58747" +tm "Actions" +) +) +tp (TransitionPriority +uid 975,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 976,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11882,59194,13444,60756" +radius 781 +) +pr (Text +uid 977,0 +va (VaSet +) +xt "12263,59475,13063,60475" +st "1" +ju 0 +blo "12663,60275" +tm "TransitionPriority" +) +padding "100,100" +) +) +*78 (Transition +uid 985,0 +shape (Spline +uid 986,0 +va (VaSet +vasetType 3 +) +xt "10008,48000,10009,58358" +pts [ +"10009,48000" +"10008,58358" +] +arrow 1 +) +start &27 +end &42 +tb (TransitionBlock +uid 987,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 988,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9508,53174,13908,54184" +) +autoResize 1 +lineShape (Line +uid 989,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11708,54579,11708,54579" +pts [ +"11708,54579" +"11708,54579" +] +) +condition (MLText +uid 990,0 +va (VaSet +) +xt "10008,53179,13408,54179" +tm "Condition" +) +actions (MLText +uid 991,0 +va (VaSet +) +xt "11708,54579,11708,54579" +tm "Actions" +) +) +tp (TransitionPriority +uid 992,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 993,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9227,48254,10789,49816" +radius 781 +) +pr (Text +uid 994,0 +va (VaSet +) +xt "9608,48535,10408,49535" +st "2" +ju 0 +blo "10008,49335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*79 (Transition +uid 1006,0 +shape (Spline +uid 1007,0 +va (VaSet +vasetType 3 +) +xt "78000,60013,112363,60014" +pts [ +"78000,60014" +"112363,60013" +] +arrow 1 +) +start &41 +end &43 +cond "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1008,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1009,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78250,57000,99050,59000" +) +autoResize 1 +lineShape (Line +uid 1010,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "80450,58900,80450,58900" +pts [ +"80450,58900" +"80450,58900" +] +) +condition (MLText +uid 1011,0 +va (VaSet +) +xt "78750,57500,109950,58500" +st "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1012,0 +va (VaSet +) +xt "88650,58900,88650,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1013,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1014,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80655,59232,82217,60794" +radius 781 +) +pr (Text +uid 1015,0 +va (VaSet +isHidden 1 +) +xt "81036,59513,81836,60513" +st "1" +ju 0 +blo "81436,60313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*80 (Transition +uid 1016,0 +shape (Spline +uid 1017,0 +va (VaSet +vasetType 3 +) +xt "114010,45640,114015,58365" +pts [ +"114015,58365" +"114010,45640" +] +arrow 1 +) +start &43 +end &37 +tb (TransitionBlock +uid 1018,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1019,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113512,51997,117912,53007" +) +autoResize 1 +lineShape (Line +uid 1020,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115712,53402,115712,53402" +pts [ +"115712,53402" +"115712,53402" +] +) +condition (MLText +uid 1021,0 +va (VaSet +) +xt "114012,52002,117412,53002" +tm "Condition" +) +actions (MLText +uid 1022,0 +va (VaSet +) +xt "115712,53402,115712,53402" +tm "Actions" +) +) +tp (TransitionPriority +uid 1023,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1024,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113233,56312,114795,57874" +radius 781 +) +pr (Text +uid 1025,0 +va (VaSet +isHidden 1 +) +xt "113614,56593,114414,57593" +st "1" +ju 0 +blo "114014,57393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*81 (Transition +uid 1101,0 +shape (Spline +uid 1102,0 +va (VaSet +vasetType 3 +) +xt "62000,76002,70000,76006" +pts [ +"62000,76006" +"70000,76002" +] +arrow 1 +) +start &46 +end &47 +es 0 +tb (TransitionBlock +uid 1103,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1104,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,75999,69900,77009" +) +autoResize 1 +lineShape (Line +uid 1105,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,77404,67700,77404" +pts [ +"67700,77404" +"67700,77404" +] +) +condition (MLText +uid 1106,0 +va (VaSet +) +xt "66000,76004,69400,77004" +tm "Condition" +) +actions (MLText +uid 1107,0 +va (VaSet +) +xt "67700,77404,67700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1108,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1109,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,75224,63581,76786" +radius 781 +) +pr (Text +uid 1110,0 +va (VaSet +isHidden 1 +) +xt "62400,75505,63200,76505" +st "1" +ju 0 +blo "62800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*82 (Transition +uid 1111,0 +shape (Spline +uid 1112,0 +va (VaSet +vasetType 3 +) +xt "46000,75955,54000,75955" +pts [ +"46000,75955" +"54000,75955" +] +arrow 1 +) +start &45 +end &46 +cond "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tb (TransitionBlock +uid 1113,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1114,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,73000,62850,75000" +) +autoResize 1 +lineShape (Line +uid 1115,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,74900,44150,74900" +pts [ +"44150,74900" +"44150,74900" +] +) +condition (MLText +uid 1116,0 +va (VaSet +) +xt "42450,73500,73050,74500" +st "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1117,0 +va (VaSet +) +xt "52400,74900,52400,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1118,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1119,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,75174,47581,76736" +radius 781 +) +pr (Text +uid 1120,0 +va (VaSet +isHidden 1 +) +xt "46400,75455,47200,76455" +st "1" +ju 0 +blo "46800,76255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*83 (Transition +uid 1121,0 +shape (Spline +uid 1122,0 +va (VaSet +vasetType 3 +) +xt "30000,76004,38000,76014" +pts [ +"30000,76014" +"38000,76004" +] +arrow 1 +) +start &44 +end &45 +es 0 +tb (TransitionBlock +uid 1123,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1124,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,76004,37900,77014" +) +autoResize 1 +lineShape (Line +uid 1125,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,77409,35700,77409" +pts [ +"35700,77409" +"35700,77409" +] +) +condition (MLText +uid 1126,0 +va (VaSet +) +xt "34000,76009,37400,77009" +tm "Condition" +) +actions (MLText +uid 1127,0 +va (VaSet +) +xt "35700,77409,35700,77409" +tm "Actions" +) +) +tp (TransitionPriority +uid 1128,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1129,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,75232,31581,76794" +radius 781 +) +pr (Text +uid 1130,0 +va (VaSet +isHidden 1 +) +xt "30400,75513,31200,76513" +st "1" +ju 0 +blo "30800,76313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*84 (Transition +uid 1131,0 +shape (Spline +uid 1132,0 +va (VaSet +vasetType 3 +) +xt "78000,75970,86000,75973" +pts [ +"78000,75973" +"86000,75970" +] +arrow 1 +) +start &47 +end &50 +cond "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tb (TransitionBlock +uid 1133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73650,73000,94850,75000" +) +autoResize 1 +lineShape (Line +uid 1135,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "75850,74900,75850,74900" +pts [ +"75850,74900" +"75850,74900" +] +) +condition (MLText +uid 1136,0 +va (VaSet +) +xt "74150,73500,104750,74500" +st "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1137,0 +va (VaSet +) +xt "84250,74900,84250,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1138,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1139,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,75191,79581,76753" +radius 781 +) +pr (Text +uid 1140,0 +va (VaSet +isHidden 1 +) +xt "78400,75472,79200,76472" +st "1" +ju 0 +blo "78800,76272" +tm "TransitionPriority" +) +padding "100,100" +) +) +*85 (Transition +uid 1141,0 +shape (Spline +uid 1142,0 +va (VaSet +vasetType 3 +) +xt "114016,61634,114016,74366" +pts [ +"114016,74366" +"114016,61634" +] +arrow 1 +) +start &48 +end &43 +tb (TransitionBlock +uid 1143,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1144,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113516,67995,117916,69005" +) +autoResize 1 +lineShape (Line +uid 1145,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115716,69400,115716,69400" +pts [ +"115716,69400" +"115716,69400" +] +) +condition (MLText +uid 1146,0 +va (VaSet +) +xt "114016,68000,117416,69000" +tm "Condition" +) +actions (MLText +uid 1147,0 +va (VaSet +) +xt "115716,69400,115716,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1148,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1149,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113235,72312,114797,73874" +radius 781 +) +pr (Text +uid 1150,0 +va (VaSet +isHidden 1 +) +xt "113616,72593,114416,73593" +st "1" +ju 0 +blo "114016,73393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*86 (Transition +uid 1158,0 +shape (Spline +uid 1159,0 +va (VaSet +vasetType 3 +) +xt "10015,61635,10015,74365" +pts [ +"10015,61635" +"10015,74365" +] +arrow 1 +) +start &42 +end &49 +tb (TransitionBlock +uid 1160,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1161,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9515,67995,13915,69005" +) +autoResize 1 +lineShape (Line +uid 1162,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11715,69400,11715,69400" +pts [ +"11715,69400" +"11715,69400" +] +) +condition (MLText +uid 1163,0 +va (VaSet +) +xt "10015,68000,13415,69000" +tm "Condition" +) +actions (MLText +uid 1164,0 +va (VaSet +) +xt "11715,69400,11715,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1165,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1166,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9234,62127,10796,63689" +radius 781 +) +pr (Text +uid 1167,0 +va (VaSet +) +xt "9615,62408,10415,63408" +st "2" +ju 0 +blo "10015,63208" +tm "TransitionPriority" +) +padding "100,100" +) +) +*87 (Transition +uid 1168,0 +shape (Spline +uid 1169,0 +va (VaSet +vasetType 3 +) +xt "11643,76006,22000,76007" +pts [ +"11643,76007" +"22000,76006" +] +arrow 1 +) +start &49 +end &44 +cond "(ramEn = '1') and (readRequest = '1')" +tb (TransitionBlock +uid 1170,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1171,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12500,73000,27500,75000" +) +autoResize 1 +lineShape (Line +uid 1172,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14700,74900,14700,74900" +pts [ +"14700,74900" +"14700,74900" +] +) +condition (MLText +uid 1173,0 +va (VaSet +) +xt "13000,73500,35800,74500" +st "(ramEn = '1') and (readRequest = '1')" +tm "Condition" +) +actions (MLText +uid 1174,0 +va (VaSet +) +xt "20000,74900,20000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1175,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1176,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11897,75225,13459,76787" +radius 781 +) +pr (Text +uid 1177,0 +va (VaSet +isHidden 1 +) +xt "12278,75506,13078,76506" +st "1" +ju 0 +blo "12678,76306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*88 (Transition +uid 1251,0 +shape (Spline +uid 1252,0 +va (VaSet +vasetType 3 +) +xt "94000,76002,102000,76006" +pts [ +"94000,76006" +"102000,76002" +] +arrow 1 +) +start &50 +end &51 +es 0 +tb (TransitionBlock +uid 1253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,75999,101900,77009" +) +autoResize 1 +lineShape (Line +uid 1255,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,77404,99700,77404" +pts [ +"99700,77404" +"99700,77404" +] +) +condition (MLText +uid 1256,0 +va (VaSet +) +xt "98000,76004,101400,77004" +tm "Condition" +) +actions (MLText +uid 1257,0 +va (VaSet +) +xt "99700,77404,99700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1258,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1259,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,75224,95581,76786" +radius 781 +) +pr (Text +uid 1260,0 +va (VaSet +isHidden 1 +) +xt "94400,75505,95200,76505" +st "1" +ju 0 +blo "94800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*89 (Transition +uid 1261,0 +shape (Spline +uid 1262,0 +va (VaSet +vasetType 3 +) +xt "110000,76014,112364,76015" +pts [ +"110000,76015" +"112364,76014" +] +arrow 1 +) +start &51 +end &48 +cond "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1264,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109650,73000,130350,75000" +) +autoResize 1 +lineShape (Line +uid 1265,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111850,74900,111850,74900" +pts [ +"111850,74900" +"111850,74900" +] +) +condition (MLText +uid 1266,0 +va (VaSet +) +xt "110150,73500,140750,74500" +st "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1267,0 +va (VaSet +) +xt "120000,74900,120000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1268,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1269,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109455,75233,111017,76795" +radius 781 +) +pr (Text +uid 1270,0 +va (VaSet +isHidden 1 +) +xt "109836,75514,110636,76514" +st "1" +ju 0 +blo "110236,76314" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 28,0 +va (VaSet +font "courier,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*92 (MLText +uid 29,0 +va (VaSet +) +xt "0,-1000,18600,2000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*94 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*95 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*96 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*97 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*98 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*99 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1681,1050" +viewArea "11603,-6620,90646,42285" +cachedDiagramExtent "0,-2000,148300,97000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localPreDecl *100 (SmLocalDecl +uid 1648,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1649,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1650,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *101 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "40000,-1400,53000,-500" +st "Architecture Declarations" +blo "40000,-700" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "40000,-400,79500,18500" +st "-- 20120621 -- zas -- added to generics +--constant prechargeToRefreshPeriodNb: positive := 2; -- 66MHz * 20 ns = 1.32 +--constant refreshDelayPeriodNb: positive := 5; -- 66MHz * 66ns = 4.356 +--constant loadModeToActivePeriodNb: positive := 1; -- 1 CK +--constant activeToWritePeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant writeToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 +--constant activeToReadPeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant readToSamplePeriodNb: positive := 2; -- 2 CK with latency = 2 +--constant readToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 + +subtype commandBusType is std_ulogic_vector(commandBusBitNb-1 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *102 (SmLocalDecl +uid 1651,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1652,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1653,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *103 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "126000,-1000,134900,0" +st "Process Declarations" +blo "126000,-200" +) +*105 (Text +uid 8,0 +va (VaSet +font "courier,8,1" +) +xt "126000,0,133200,1000" +st "Clocked Process:" +blo "126000,800" +) +*106 (MLText +uid 9,0 +va (VaSet +font "courier,8,0" +) +xt "126000,-1000,126000,-1000" +tm "ProcessDeclTextMgr" +) +*107 (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "126000,1000,132900,2000" +st "Output Process:" +blo "126000,1800" +) +*108 (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "126000,2000,126000,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *109 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "18000,-1000,24200,0" +st "Global Actions" +blo "18000,-200" +) +*111 (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "18000,0,23300,1000" +st "Pre Actions:" +blo "18000,800" +) +*112 (MLText +uid 15,0 +va (VaSet +) +xt "18000,-1000,18000,-1000" +tm "Actions" +) +*113 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "18000,1000,23800,2000" +st "Post Actions:" +blo "18000,1800" +) +*114 (MLText +uid 17,0 +va (VaSet +) +xt "18000,2000,23600,3000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *115 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "courier,8,1" +) +xt "27200,-1000,37100,0" +st "Concurrent Statements" +blo "27200,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "27200,0,52500,19000" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *116 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "courier,8,1" +) +xt "85000,-1000,90700,0" +st "Signal Status" +blo "85000,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "85000,0,110200,10800" +st "SIGNAL MODE DEFAULT RESET SCHEME +powerUpDone OUT '1' COMB +commandBus OUT nop COMB +timerStart OUT '0' COMB +addrSelPrecharge OUT '0' COMB +addrSelModeReg OUT '0' COMB +writeAck OUT '0' COMB +addrSelCol OUT '0' COMB +addrSelRow OUT '0' COMB +readAck OUT '0' COMB +ramDataValid OUT '0' COMB +sampleData OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *117 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "courier,8,1" +) +xt "113000,-1000,123800,0" +st "State Register Statements" +blo "113000,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "113000,0,113000,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 0 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&30 +&31 +&32 +&33 +&34 +&35 +&38 +&39 +&40 +&41 +&44 +&45 +&46 +&47 +&50 +&51 +] +name "csm" +) +] +lastUid 1653,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *118 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*119 (RefLabelRowHdr +) +*120 (TitleRowHdr +) +*121 (FilterRowHdr +) +*122 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*123 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*124 (GroupColHdr +tm "GroupColHdrMgr" +) +*125 (NameColHdr +tm "SmNameColHdrMgr" +) +*126 (ModeColHdr +tm "SmModeColHdrMgr" +) +*127 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*128 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*129 (InitColHdr +tm "SmInitColHdrMgr" +) +*130 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*131 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*132 (ColumnHdr +tm "SmExprColHdrMgr" +) +*133 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*134 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*135 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*136 (EolColHdr +tm "SmEolColHdrMgr" +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +uid 152,0 +cat 3 +expr "rising_edge(clock)" +) +*138 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +uid 154,0 +cat 9 +expr "reset = '1'" +) +*139 (LeafLogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +) +) +uid 226,0 +ass "" +) +*140 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +) +) +uid 228,0 +scheme 0 +defVal "'1'" +) +*141 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +) +) +uid 323,0 +scheme 0 +defVal "nop" +) +*142 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +) +) +uid 331,0 +scheme 0 +defVal "'0'" +) +*143 (LeafLogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +) +) +uid 333,0 +ass "" +) +*144 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +) +) +uid 472,0 +scheme 0 +defVal "'0'" +) +*145 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +) +) +uid 530,0 +scheme 0 +defVal "'0'" +) +*146 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +) +) +uid 867,0 +scheme 0 +defVal "'0'" +) +*147 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +) +) +uid 869,0 +ass "" +) +*148 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +) +) +uid 996,0 +scheme 0 +defVal "'0'" +) +*149 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +) +) +uid 998,0 +scheme 0 +defVal "'0'" +) +*150 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +) +) +uid 1179,0 +ass "" +) +*151 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +) +) +uid 1181,0 +scheme 0 +defVal "'0'" +) +*152 (LeafLogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +) +) +uid 1183,0 +) +*153 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +) +) +uid 1185,0 +scheme 0 +defVal "'0'" +) +*154 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +) +) +uid 1187,0 +scheme 0 +defVal "'0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 177,0 +optionalChildren [ +*155 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *156 (MRCItem +litem &118 +pos 18 +dimension 20 +) +uid 179,0 +optionalChildren [ +*157 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 180,0 +) +*158 (MRCItem +litem &120 +pos 1 +dimension 23 +uid 181,0 +) +*159 (MRCItem +litem &121 +pos 2 +hidden 1 +dimension 20 +uid 182,0 +) +*160 (MRCItem +litem &137 +pos 4 +dimension 20 +uid 153,0 +) +*161 (MRCItem +litem &138 +pos 12 +dimension 20 +uid 155,0 +) +*162 (MRCItem +litem &139 +pos 6 +dimension 20 +uid 225,0 +) +*163 (MRCItem +litem &140 +pos 7 +dimension 20 +uid 227,0 +) +*164 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 322,0 +) +*165 (MRCItem +litem &142 +pos 15 +dimension 20 +uid 330,0 +) +*166 (MRCItem +litem &143 +pos 14 +dimension 20 +uid 332,0 +) +*167 (MRCItem +litem &144 +pos 2 +dimension 20 +uid 471,0 +) +*168 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 529,0 +) +*169 (MRCItem +litem &146 +pos 16 +dimension 20 +uid 866,0 +) +*170 (MRCItem +litem &147 +pos 17 +dimension 20 +uid 868,0 +) +*171 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 995,0 +) +*172 (MRCItem +litem &149 +pos 3 +dimension 20 +uid 997,0 +) +*173 (MRCItem +litem &150 +pos 9 +dimension 20 +uid 1178,0 +) +*174 (MRCItem +litem &151 +pos 10 +dimension 20 +uid 1180,0 +) +*175 (MRCItem +litem &152 +pos 11 +dimension 20 +uid 1182,0 +) +*176 (MRCItem +litem &153 +pos 8 +dimension 20 +uid 1184,0 +) +*177 (MRCItem +litem &154 +pos 13 +dimension 20 +uid 1186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 183,0 +optionalChildren [ +*178 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 184,0 +) +*179 (MRCItem +litem &124 +pos 1 +dimension 50 +uid 185,0 +) +*180 (MRCItem +litem &125 +pos 2 +dimension 156 +uid 186,0 +) +*181 (MRCItem +litem &126 +pos 3 +dimension 50 +uid 187,0 +) +*182 (MRCItem +litem &127 +pos 4 +dimension 80 +uid 188,0 +) +*183 (MRCItem +litem &128 +pos 5 +dimension 191 +uid 189,0 +) +*184 (MRCItem +litem &129 +pos 6 +dimension 40 +uid 190,0 +) +*185 (MRCItem +litem &130 +pos 7 +dimension 100 +uid 191,0 +) +*186 (MRCItem +litem &131 +pos 8 +dimension 60 +uid 192,0 +) +*187 (MRCItem +litem &132 +pos 9 +dimension 130 +uid 193,0 +) +*188 (MRCItem +litem &133 +pos 10 +dimension 56 +uid 194,0 +) +*189 (MRCItem +litem &134 +pos 11 +dimension 50 +uid 195,0 +) +*190 (MRCItem +litem &135 +pos 12 +dimension 50 +uid 196,0 +) +*191 (MRCItem +litem &136 +pos 13 +dimension 80 +uid 197,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 178,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *192 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*193 (RefLabelRowHdr +) +*194 (TitleRowHdr +) +*195 (FilterRowHdr +) +*196 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*197 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*198 (GroupColHdr +tm "GroupColHdrMgr" +) +*199 (NameColHdr +tm "GenericNameColHdrMgr" +) +*200 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*201 (InitColHdr +tm "GenericValueColHdrMgr" +) +*202 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*203 (EolColHdr +tm "GenericEolColHdrMgr" +) +*204 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 1301,0 +) +*205 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 1361,0 +) +*206 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 1507,0 +) +*207 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 1547,0 +) +*208 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 1549,0 +) +*209 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1551,0 +) +*210 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1553,0 +) +*211 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1555,0 +) +*212 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 1557,0 +) +*213 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1559,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 211,0 +optionalChildren [ +*214 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *215 (MRCItem +litem &192 +pos 10 +dimension 20 +) +uid 213,0 +optionalChildren [ +*216 (MRCItem +litem &193 +pos 0 +dimension 20 +uid 214,0 +) +*217 (MRCItem +litem &194 +pos 1 +dimension 23 +uid 215,0 +) +*218 (MRCItem +litem &195 +pos 2 +hidden 1 +dimension 20 +uid 216,0 +) +*219 (MRCItem +litem &204 +pos 0 +dimension 20 +uid 1300,0 +) +*220 (MRCItem +litem &205 +pos 1 +dimension 20 +uid 1360,0 +) +*221 (MRCItem +litem &206 +pos 2 +dimension 20 +uid 1508,0 +) +*222 (MRCItem +litem &207 +pos 3 +dimension 20 +uid 1548,0 +) +*223 (MRCItem +litem &208 +pos 4 +dimension 20 +uid 1550,0 +) +*224 (MRCItem +litem &209 +pos 5 +dimension 20 +uid 1552,0 +) +*225 (MRCItem +litem &210 +pos 6 +dimension 20 +uid 1554,0 +) +*226 (MRCItem +litem &211 +pos 7 +dimension 20 +uid 1556,0 +) +*227 (MRCItem +litem &212 +pos 8 +dimension 20 +uid 1558,0 +) +*228 (MRCItem +litem &213 +pos 9 +dimension 20 +uid 1560,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 217,0 +optionalChildren [ +*229 (MRCItem +litem &196 +pos 0 +dimension 20 +uid 218,0 +) +*230 (MRCItem +litem &198 +pos 1 +dimension 50 +uid 219,0 +) +*231 (MRCItem +litem &199 +pos 2 +dimension 311 +uid 220,0 +) +*232 (MRCItem +litem &200 +pos 3 +dimension 183 +uid 221,0 +) +*233 (MRCItem +litem &201 +pos 4 +dimension 54 +uid 222,0 +) +*234 (MRCItem +litem &202 +pos 5 +dimension 50 +uid 223,0 +) +*235 (MRCItem +litem &203 +pos 6 +dimension 349 +uid 224,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 212,0 +vaOverrides [ +] +) +] +) +uid 198,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-900,-600,900,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1150,-1150,2150,2150" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "-150,-100,1150,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1850,2000,3650,2900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,3900,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "1700,1400,1700,1400" +pts [ +"1700,1400" +"1700,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "1700,1800,1700,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-781,-781,781,781" +radius 781 +) +pr (Text +va (VaSet +) +xt "-400,-500,400,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2425,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,8225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,10225,1525" +st "rising_edge(clk)" +tm "SmControlConditionMgr" +) +) +edge 4 +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-3725,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,3825,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,3725,1525" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,825" +"574,825" +"574,1425" +"275,1425" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-1125,-975,2075,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-1025,-875,3675,25" +st "rst = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,344,3187,1906" +radius 781 +) +pr (Text +va (VaSet +) +xt "2006,625,2806,1625" +st "1" +ju 0 +blo "2406,1425" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-1925,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/symbol.sb b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb new file mode 100644 index 0000000..c6ef56d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb @@ -0,0 +1,2624 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 166,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +uid 2660,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +uid 2662,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +uid 2664,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +uid 2666,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +uid 2668,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +uid 2670,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +uid 2672,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +uid 2674,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +uid 2676,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +uid 2678,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +uid 2680,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +uid 2682,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +uid 2684,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +uid 2686,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +uid 2688,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +uid 2690,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +uid 2692,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +uid 2694,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 82,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2661,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2663,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2665,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2667,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2669,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2671,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2673,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2675,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2677,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2679,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2681,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2683,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 2685,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 2687,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 2689,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 2691,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 2693,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 2695,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 2788,0 +) +*76 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 2836,0 +) +*77 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 2931,0 +) +*78 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 2933,0 +) +*79 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 2935,0 +) +*80 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2937,0 +) +*81 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2939,0 +) +*82 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2941,0 +) +*83 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 2943,0 +) +*84 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2945,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *86 (MRCItem +litem &63 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*87 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 111,0 +) +*88 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 112,0 +) +*89 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*90 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 2789,0 +) +*91 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 2837,0 +) +*92 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 2930,0 +) +*93 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 2932,0 +) +*94 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 2934,0 +) +*95 (MRCItem +litem &80 +pos 5 +dimension 20 +uid 2936,0 +) +*96 (MRCItem +litem &81 +pos 6 +dimension 20 +uid 2938,0 +) +*97 (MRCItem +litem &82 +pos 7 +dimension 20 +uid 2940,0 +) +*98 (MRCItem +litem &83 +pos 8 +dimension 20 +uid 2942,0 +) +*99 (MRCItem +litem &84 +pos 9 +dimension 20 +uid 2944,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*100 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 115,0 +) +*101 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 116,0 +) +*102 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 117,0 +) +*103 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 118,0 +) +*104 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 119,0 +) +*105 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 120,0 +) +*106 (MRCItem +litem &74 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*107 (SymbolBody +uid 8,0 +optionalChildren [ +*108 (CptPort +uid 2570,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2571,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,19625,51750,20375" +) +tg (CPTG +uid 2572,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2573,0 +va (VaSet +) +xt "45400,19500,50000,20500" +st "addrSelCol" +ju 2 +blo "50000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2574,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,20500,17800" +st "addrSelCol : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*109 (CptPort +uid 2575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 2577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2578,0 +va (VaSet +) +xt "43200,15500,50000,16500" +st "addrSelModeReg" +ju 2 +blo "50000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2579,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,20500,18700" +st "addrSelModeReg : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*110 (CptPort +uid 2580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 2582,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2583,0 +va (VaSet +) +xt "43000,13500,50000,14500" +st "addrSelPrecharge" +ju 2 +blo "50000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2584,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,20500,19600" +st "addrSelPrecharge : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*111 (CptPort +uid 2585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2586,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 2587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2588,0 +va (VaSet +) +xt "45100,17500,50000,18500" +st "addrSelRow" +ju 2 +blo "50000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2589,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,20500,20500" +st "addrSelRow : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*112 (CptPort +uid 2590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,27625,35000,28375" +) +tg (CPTG +uid 2592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +) +xt "36000,27500,38100,28500" +st "clock" +blo "36000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2594,0 +va (VaSet +font "courier,8,0" +) +xt "0,10600,20500,11500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*113 (CptPort +uid 2595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,27625,51750,28375" +) +tg (CPTG +uid 2597,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2598,0 +va (VaSet +) +xt "44700,27500,50000,28500" +st "commandBus" +ju 2 +blo "50000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2599,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,39000,21400" +st "commandBus : OUT std_ulogic_vector ( commandBusBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*114 (CptPort +uid 2600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,9625,35000,10375" +) +tg (CPTG +uid 2602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2603,0 +va (VaSet +) +xt "36000,9500,43500,10500" +st "endOfRefreshCount" +blo "36000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2604,0 +va (VaSet +font "courier,8,0" +) +xt "0,11500,20500,12400" +st "endOfRefreshCount : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*115 (CptPort +uid 2605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2606,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,9625,51750,10375" +) +tg (CPTG +uid 2607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2608,0 +va (VaSet +) +xt "44400,9500,50000,10500" +st "powerUpDone" +ju 2 +blo "50000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2609,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,20500,22300" +st "powerUpDone : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*116 (CptPort +uid 2610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2611,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,25625,51750,26375" +) +tg (CPTG +uid 2612,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2613,0 +va (VaSet +) +xt "44600,25500,50000,26500" +st "ramDataValid" +ju 2 +blo "50000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2614,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,20500,23200" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*117 (CptPort +uid 2615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,25625,35000,26375" +) +tg (CPTG +uid 2617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2618,0 +va (VaSet +) +xt "36000,25500,38600,26500" +st "ramEn" +blo "36000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2619,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,20500,13300" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*118 (CptPort +uid 2620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2621,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 2622,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2623,0 +va (VaSet +) +xt "36000,21500,39100,22500" +st "readAck" +blo "36000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2624,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,20500,24100" +st "readAck : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*119 (CptPort +uid 2625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,19625,35000,20375" +) +tg (CPTG +uid 2627,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2628,0 +va (VaSet +) +xt "36000,19500,41200,20500" +st "readRequest" +blo "36000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2629,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,20500,14200" +st "readRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*120 (CptPort +uid 2630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2631,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,29625,35000,30375" +) +tg (CPTG +uid 2632,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2633,0 +va (VaSet +) +xt "36000,29500,38100,30500" +st "reset" +blo "36000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2634,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,20500,15100" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*121 (CptPort +uid 2635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,21625,51750,22375" +) +tg (CPTG +uid 2637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2638,0 +va (VaSet +) +xt "45200,21500,50000,22500" +st "sampleData" +ju 2 +blo "50000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2639,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,20500,25000" +st "sampleData : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*122 (CptPort +uid 2640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,11625,35000,12375" +) +tg (CPTG +uid 2642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2643,0 +va (VaSet +) +xt "36000,11500,39900,12500" +st "timerDone" +blo "36000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2644,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,36500,16000" +st "timerDone : IN std_ulogic_vector ( 1 TO maxDelayPeriodNb ) ;" +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*123 (CptPort +uid 2645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,11625,51750,12375" +) +tg (CPTG +uid 2647,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2648,0 +va (VaSet +) +xt "45900,11500,50000,12500" +st "timerStart" +ju 2 +blo "50000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2649,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,20500,25900" +st "timerStart : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*124 (CptPort +uid 2650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2651,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 2652,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2653,0 +va (VaSet +) +xt "36000,17500,39200,18500" +st "writeAck" +blo "36000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2654,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19500,26800" +st "writeAck : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*125 (CptPort +uid 2655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 2657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2658,0 +va (VaSet +) +xt "36000,15500,41300,16500" +st "writeRequest" +blo "36000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2659,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,20500,16900" +st "writeRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,6000,51000,32000" +) +oxt "15000,6000,31000,30000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32000,38750,32900" +st "Memory" +blo "35750,32700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32900,45250,33800" +st "sdramControllerFsm" +blo "35750,33600" +) +) +gi *126 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,35200,69500,46000" +st "Generic Declarations + +commandBusBitNb positive 6 +maxDelayPeriodNb positive 10 +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb positive 1 --1 CK +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*127 (Grouping +uid 16,0 +optionalChildren [ +*128 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*129 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*130 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*131 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*132 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*133 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*134 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*135 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*136 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*137 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,50400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *138 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*140 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "8,47,1393,955" +viewArea "-3100,-1100,72690,50510" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *141 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *142 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8600,3400,9600" +st "Declarations" +blo "-2000,9400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9600,700,10600" +st "Ports:" +blo "-2000,10400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,26800,500,27700" +st "User:" +blo "-2000,27500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,8600,3800,9600" +st "Internal User:" +blo "-2000,9400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,0,27700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,8600,-2000,8600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2968,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb new file mode 100644 index 0000000..8b2807a --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb @@ -0,0 +1,1625 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +uid 209,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +uid 211,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +uid 213,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +uid 215,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 295,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 82,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 210,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 212,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 214,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 216,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +uid 240,0 +) +*50 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +uid 242,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 111,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 112,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 241,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 243,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 115,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 116,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 117,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 118,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 119,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 120,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 192,0 +va (VaSet +) +xt "33000,19500,35100,20500" +st "clock" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 193,0 +va (VaSet +font "courier,8,0" +) +xt "0,11800,20500,12700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*67 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +) +xt "39500,15500,47000,16500" +st "endOfRefreshCount" +ju 2 +blo "47000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "courier,8,0" +) +xt "0,14500,20500,15400" +st "endOfRefreshCount : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*68 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +) +xt "33000,15500,38600,16500" +st "powerUpDone" +blo "33000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "0,12700,20500,13600" +st "powerUpDone : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*69 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "33000,21500,35100,22500" +st "reset" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "0,13600,20500,14500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*70 (CptPort +uid 290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 293,0 +va (VaSet +) +xt "41500,17500,47000,18500" +st "selectRefresh" +ju 2 +blo "47000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "0,15400,19500,16300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,12000,48000,24000" +) +oxt "15000,6000,31000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24000,35350,24900" +st "Memory" +blo "32350,24700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24900,47350,25800" +st "sdramControllerRefreshCounter" +blo "32350,25600" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,27200,49000,30800" +st "Generic Declarations + +delayCounterBitNb positive 12 +refreshPeriodNb positive 1024 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "27,64,1389,970" +viewArea "-3100,-1100,72338,51172" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9800,3400,10800" +st "Declarations" +blo "-2000,10600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10800,700,11800" +st "Ports:" +blo "-2000,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16300,500,17200" +st "User:" +blo "-2000,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,9800,3800,10800" +st "Internal User:" +blo "-2000,10600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,17200,0,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,9800,-2000,9800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 319,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@s@r/symbol.sb b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb new file mode 100644 index 0000000..8735202 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb @@ -0,0 +1,1581 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 131,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSR" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSR" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSR" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,21625,29000,22375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "30000,21500,32100,22500" +st "clock" +blo "30000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "0,8000,16500,8900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,15625,29000,16375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "30000,15500,33000,16500" +st "setFlag" +blo "30000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "0,10700,16500,11600" +st "setFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*64 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,23625,29000,24375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "30000,23500,32100,24500" +st "reset" +blo "30000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "0,8900,16500,9800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*65 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "30000,17500,33700,18500" +st "resetFlag" +blo "30000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "0,9800,16500,10700" +st "resetFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*66 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,15625,45750,16375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "42300,15500,44000,16500" +st "flag" +ju 2 +blo "44000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "0,11600,15500,12500" +st "flag : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,12000,45000,26000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26000,32000,26900" +st "Memory" +blo "29000,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26900,38000,27800" +st "sdramControllerSR" +blo "29000,27600" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,29200,39500,30100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,49800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,40,1385,946" +viewArea "-3080,-1069,72539,50251" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,12500,500,13400" +st "User:" +blo "-2000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,13400,0,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb new file mode 100644 index 0000000..bda3c0d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 237,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +uid 239,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +uid 241,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 243,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +uid 245,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 96,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 240,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 244,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 246,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 159,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 125,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 126,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 160,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +) +xt "39000,24500,41100,25500" +st "clock" +blo "39000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*65 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,18625,54750,19375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +) +xt "48800,18500,53000,19500" +st "memDataIn" +ju 2 +blo "53000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 221,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*66 (CptPort +uid 222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 225,0 +va (VaSet +) +xt "39000,18500,42900,19500" +st "ramDataIn" +blo "39000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 226,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*67 (CptPort +uid 227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 230,0 +va (VaSet +) +xt "39000,26500,41100,27500" +st "reset" +blo "39000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 231,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*68 (CptPort +uid 232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +) +xt "39000,22500,43800,23500" +st "sampleData" +blo "39000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "sampleData : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,15000,54000,29000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29000,41800,29900" +st "Memory" +blo "38800,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29900,52800,30800" +st "sdramControllerSampleDataIn" +blo "38800,30600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,50000,35300" +st "Generic Declarations + +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "7,87,1401,1002" +viewArea "-3063,-1063,72646,50548" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 292,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@store@data/symbol.sb b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb new file mode 100644 index 0000000..3f4be58 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 15,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 251,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +uid 253,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +uid 255,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +uid 257,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 252,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 254,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 256,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 258,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 166,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerStoreData" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerStoreData" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerStoreData" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 229,0 +va (VaSet +) +xt "41000,20500,43100,21500" +st "clock" +blo "41000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 230,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*65 (CptPort +uid 231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,14625,56750,15375" +) +tg (CPTG +uid 233,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 234,0 +va (VaSet +) +xt "49800,14500,55000,15500" +st "memDataOut" +ju 2 +blo "55000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 235,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*66 (CptPort +uid 236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 238,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 239,0 +va (VaSet +) +xt "41000,14500,45900,15500" +st "ramDataOut" +blo "41000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 240,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*67 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +) +xt "41000,16500,43700,17500" +st "ramWr" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 245,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*68 (CptPort +uid 246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 248,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 249,0 +va (VaSet +) +xt "41000,22500,43100,23500" +st "reset" +blo "41000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,11000,56000,25000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25000,43500,25900" +st "Memory" +blo "40500,25700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25900,53000,26800" +st "sdramControllerStoreData" +blo "40500,26600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,28600,51500,31300" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,62,1390,960" +viewArea "-3082,-1069,73504,50294" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 306,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb new file mode 100644 index 0000000..e699856 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb @@ -0,0 +1,1544 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 179,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +uid 181,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +uid 183,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +uid 185,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 180,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 182,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 184,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 210,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 211,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +) +xt "33000,23500,35100,24500" +st "clock" +blo "33000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 163,0 +va (VaSet +font "courier,8,0" +) +xt "0,18800,17000,19700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*63 (CptPort +uid 164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 167,0 +va (VaSet +) +xt "33000,25500,35100,26500" +st "reset" +blo "33000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "0,19700,17000,20600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*64 (CptPort +uid 169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 171,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 172,0 +va (VaSet +) +xt "43100,19500,47000,20500" +st "timerDone" +ju 2 +blo "47000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "courier,8,0" +) +xt "0,21500,31000,22400" +st "timerDone : OUT std_ulogic_vector (1 TO maxDelayPeriodNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*65 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +) +xt "33000,19500,37100,20500" +st "timerStart" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "0,20600,17000,21500" +st "timerStart : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,16000,48000,28000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28000,35400,28900" +st "Memory" +blo "32400,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28900,50400,29800" +st "sdramControllerTimingsShiftRegister" +blo "32400,29600" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,31200,47500,33900" +st "Generic Declarations + +maxDelayPeriodNb positive 10 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "5,46,1391,1000" +viewArea "-3084,-1070,72630,53429" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16800,3400,17800" +st "Declarations" +blo "-2000,17600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,17800,700,18800" +st "Ports:" +blo "-2000,18600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,22400,500,23300" +st "User:" +blo "-2000,23100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,16800,3800,17800" +st "Internal User:" +blo "-2000,17600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,23300,0,23300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,16800,-2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 234,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hdl/Flash_behav.vhd b/Libs/Memory_test/hdl/Flash_behav.vhd new file mode 100644 index 0000000..3400d3a --- /dev/null +++ b/Libs/Memory_test/hdl/Flash_behav.vhd @@ -0,0 +1,528 @@ +use std.textio.all; + +ARCHITECTURE behav OF flash_28F128J3A IS + -- controls + signal chipSelect : std_ulogic; + signal writePulse : std_ulogic; + signal writePulseDelayed : std_ulogic; + signal memoryCommand : unsigned(7 downto 0); + signal wordProgramBusy : std_ulogic := '0'; + signal blockEraseBusy : std_ulogic := '0'; + signal busy : std_ulogic; + signal readPulseCs : std_ulogic := '0'; + signal readPulseOe : std_ulogic := '0'; + signal readPulse : std_ulogic; + + signal memoryAddressDebug : unsigned(A'range); + + type state_type is ( + READ_ARRAY, + READ_ID_CODES, + READ_QUERY, + READ_STATUS, + WRITE_BUFFER, + WORD_PROGRAM_1, + WORD_PROGRAM_2, + BLOCK_ERASE_1, + BLOCK_ERASE_2, + CONFIG, + PROG_LOCK_BITS, + PROG_PROT, + BOTCH_LOCK,-- + BOTCH_LOCK_ERS_SUSP,-- + LOCK_DONE, + PROG_LOCK_BITS_ERS_SUSP,-- + LOCK_DONE_ERS_SUSP, + PROT_PROG_BUSY,-- + PROT_PROG_DONE,-- + WORD_PROGRAM_1_ERS_SUSP,-- + PROG_BUSY,-- + PROG_BUSY_ERS_SUSP,-- + READ_STATUS_PROG_SUSP,-- + READ_ARRAY_PROG_SUSP,-- + READ_CONFIG_PROG_SUSP,-- + READ_QUERY_PROG_SUSP,-- + PROGRAM_DONE,-- + PROGRAM_DONE_ERS_SUSP,-- + BOTCH_ERS,-- + ERASE_BUSY,-- + READ_STATUS_ERS_SUSP,-- + READ_ARRAY_ERS_SUSP,-- + READ_CONFIG_ERS_SUSP,-- + READ_QUERY_ERS_SUSP,-- + ERASE_DONE-- + ); + + signal currentState : state_type; + signal nextState : state_type; + -- storage + constant blockLength : positive:= 16#10000#; -- 64 Kword blocks + constant memoryLength: positive := 2**(A'length-1); +-- constant memoryLength : positive := 2*blockLength; + subtype memoryWord is std_ulogic_vector(DQ'range); + type memoryArray is array(0 to memoryLength-1) of memoryWord; + signal memoryDataWord : memoryWord; + +BEGIN + + --############################################################################ + -- Controls + ------------------------------------------------------------------------------ + + chipSelect <= ( (not CE(2)) and (not CE(1)) and (not CE(0)) ) or + ( CE(2) and ( (not CE(1)) or (not CE(0)) ) ); + writePulse <= chipSelect and not(WE_n); + writePulseDelayed <= writePulse after 1 ns; + + memoryCommand <= unsigned(DQ(memoryCommand'range)); + + process(chipSelect) + begin + if rising_edge(chipSelect) then + readPulseCs <= '1' after T_R3; + elsif falling_edge(chipSelect) then + readPulseCs <= '0' after T_R8; + end if; + end process; + + process(OE_n) + begin + if falling_edge(OE_n) then + readPulseOe <= '1' after T_R7; + elsif rising_edge(OE_n) then + readPulseOe <= '0' after T_R9; + end if; + end process; + + readPulse <= readPulseCs and readPulseOe; + + ------------------------------------------------------------------------------ + -- Programming delays + ------------------------------------------------------------------------------ + + wordProgramBusy <= '1', '0' after T_W16_program when currentState = WORD_PROGRAM_2; + blockEraseBusy <= '1', '0' after T_W16_erase when currentState = BLOCK_ERASE_2; + busy <= wordProgramBusy or blockEraseBusy; + + + ------------------------------------------------------------------------------ + -- FSM: find next state + ------------------------------------------------------------------------------ + -- Table 4 p. 12 + process(writePulse, busy) + begin + case currentState is + when READ_ARRAY | READ_ID_CODES | READ_QUERY | READ_STATUS => + case to_integer(memoryCommand) is + when 16#FF# => nextState <= READ_ARRAY; + when 16#90# => nextState <= READ_ID_CODES; + when 16#98# => nextState <= READ_QUERY; + when 16#70# => nextState <= READ_STATUS; + when 16#E8# => nextState <= WRITE_BUFFER; + when 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; + when 16#20# => nextState <= BLOCK_ERASE_1; + when 16#B8# => nextState <= CONFIG; + when 16#60# => nextState <= PROG_LOCK_BITS; + when 16#C0# => nextState <= PROG_PROT; + when others => nextState <= READ_ARRAY; + end case; + + when WORD_PROGRAM_1 => + nextState <= WORD_PROGRAM_2; + + when WORD_PROGRAM_2 => + nextState <= READ_ARRAY; + + when BLOCK_ERASE_1 => + if to_integer(memoryCommand) = 16#D0# then + nextState <= BLOCK_ERASE_2; + else + nextState <= READ_ARRAY; + end if; + + when BLOCK_ERASE_2 => + nextState <= READ_ARRAY; + +-- WHEN PROG_LOCK_BITS => +-- IF rising_edge(WENeg) THEN +-- -- SECOND CYCLE CHECK +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY; +-- ELSE +-- nextState <= BOTCH_LOCK; +-- END IF; +-- END IF; +-- +-- WHEN PROG_LOCK_BITS_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY_ERS_SUSP; +-- ELSE +-- nextState <= BOTCH_LOCK_ERS_SUSP; +-- END IF; +-- END IF; +-- +-- +-- WHEN LOCK_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN LOCK_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN BOTCH_ERS => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <=BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- +-- WHEN PROG_PROT => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_BUSY => +-- IF S_Reg(7)='1' THEN +-- nextState <= PROT_PROG_DONE; +-- ELSE +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1 => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN PROG_BUSY => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE; +-- ELSIF rising_edge(WENeg) THEN +-- IF data= 16#B0# THEN +-- nextState <= READ_STATUS_PROG_SUSP; +-- ELSE +-- nextState <= PROG_BUSY; +-- END IF; +-- END IF; +-- +-- WHEN PROG_BUSY_ERS_SUSP => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE_ERS_SUSP; +-- ELSIF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN READ_STATUS_PROG_SUSP | READ_ARRAY_PROG_SUSP | +-- READ_CONFIG_PROG_SUSP | READ_QUERY_PROG_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- --WHEN 16#D0# => nextState <= READ_ARRAY_PROG_SUSP; +-- WHEN 16#D0# => nextState <= PROG_BUSY; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_PROG_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_PROG_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_PROG_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_PROG_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN ERASE_BUSY => +-- IF rising_edge(WENeg) AND data= 16#B0# THEN +-- nextState <= READ_STATUS_ERS_SUSP; +-- ELSIF EDone AND ECount=31 THEN +-- nextState<=ERASE_DONE; +-- ELSE +-- nextState <= ERASE_BUSY; +-- END IF; +-- +-- WHEN READ_STATUS_ERS_SUSP | READ_ARRAY_ERS_SUSP | +-- READ_CONFIG_ERS_SUSP | READ_QUERY_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <=WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# | 16#80# => +-- nextState<= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN ERASE_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; + +when others => nextState <= READ_ARRAY; + + end case; + end process; + + + ------------------------------------------------------------------------------ + -- FSM: update state + ------------------------------------------------------------------------------ + process(RP_N, writePulseDelayed, busy) + begin + if RP_n = '0' then + currentState <= READ_ARRAY; + elsif falling_edge(writePulseDelayed) then + currentState <= nextState; + elsif falling_edge(busy) then + currentState <= nextState; + end if; + end process; + + + ------------------------------------------------------------------------------ + -- STS + ------------------------------------------------------------------------------ + process + begin + STS <= '1'; + wait on busy; + if rising_edge(busy) then + STS <= '0' after T_W13; + wait until falling_edge(busy); + end if; + end process; + + + --############################################################################ + -- Storage + ------------------------------------------------------------------------------ + process(writePulse, A) + variable memContent : memoryArray; -- much faster than using a signal + variable loadMemFromFile : boolean := true; + file memoryFile : text open read_mode is fileSpec; + variable srecLine : line; + variable srecChar : character; + variable srecType : natural; + variable srecAddrLength : natural; + variable srecWordAscii : string(8 downto 1); + variable srecLength : natural; + variable srecAddress : natural; + variable memoryAddress : natural; + variable srecData : natural; + + function readNumber(hexString: string) return natural is + variable currentCharPos: natural; + variable intValue: natural; + variable accValue: natural; + begin + accValue := 0; + for index in hexString'range loop + currentCharPos := character'pos(hexString(index)); + if currentCharPos <= character'pos('9') then + intValue := currentCharPos - character'pos('0'); + else + intValue := currentCharPos - character'pos('A') + 10; + end if; + accValue := accValue * 16 + intValue; + end loop; + return accValue; + end readNumber; + + begin + if loadMemFromFile then + -- only happens at simulation start + while not endfile(memoryFile) loop + readline(memoryFile, srecLine); + --report "-> " & srecLine.all; + -- trim leading whitespaces + while (not (srecLine'length=0)) and (srecLine(srecLine'left) = ' ') loop + read(srecLine, srecChar); + end loop; + -- get record type + if srecLine'length > 0 then + read(srecLine, srecChar); + if (srecChar = 'S') or (srecChar = 's') then + read(srecLine, srecChar); + srecType := character'pos(srecChar) - character'pos('0'); + --report "-> srec type: " & integer'image(srecType); + srecAddrLength := srecType + 1; + if (srecType >= 1) and (srecType <= 3) then + -- get record length + srecWordAscii := (others => '0'); + read(srecLine, srecWordAscii(2)); + read(srecLine, srecWordAscii(1)); + srecLength := readNumber(srecWordAscii); + -- get record base address + srecWordAscii := (others => '0'); + for index in 2*(srecAddrLength) downto 1 loop + read(srecLine, srecWordAscii(index)); + end loop; + srecAddress := readNumber(srecWordAscii); + memoryAddress := srecAddress/2; + -- get record data + for index1 in 1 to (srecLength - srecAddrLength - 1) / 2 loop + srecWordAscii := (others => '0'); + for index2 in 4 downto 1 loop + read(srecLine, srecWordAscii(index2)); + end loop; + srecData := readNumber(srecWordAscii); + if memoryAddress < memoryLength then + memContent(memoryAddress) := std_ulogic_vector(to_unsigned(srecData, memoryWord'length)); + end if; + memoryAddress := memoryAddress + 1; + end loop; + end if; + end if; + end if; + end loop; + loadMemFromFile := false; + else + -- normal functionality + if falling_edge(writePulse) then + -- program a word + if currentState = WORD_PROGRAM_1 then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memContent(memoryAddress) := std_ulogic_vector(DQ); + -- erase a block + elsif currentState = BLOCK_ERASE_1 then + memoryAddress := to_integer(A and not(to_unsigned(blockLength-1, A'length))); + for index in 0 to blockLength-1 loop + if memoryAddress < memoryLength then + memContent(memoryAddress) := (others => '1'); + memoryAddress := memoryAddress + 1; + end if; + end loop; + end if; + end if; + -- update readout data + if A'event then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memoryDataWord <= memContent(memoryAddress) after T_R2; + end if; + end if; + end process; + + process(memoryDataWord, readPulse) + begin + if readPulse = '1' then + DQ <= std_logic_vector(memoryDataWord); + else + DQ <= (others => 'Z'); + end if; + end process; + + + +END ARCHITECTURE behav; + diff --git a/Libs/Memory_test/hdl/bram_tester_test.vhd b/Libs/Memory_test/hdl/bram_tester_test.vhd new file mode 100644 index 0000000..36c3016 --- /dev/null +++ b/Libs/Memory_test/hdl/bram_tester_test.vhd @@ -0,0 +1,83 @@ +ARCHITECTURE test OF bram_tester IS + + constant clockFrequencyA: real := 66.0E6; + constant clockFrequencyB: real := 20.0E6; + constant clockPeriodA: time := (1.0/clockFrequencyA) * 1 sec; + constant clockPeriodB: time := (1.0/clockFrequencyB) * 1 sec; + signal clockA_int: std_uLogic := '1'; + signal clockB_int: std_uLogic := '1'; + + signal addressA_int: natural; + signal dataA_int: integer; + + signal addressB_int: natural; + signal dataB_int: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- clocks + clockA_int <= not clockA_int after clockPeriodA/2; + clockA <= transport clockA_int after clockPeriodA*9/10; + + clockB_int <= not clockB_int after clockPeriodB/2; + clockB <= transport clockB_int after clockPeriodB*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + portA: process + begin + enA <= '0'; + writeEnA <= '0'; + addressA_int <= 0; + dataA_int <= 0; + -- read initial BRAM data + wait for 5*clockPeriodA; + addressA_int <= 40; + enA <= '1'; + wait for clockPeriodA; + enA <= '0'; + -- write data on port A + wait for 10*clockPeriodA; + addressA_int <= 10; + dataA_int <= 5; + enA <= '1'; + writeEnA <= '1'; + wait for clockPeriodA; + enA <= '0'; + writeEnA <= '0'; + + wait; + end process portA; + + addressA <= std_ulogic_vector(to_unsigned(addressA_int, addressA'length)); + dataInA <= std_ulogic_vector(to_signed(dataA_int, dataInA'length)); + + portB: process + begin + enB <= '0'; + writeEnB <= '0'; + addressB_int <= 0; + dataB_int <= 0; + -- write data on port B + wait for 10*clockPeriodB; + addressB_int <= 20; + dataB_int <= 10; + enB <= '1'; + writeEnB <= '1'; + wait for clockPeriodB; + enB <= '0'; + writeEnB <= '0'; + -- read data written on port A + wait for 2*clockPeriodB; + addressB_int <= 10; + enB <= '1'; + wait for clockPeriodB; + enB <= '0'; + + wait; + end process portB; + + addressB <= std_ulogic_vector(to_unsigned(addressB_int, addressB'length)); + dataInB <= std_ulogic_vector(to_signed(dataB_int, dataInB'length)); + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/fifo_tester_test.vhd b/Libs/Memory_test/hdl/fifo_tester_test.vhd new file mode 100644 index 0000000..388de8a --- /dev/null +++ b/Libs/Memory_test/hdl/fifo_tester_test.vhd @@ -0,0 +1,339 @@ +--LIBRARY std; +-- USE std.textio.ALL; +LIBRARY COMMON_TEST; + USE COMMON_TEST.testUtils.all; + +ARCHITECTURE test OF fifo_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval: time := 10*clockPeriod; + signal dataIn_int: integer; + signal read_int: std_ulogic; + signal dataOffset: integer; + + signal dataValid: std_ulogic; + signal dataRead: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + variable readIndex: integer; + begin + write <= '0'; + read_int <= '0'; + dataOffset <= -16#10#; + wait for 5*clockPeriod; + print( + lf & lf & lf & + "----------------------------------------------------------------" & lf & + "Starting testbench" & lf & + lf & lf + ); + + --.......................................................................... + -- full write / read after end of write + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write direclty followed by full read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- full write / read after some time + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write and delay before read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 4*clockPeriod; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with asynchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + read_int <= '1'; + readIndex := -1; + while empty = '0' loop + if readIndex >= 0 then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct with clock period delay + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with synchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + wait until rising_edge(clock_int); + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- slow read sets FIFO full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", slow reading sets FIFO full and requires waiting before writing on" & + lf & lf + ); + -- prepare slow FIFO reading + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1' after 4*clockPeriod, + '0' after 5*clockPeriod, + '1' after 14*clockPeriod, + '0' after 15*clockPeriod, + '1' after 24*clockPeriod, + '0' after 25*clockPeriod, + '1' after 34*clockPeriod, + '0' after 35*clockPeriod, + '1' after 44*clockPeriod, + '0' after (45+2*fifoDepth-5)*clockPeriod; + -- write 2*FIFO depth + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + for index in 0 to 2*fifoDepth-1 loop + dataIn_int <= dataOffset + index; + if full = '1' then + wait until full = '0'; + wait for clockPeriod/8; + end if; + write <= '1'; + wait until rising_edge(clock_int); + write <= '0'; + end loop; + + --.......................................................................... + -- write over full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to write after FIFO full" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth+3 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + + --.......................................................................... + -- read FIFO once too much + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to read after FIFO empty" & + lf & lf + ); + read_int <= '1'; + wait for clockPeriod; + wait until empty = '1'; + wait for clockPeriod; + read_int <= '0'; + -- read when empty + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- read constantly + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO constantly (data valid when empty = '0')" & + lf & lf + ); + + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + read_int <= '1'; + wait for 2*clockPeriod; + wait until rising_edge(clock_int); + readIndex := -1; + write <= '1'; + for index in 0 to fifoDepth-1 loop + if empty = '0' then + readIndex := readIndex + 1; + end if; + if (readIndex >= 0) and (empty = '0') then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + dataIn_int <= dataOffset + index; + wait until rising_edge(clock_int); + end loop; + write <= '0'; + + wait until empty = '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- full write / read with breaks + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO with breaks" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 2*clockPeriod; + -- read FIFO + wait until rising_edge(clock_int); + readIndex := 0; + for index in 0 to fifoDepth/4-1 loop + read_int <= '1'; + for rdIndex in 1 to 2 loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + wait for 2*clockPeriod; + end loop; + read_int <= '1'; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + --.......................................................................... + -- end of tests + wait for testInterval; + assert false + report "END SIMULATION" + severity failure; + wait; + end process; + + dataIn <= std_ulogic_vector(to_signed(dataIn_int, dataIn'length)); + read <= read_int; + + dataValid <= '1' when (read_int = '1') and (empty = '0') + else '0'; + dataRead <= to_integer(signed(dataOut)) when dataValid = '1' + else 0; + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/flashController_tester_test.vhd b/Libs/Memory_test/hdl/flashController_tester_test.vhd new file mode 100644 index 0000000..77157e6 --- /dev/null +++ b/Libs/Memory_test/hdl/flashController_tester_test.vhd @@ -0,0 +1,88 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flashController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal flashAddr_int: natural := 0; + signal flashDataOut_int: natural := 0; + + signal refreshEn: std_uLogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- flash access + process + begin + flashRd <= '0'; + flashWr <= '0'; + flashEn <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + flashAddr_int <= 16#10000#; + flashDataOut_int <= 16#0020#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashDataOut_int <= 16#00D0#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + flashAddr_int <= 16#0000#; + flashDataOut_int <= 16#0040#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashAddr_int <= 16#0010#; + flashDataOut_int <= 16#CAFE#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#0000#; + flashRd <= '1', '0' after clockPeriod; + wait for 1 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#000F#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0010#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0011#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + flashAddr <= to_unsigned(flashAddr_int, flashAddr'length); + flashDataOut <= std_ulogic_vector(to_unsigned(flashDataOut_int, flashDataOut'length)); + + ------------------------------------------------------------------------------ + -- memory bus hold + refreshEn <= '1' after 15*clockPeriod when refreshEn = '0' + else '0' after clockPeriod; + memBusEn_n <= refreshEn; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/flash_tester_test.vhd b/Libs/Memory_test/hdl/flash_tester_test.vhd new file mode 100644 index 0000000..023ea1a --- /dev/null +++ b/Libs/Memory_test/hdl/flash_tester_test.vhd @@ -0,0 +1,102 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flash_tester IS + + constant T_W2: time := 0 ns; + constant T_W3: time := 70 ns; + constant T_W4: time := 50 ns; + constant T_W5: time := 55 ns; + constant T_W6: time := 10 ns; + constant T_R3: time := 120 ns; + + signal addr: natural; + signal data: integer; + + signal writeFlash: std_ulogic := '0'; + signal readFlash: std_ulogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- Test + ------------------------------------------------------------------------------ + process + begin + RP_n <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + addr <= 16#10000#; + data <= 16#20#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + data <= 16#D0#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + addr <= 16#0000#; + data <= 16#0040#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + addr <= 16#0010#; + data <= 16#CAFE#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0000#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0010#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- Board connections + ------------------------------------------------------------------------------ + CE(2 downto 1) <= (others => '0'); + BYTE_n <= '1'; + + + ------------------------------------------------------------------------------ + -- Write access + ------------------------------------------------------------------------------ + process + begin + CE(0) <= '1'; + WE_N <= '1'; + OE_N <= '1'; + DQ <= (others => 'Z'); + wait on writeFlash, readFlash; + if rising_edge(writeFlash) then + A <= to_unsigned(addr, A'length) after T_W3 - T_W5; + DQ <= std_logic_vector(to_unsigned(data, DQ'length)) after T_W3 - T_W4; + CE(0) <= '0'; + wait for T_W2; + WE_N <= '0'; + wait for T_W3; + WE_N <= '1'; + wait for T_W6; +-- CE(0) <= '1'; + elsif rising_edge(readFlash) then + OE_N <= '0'; + A <= to_unsigned(addr, A'length); + CE(0) <= '0'; + wait for T_R3 + 10 ns; +-- CE(0) <= '1'; + end if; + end process; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd new file mode 100644 index 0000000..a83599d --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd @@ -0,0 +1,18 @@ +LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE std.textio.all; + +PACKAGE mti_pkg IS + + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER; + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector; + procedure hexread(L : inout line; value:out bit_vector); + procedure hexread(L : inout line; value:out std_logic_vector); + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd new file mode 100644 index 0000000..422a4ab --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd @@ -0,0 +1,234 @@ +LIBRARY ieee; + USE ieee.numeric_std.all; + +PACKAGE BODY mti_pkg IS + + -- Convert BIT to STD_LOGIC + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS + BEGIN + CASE s IS + WHEN '0' => RETURN ('0'); + WHEN '1' => RETURN ('1'); + WHEN OTHERS => RETURN ('0'); + END CASE; + END; + + -- Convert STD_LOGIC to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + IF input = '1' THEN + result := weight; + ELSE + result := 0; -- if unknowns, default to logic 0 + END IF; + RETURN result; + END TO_INTEGER; + + -- Convert BIT_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_LOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_ULOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Conver INTEGER to BIT_VECTOR + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS + VARIABLE work,offset,outputlen,j : INTEGER := 0; + BEGIN + --length of vector + IF output'LENGTH > 32 THEN --' + outputlen := 32; + offset := output'LENGTH - 32; --' + IF input >= 0 THEN + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '0'; --' + END LOOP; + ELSE + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '1'; --' + END LOOP; + END IF; + ELSE + outputlen := output'LENGTH; --' + END IF; + --positive value + IF (input >= 0) THEN + work := input; + j := outputlen - 1; + FOR i IN 1 to 32 LOOP + IF j >= 0 then + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '0'; --' + ELSE + output(output'HIGH-j-offset) := '1'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '0'; --' + END IF; + --negative value + ELSE + work := (-input) - 1; + j := outputlen - 1; + FOR i IN 1 TO 32 LOOP + IF j>= 0 THEN + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '1'; --' + ELSE + output(output'HIGH-j-offset) := '0'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '1'; --' + END IF; + END IF; + END TO_BITVECTOR; + + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector is + variable tmp : std_logic_vector(w-1 downto 0); + begin + tmp := std_logic_vector(to_unsigned(i, w)); + return(tmp); + end; + + procedure char2hex(C: character; result: out bit_vector(3 downto 0); + good: out boolean; report_error: in boolean) is + begin + good := true; + case C is + when '0' => result := x"0"; + when '1' => result := x"1"; + when '2' => result := X"2"; + when '3' => result := X"3"; + when '4' => result := X"4"; + when '5' => result := X"5"; + when '6' => result := X"6"; + when '7' => result := X"7"; + when '8' => result := X"8"; + when '9' => result := X"9"; + when 'A' => result := X"A"; + when 'B' => result := X"B"; + when 'C' => result := X"C"; + when 'D' => result := X"D"; + when 'E' => result := X"E"; + when 'F' => result := X"F"; + + when 'a' => result := X"A"; + when 'b' => result := X"B"; + when 'c' => result := X"C"; + when 'd' => result := X"D"; + when 'e' => result := X"E"; + when 'f' => result := X"F"; + when others => + if report_error then + assert false report + "hexread error: read a '" & C & "', expected a hex character (0-F)."; + end if; + good := false; + end case; + end; + + procedure hexread(L:inout line; value:out bit_vector) is + variable OK: boolean; + variable C: character; + constant NE: integer := value'length/4; --' + variable BV: bit_vector(0 to value'length-1); --' + variable S: string(1 to NE-1); + begin + if value'length mod 4 /= 0 then --' + assert false report + "hexread Error: Trying to read vector " & + "with an odd (non multiple of 4) length"; + return; + end if; + + loop -- skip white space + read(L,C); + exit when ((C /= ' ') and (C /= CR) and (C /= HT)); + end loop; + + char2hex(C, BV(0 to 3), OK, false); + if not OK then + return; + end if; + + read(L, S, OK); +-- if not OK then +-- assert false report "hexread Error: Failed to read the STRING"; +-- return; +-- end if; + + for I in 1 to NE-1 loop + char2hex(S(I), BV(4*I to 4*I+3), OK, false); + if not OK then + return; + end if; + end loop; + value := BV; + end hexread; + + procedure hexread(L:inout line; value:out std_ulogic_vector) is + variable tmp: bit_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := TO_X01(tmp); + end hexread; + + procedure hexread(L:inout line; value:out std_logic_vector) is + variable tmp: std_ulogic_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := std_logic_vector(tmp); + end hexread; + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/sdramController_tester_test.vhd b/Libs/Memory_test/hdl/sdramController_tester_test.vhd new file mode 100644 index 0000000..317fb6d --- /dev/null +++ b/Libs/Memory_test/hdl/sdramController_tester_test.vhd @@ -0,0 +1,82 @@ +ARCHITECTURE test OF sdramController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal ramAddr_int: natural := 0; + signal ramDataOut_int: natural := 0; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + ramRd <= '0'; + ramWr <= '0'; + ramEn <= '1'; + -- wait for SDRAM ready + wait for 154.3 us - now; + -- write AAAA at address 000010 + ramAddr_int <= 16#000010#; + ramDataOut_int <= 16#AAAA#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 164.5 us - now; + -- write AAAA at address 000011 + ramAddr_int <= 16#000011#; + ramDataOut_int <= 16#BBBD#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 196.1 us - now; + -- read back from address 000010 + ramAddr_int <= 16#000010#; + ramRd <= '1', '0' after clockPeriod; +-- -- wait for SDRAM ready +-- wait for 130 us; +-- -- write AAAA at address 000010 +-- ramAddr_int <= 16#000010#; +-- ramDataOut_int <= 16#AAAA#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 10*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- wait for a refresh +-- wait for 140.3 us - now; +-- -- write 5555 at address 600010 +-- ramAddr_int <= 16#600020#; +-- ramDataOut_int <= 16#5555#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 1*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- read back from address 600010 +-- addr_from_up_int <= 16#600010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; +-- -- wait for 3 refresh periods +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- -- read back from address 000010 +-- addr_from_up_int <= 16#000010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; + -- end of tests + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + ramAddr <= to_unsigned(ramAddr_int, ramAddr'length); + ramDataOut <= std_ulogic_vector(to_unsigned(ramDataOut_int, ramDataOut'length)); + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd new file mode 100644 index 0000000..a31c3fd --- /dev/null +++ b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd @@ -0,0 +1,1363 @@ +----------------------------------------------------------------------------------------- +-- +-- File Name: MT48LC16M16A2.VHD +-- Version: 0.0g +-- Date: June 29th, 2000 +-- Model: Behavioral +-- Simulator: Model Technology (PC version 5.3 PE) +-- +-- Dependencies: None +-- +-- Author: Son P. Huynh +-- Email: sphuynh@micron.com +-- Phone: (208) 368-3825 +-- Company: Micron Technology, Inc. +-- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) +-- +-- Description: Micron 256Mb SDRAM +-- +-- Limitation: - Doesn't check for 4096-cycle refresh --' +-- +-- Note: - Set simulator resolution to "ps" accuracy +-- +-- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY +-- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY +-- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR +-- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. +-- +-- Copyright (c) 1998 Micron Semiconductor Products, Inc. +-- All rights researved +-- +-- Rev Author Phone Date Changes +-- ---- ---------------------------- ---------- ------------------------------------- +-- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array +-- Micron Technology Inc. Modify tWR + tRAS timing check +-- +-- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) +-- Micron Technology Inc. Fix tWR = 15 ns (Manual) +-- Fix tRP (Autoprecharge to AutoRefresh) +-- +-- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP +-- Micron Technology Inc. Fix tRC check in Load Mode Register +-- +-- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model +-- Micron Technology Inc. +-- +----------------------------------------------------------------------------------------- + +--LIBRARY STD; +-- use std.textio.all; +--LIBRARY IEEE; +-- USE IEEE.STD_LOGIC_1164.ALL; +--LIBRARY WORK; +-- USE WORK.MTI_PKG.ALL; +LIBRARY memory_test; + USE memory_test.mti_pkg.all; + +--library grlib; +--use grlib.stdlib.all; +--library gaisler; +--use gaisler.sim.all; + +--ENTITY mt48lc16m16a2 IS +-- GENERIC ( +-- -- Timing Parameters for -75 (PC133) and CAS Latency = 2 +-- tAC : TIME := 6.0 ns; +-- tHZ : TIME := 7.0 ns; +-- tOH : TIME := 2.7 ns; +-- tMRD : INTEGER := 2; -- 2 Clk Cycles +-- tRAS : TIME := 44.0 ns; +-- tRC : TIME := 66.0 ns; +-- tRCD : TIME := 20.0 ns; +-- tRP : TIME := 20.0 ns; +-- tRRD : TIME := 15.0 ns; +-- tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) +-- tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) +-- +-- tAH : TIME := 0.8 ns; +-- tAS : TIME := 1.5 ns; +-- tCH : TIME := 2.5 ns; +-- tCL : TIME := 2.5 ns; +-- tCK : TIME := 10.0 ns; +-- tDH : TIME := 0.8 ns; +-- tDS : TIME := 1.5 ns; +-- tCKH : TIME := 0.8 ns; +-- tCKS : TIME := 1.5 ns; +-- tCMH : TIME := 0.8 ns; +-- tCMS : TIME := 1.5 ns; +-- +-- addr_bits : INTEGER := 13; +-- data_bits : INTEGER := 16; +-- col_bits : INTEGER := 9; +-- index : INTEGER := 0; +-- fname : string := "sdram.srec" -- File to read from +-- ); +-- PORT ( +-- Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); +-- Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); +-- Ba : IN STD_LOGIC_VECTOR := "00"; +-- Clk : IN STD_LOGIC := '0'; +-- Cke : IN STD_LOGIC := '1'; +-- Cs_n : IN STD_LOGIC := '1'; +-- Ras_n : IN STD_LOGIC := '1'; +-- Cas_n : IN STD_LOGIC := '1'; +-- We_n : IN STD_LOGIC := '1'; +-- Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" +-- ); +--END mt48lc16m16a2; + +ARCHITECTURE sim OF sdram_mt48lc16m16a2 IS + + TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); + TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; + TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; + TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; + TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); + TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); + TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; + SIGNAL Operation : State := NOP; + SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; + SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; + SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; + SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; + SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; + SIGNAL Write_burst_mode : BIT := '0'; + SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; + + -- Checking internal wires + SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; + SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; + SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + +BEGIN + -- CS# Decode + WITH Cs_n SELECT + Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + We_in <= TO_BIT (We_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + + -- Commands Decode + Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; + Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; + Burst_term <= Ras_in AND Cas_in AND NOT(We_in); + Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); + Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); + Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; + Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); + + -- Burst Length Decode + Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); + Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); + Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); + Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); + + -- CAS Latency Decode + Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); + Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); + + -- Write Burst Mode + Write_burst_mode <= Mode_reg(9); + + -- RAS Clock for checking tWR and tRP + PROCESS + variable Clk0, Clk1 : integer := 0; + begin + RAS_clk <= '1'; + wait for 0.5 ns; + RAS_clk <= '0'; + wait for 0.5 ns; + if Clk0 > 100 or Clk1 > 100 then + wait; + else + if Clk = '1' and Cke = '1' then + Clk0 := 0; + Clk1 := Clk1 + 1; + elsif Clk = '0' and Cke = '1' then + Clk0 := Clk0 + 1; + Clk1 := 0; + end if; + end if; + END PROCESS; + + -- System Clock + int_clk : PROCESS (Clk) + begin + IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' + CkeZ <= TO_BIT(Cke, '1'); + END IF; + Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); + END PROCESS; + + state_register : PROCESS + -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means + -- the location is in use. This will be checked when doing memory DUMP. + TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); + TYPE ram_pntr IS ACCESS ram_type; + TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; + VARIABLE Bank0 : ram_stor; + VARIABLE Bank1 : ram_stor; + VARIABLE Bank2 : ram_stor; + VARIABLE Bank3 : ram_stor; + VARIABLE Row_index, Col_index : INTEGER := 0; + VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); + + VARIABLE Col_addr : Array4xCBV; + VARIABLE Bank_addr : Array4x2BV; + VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; + + VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Burst_counter : INTEGER := 0; + + VARIABLE Command : Array_state; + VARIABLE Bank_precharge : Array4x2BV; + VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); + + VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; + VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; + VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; + + -- Timing Check + VARIABLE MRD_chk : INTEGER := 0; + VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); + VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE RC_chk, RRD_chk : TIME := 0 ns; + VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; + VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; + VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; + + -- Load and Dumb variables + FILE file_load : TEXT open read_mode is fname; -- Data load + FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump + VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); + VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); + VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); + VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); + VARIABLE i, j : INTEGER; + VARIABLE good_load : BOOLEAN; + VARIABLE l : LINE; + variable load : std_logic := '1'; + variable dump : std_logic := '0'; + variable ch : character; + variable rectype : bit_vector(3 downto 0); + variable recaddr : bit_vector(31 downto 0); + variable reclen : bit_vector(7 downto 0); + variable recdata : bit_vector(0 to 16*8-1); + + -- Initialize empty rows + PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS + VARIABLE i, j : INTEGER := 0; + BEGIN + IF Bank = "00" THEN + IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty + Bank0 (Row_index) := NEW ram_type; -- Open new row for access + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank0 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "01" THEN + IF Bank1 (Row_index) = NULL THEN + Bank1 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank1 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "10" THEN + IF Bank2 (Row_index) = NULL THEN + Bank2 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank2 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "11" THEN + IF Bank3 (Row_index) = NULL THEN + Bank3 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank3 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + END IF; + END; + + -- Burst Counter + PROCEDURE Burst_decode IS + VARIABLE Col_int : INTEGER := 0; + VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + BEGIN + -- Advance Burst Counter + Burst_counter := Burst_counter + 1; + + -- Burst Type + IF Mode_reg (3) = '0' THEN + Col_int := TO_INTEGER(Col); + Col_int := Col_int + 1; + TO_BITVECTOR (Col_int, Col_temp); + ELSIF Mode_reg (3) = '1' THEN + TO_BITVECTOR (Burst_counter, Col_vec); + Col_temp (2) := Col_vec (2) XOR Col_brst (2); + Col_temp (1) := Col_vec (1) XOR Col_brst (1); + Col_temp (0) := Col_vec (0) XOR Col_brst (0); + END IF; + + -- Burst Length + IF Burst_length_2 = '1' THEN + Col (0) := Col_temp (0); + ELSIF Burst_length_4 = '1' THEN + Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); + ELSIF Burst_length_8 = '1' THEN + Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); + ELSE + Col := Col_temp; + END IF; + + -- Burst Read Single Write + IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Data counter + IF Burst_length_1 = '1' THEN + IF Burst_counter >= 1 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_2 = '1' THEN + IF Burst_counter >= 2 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_4 = '1' THEN + IF Burst_counter >= 4 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_8 = '1' THEN + IF Burst_counter >= 8 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + END IF; + END; + + BEGIN + WAIT ON Sys_clk, RAS_clk; + IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' + -- Internal Command Pipeline + Command(0) := Command(1); + Command(1) := Command(2); + Command(2) := Command(3); + Command(3) := NOP; + + Col_addr(0) := Col_addr(1); + Col_addr(1) := Col_addr(2); + Col_addr(2) := Col_addr(3); + Col_addr(3) := (OTHERS => '0'); + + Bank_addr(0) := Bank_addr(1); + Bank_addr(1) := Bank_addr(2); + Bank_addr(2) := Bank_addr(3); + Bank_addr(3) := "00"; + + Bank_precharge(0) := Bank_precharge(1); + Bank_precharge(1) := Bank_precharge(2); + Bank_precharge(2) := Bank_precharge(3); + Bank_precharge(3) := "00"; + + A10_precharge(0) := A10_precharge(1); + A10_precharge(1) := A10_precharge(2); + A10_precharge(2) := A10_precharge(3); + A10_precharge(3) := '0'; + + -- Operation Decode (Optional for showing current command on posedge clock / debug feature) + IF Active_enable = '1' THEN + Operation <= ACT; + ELSIF Aref_enable = '1' THEN + Operation <= A_REF; + ELSIF Burst_term = '1' THEN + Operation <= BST; + ELSIF Mode_reg_enable = '1' THEN + Operation <= LMR; + ELSIF Prech_enable = '1' THEN + Operation <= PRECH; + ELSIF Read_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= READ; + ELSE + Operation <= READ_A; + END IF; + ELSIF Write_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= WRITE; + ELSE + Operation <= WRITE_A; + END IF; + ELSE + Operation <= NOP; + END IF; + + -- Dqm pipeline for Read + Dqm_reg0 := Dqm_reg1; + Dqm_reg1 := TO_BITVECTOR(Dqm); + + -- Read or Write with Auto Precharge Counter + IF Auto_precharge (0) = '1' THEN + Count_precharge (0) := Count_precharge (0) + 1; + END IF; + IF Auto_precharge (1) = '1' THEN + Count_precharge (1) := Count_precharge (1) + 1; + END IF; + IF Auto_precharge (2) = '1' THEN + Count_precharge (2) := Count_precharge (2) + 1; + END IF; + IF Auto_precharge (3) = '1' THEN + Count_precharge (3) := Count_precharge (3) + 1; + END IF; + + -- Auto Precharge Timer for tWR + if (Burst_length_1 = '1' OR Write_burst_mode = '1') then + if (Count_precharge(0) = 1) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 1) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 1) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 1) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_2 = '1') then + if (Count_precharge(0) = 2) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 2) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 2) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 2) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_4 = '1') then + if (Count_precharge(0) = 4) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 4) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 4) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 4) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_8 = '1') then + if (Count_precharge(0) = 8) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 8) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 8) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 8) then + Count_time(3) := NOW; + end if; + end if; + + -- tMRD Counter + MRD_chk := MRD_chk + 1; + + -- tWR Counter + WR_counter(0) := WR_counter(0) + 1; + WR_counter(1) := WR_counter(1) + 1; + WR_counter(2) := WR_counter(2) + 1; + WR_counter(3) := WR_counter(3) + 1; + + + -- Auto Refresh + IF Aref_enable = '1' THEN + -- Auto Refresh to Auto Refresh + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Auto Refresh" + SEVERITY WARNING; + -- Precharge to Auto Refresh + ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Auto Refresh" + SEVERITY WARNING; + -- All banks must be idle before refresh + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All banks must be Precharge before Auto Refresh" + SEVERITY WARNING; + END IF; + -- Record current tRC time + RC_chk := NOW; + END IF; + + -- Load Mode Register + IF Mode_reg_enable = '1' THEN + Mode_reg <= TO_BITVECTOR (Addr); + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All bank must be Precharge before Load Mode Register" + SEVERITY WARNING; + END IF; + -- REF to LMR + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Load Mode Register" + SEVERITY WARNING; + -- LMR to LMR + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Load Mode Register" + SEVERITY WARNING; + -- Record current tMRD time + MRD_chk := 0; + END IF; + + -- Active Block (latch Bank and Row Address) + IF Active_enable = '1' THEN + IF Ba = "00" AND Pc_b0 = '1' THEN + Act_b0 := '1'; + Pc_b0 := '0'; + B0_row_addr := TO_BITVECTOR (Addr); + RCD_chk0 := NOW; + RAS_chk0 := NOW; + -- Precharge to Active Bank 0 + ASSERT (NOW - RP_chk0 >= tRP) + REPORT "tRP violation during Activate Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '1' THEN + Act_b1 := '1'; + Pc_b1 := '0'; + B1_row_addr := TO_BITVECTOR (Addr); + RCD_chk1 := NOW; + RAS_chk1 := NOW; + -- Precharge to Active Bank 1 + ASSERT (NOW - RP_chk1 >= tRP) + REPORT "tRP violation during Activate Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '1' THEN + Act_b2 := '1'; + Pc_b2 := '0'; + B2_row_addr := TO_BITVECTOR (Addr); + RCD_chk2 := NOW; + RAS_chk2 := NOW; + -- Precharge to Active Bank 2 + ASSERT (NOW - RP_chk2 >= tRP) + REPORT "tRP violation during Activate Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '1' THEN + Act_b3 := '1'; + Pc_b3 := '0'; + B3_row_addr := TO_BITVECTOR (Addr); + RCD_chk3 := NOW; + RAS_chk3 := NOW; + -- Precharge to Active Bank 3 + ASSERT (NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Activate Bank 3" + SEVERITY WARNING; + ELSIF Ba = "00" AND Pc_b0 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 0 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 1 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 2 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 3 is not Precharged" + SEVERITY WARNING; + END IF; + -- Active Bank A to Active Bank B + IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN + ASSERT (FALSE) + REPORT "tRRD violation during Activate" + SEVERITY WARNING; + END IF; + -- LMR to ACT + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Activate" + SEVERITY WARNING; + -- AutoRefresh to Activate + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Activate" + SEVERITY WARNING; + -- Record variable for checking violation + RRD_chk := NOW; + Previous_bank := TO_BITVECTOR (Ba); + END IF; + + -- Precharge Block + IF Prech_enable = '1' THEN + IF Addr(10) = '1' THEN + Pc_b0 := '1'; + Pc_b1 := '1'; + Pc_b2 := '1'; + Pc_b3 := '1'; + Act_b0 := '0'; + Act_b1 := '0'; + Act_b2 := '0'; + Act_b3 := '0'; + RP_chk0 := NOW; + RP_chk1 := NOW; + RP_chk2 := NOW; + RP_chk3 := NOW; + -- Activate to Precharge all banks + ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) + REPORT "tRAS violation during Precharge all banks" + SEVERITY WARNING; + -- tWR violation check for Write + IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR + (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN + ASSERT (FALSE) + REPORT "tWR violation during Precharge ALL banks" + SEVERITY WARNING; + END IF; + ELSIF Addr(10) = '0' THEN + IF Ba = "00" THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + -- Activate to Precharge bank 0 + ASSERT (NOW - RAS_chk0 >= tRAS) + REPORT "tRAS violation during Precharge bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + -- Activate to Precharge bank 1 + ASSERT (NOW - RAS_chk1 >= tRAS) + REPORT "tRAS violation during Precharge bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + -- Activate to Precharge bank 2 + ASSERT (NOW - RAS_chk2 >= tRAS) + REPORT "tRAS violation during Precharge bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + -- Activate to Precharge bank 3 + ASSERT (NOW - RAS_chk3 >= tRAS) + REPORT "tRAS violation during Precharge bank 3" + SEVERITY WARNING; + END IF; + -- tWR violation check for Write + ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) + REPORT "tWR violation during Precharge" + SEVERITY WARNING; + END IF; + -- Terminate a Write Immediately (if same bank or all banks) + IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN + Data_in_enable := '0'; + END IF; + -- Precharge Command Pipeline for READ + IF CAS_latency_3 = '1' THEN + Command(2) := PRECH; + Bank_precharge(2) := TO_BITVECTOR (Ba); + A10_precharge(2) := TO_BIT(Addr(10)); + ELSIF CAS_latency_2 = '1' THEN + Command(1) := PRECH; + Bank_precharge(1) := TO_BITVECTOR (Ba); + A10_precharge(1) := TO_BIT(Addr(10)); + END IF; + END IF; + + -- Burst Terminate + IF Burst_term = '1' THEN + -- Terminate a Write immediately + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + -- Terminate a Read depend on CAS Latency + IF CAS_latency_3 = '1' THEN + Command(2) := BST; + ELSIF CAS_latency_2 = '1' THEN + Command(1) := BST; + END IF; + END IF; + + -- Read, Write, Column Latch + IF Read_enable = '1' OR Write_enable = '1' THEN + -- Check to see if bank is open (ACT) for Read or Write + IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN + ASSERT (FALSE) + REPORT "Cannot Read or Write - Bank is not Activated" + SEVERITY WARNING; + END IF; + -- Activate to Read or Write + IF Ba = "00" THEN + ASSERT (NOW - RCD_chk0 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + ASSERT (NOW - RCD_chk1 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + ASSERT (NOW - RCD_chk2 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + ASSERT (NOW - RCD_chk3 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 3" + SEVERITY WARNING; + END IF; + + -- Read Command + IF Read_enable = '1' THEN + -- CAS Latency Pipeline + IF Cas_latency_3 = '1' THEN + IF Addr(10) = '1' THEN + Command(2) := READ_A; + ELSE + Command(2) := READ; + END IF; + Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (2) := TO_BITVECTOR (Ba); + ELSIF Cas_latency_2 = '1' THEN + IF Addr(10) = '1' THEN + Command(1) := READ_A; + ELSE + Command(1) := READ; + END IF; + Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (1) := TO_BITVECTOR (Ba); + END IF; + + -- Read intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write Command + ELSIF Write_enable = '1' THEN + IF Addr(10) = '1' THEN + Command(0) := WRITE_A; + ELSE + Command(0) := WRITE; + END IF; + Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (0) := TO_BITVECTOR (Ba); + + -- Write intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write interrupt a Read (terminate Read immediately) + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + -- Interrupt a Write with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Interrupt a Read with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Read or Write with Auto Precharge + IF Addr(10) = '1' THEN + Auto_precharge (TO_INTEGER(Ba)) := '1'; + Count_precharge (TO_INTEGER(Ba)) := 0; + RW_Interrupt_Bank := TO_BitVector(Ba); + IF Read_enable = '1' THEN + Read_precharge (TO_INTEGER(Ba)) := '1'; + ELSIF Write_enable = '1' THEN + Write_precharge (TO_INTEGER(Ba)) := '1'; + END IF; + END IF; + END IF; + + -- Read with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. BL/2 cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR + (RW_interrupt_read(0) = '1')) THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + Auto_precharge(0) := '0'; + Read_precharge(0) := '0'; + RW_interrupt_read(0) := '0'; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR + (RW_interrupt_read(1) = '1')) THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + Auto_precharge(1) := '0'; + Read_precharge(1) := '0'; + RW_interrupt_read(1) := '0'; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR + (RW_interrupt_read(2) = '1')) THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + Auto_precharge(2) := '0'; + Read_precharge(2) := '0'; + RW_interrupt_read(2) := '0'; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR + (RW_interrupt_read(3) = '1')) THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + Auto_precharge(3) := '0'; + Read_precharge(3) := '0'; + RW_interrupt_read(3) := '0'; + END IF; + END IF; + + -- Internal Precharge or Bst + IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks + IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + IF Data_out_enable = '0' THEN + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; + END IF; + + -- Detect Read or Write Command + IF Command(0) = READ OR Command(0) = READ_A THEN + Bank := Bank_addr (0); + Col := Col_addr (0); + Col_brst := Col_addr (0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '0'; + Data_out_enable := '1'; + ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN + Bank := Bank_addr(0); + Col := Col_addr(0); + Col_brst := Col_addr(0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '1'; + Data_out_enable := '0'; + END IF; + + -- DQ (Driver / Receiver) + Row_index := TO_INTEGER (Row); + Col_index := TO_INTEGER (Col); + IF Data_in_enable = '1' THEN + IF Dqm /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + END IF; + WR_chkp(TO_INTEGER(Bank)) := NOW; + WR_counter(TO_INTEGER(Bank)) := 0; + END IF; + Burst_decode; + ELSIF Data_out_enable = '1' THEN + IF Dqm_reg0 /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + END IF; + ELSE + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; + END IF; + Burst_decode; + END IF; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' + Operation <= LOAD_FILE; + load := '0'; +-- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." +-- SEVERITY NOTE; + WHILE NOT endfile(file_load) LOOP + readline(file_load, l); + read(l, ch); + if (ch /= 'S') or (ch /= 's') then + hexread(l, rectype); + hexread(l, reclen); + recaddr := (others => '0'); + case rectype is + when "0001" => + hexread(l, recaddr(15 downto 0)); + when "0010" => + hexread(l, recaddr(23 downto 0)); + when "0011" => + hexread(l, recaddr); + recaddr(31 downto 24) := (others => '0'); + when others => next; + end case; + if true then + hexread(l, recdata); + Bank_Load := recaddr(25 downto 24); + Rows_Load := recaddr(23 downto 11); + Cols_Load := recaddr(10 downto 2); + Init_Mem (Bank_Load, To_Integer(Rows_Load)); + + IF Bank_Load = "00" THEN + for i in 0 to 3 loop + Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "01" THEN + for i in 0 to 3 loop + Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "10" THEN + for i in 0 to 3 loop + Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "11" THEN + for i in 0 to 3 loop + Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + END IF; + + END IF; + END IF; + END LOOP; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' + Operation <= DUMP_FILE; + ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." + SEVERITY NOTE; + WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# BA ROWS COLS DQ")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# -- ------------- --------- ----------------")); --' + WRITELINE (file_dump, l); + -- Dumping Bank 0 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank0 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; + WRITE (l, string'("00"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 1 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank1 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; + WRITE (l, string'("01"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 2 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank2 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; + WRITE (l, string'("10"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 3 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank3 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; + WRITE (l, string'("11"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + END IF; + + -- Write with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. tWR cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN + Auto_precharge(0) := '0'; + Write_precharge(0) := '0'; + RW_interrupt_write(0) := '0'; + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR + (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN + Auto_precharge(1) := '0'; + Write_precharge(1) := '0'; + RW_interrupt_write(1) := '0'; + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR + (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN + Auto_precharge(2) := '0'; + Write_precharge(2) := '0'; + RW_interrupt_write(2) := '0'; + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN + Auto_precharge(3) := '0'; + Write_precharge(3) := '0'; + RW_interrupt_write(3) := '0'; + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + END IF; + END IF; + + -- Checking internal wires (Optional for debug purpose) + Pre_chk (0) <= Pc_b0; + Pre_chk (1) <= Pc_b1; + Pre_chk (2) <= Pc_b2; + Pre_chk (3) <= Pc_b3; + Act_chk (0) <= Act_b0; + Act_chk (1) <= Act_b1; + Act_chk (2) <= Act_b2; + Act_chk (3) <= Act_b3; + Dq_in_chk <= Data_in_enable; + Dq_out_chk <= Data_out_enable; + Bank_chk <= Bank; + Row_chk <= Row; + Col_chk <= Col; + END PROCESS; + + + -- Clock timing checks +-- Clock_check : PROCESS +-- VARIABLE Clk_low, Clk_high : TIME := 0 ns; +-- BEGIN +-- WAIT ON Clk; +-- IF (Clk = '1' AND NOW >= 10 ns) THEN +-- ASSERT (NOW - Clk_low >= tCL) +-- REPORT "tCL violation" +-- SEVERITY WARNING; +-- ASSERT (NOW - Clk_high >= tCK) +-- REPORT "tCK violation" +-- SEVERITY WARNING; +-- Clk_high := NOW; +-- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN +-- ASSERT (NOW - Clk_high >= tCH) +-- REPORT "tCH violation" +-- SEVERITY WARNING; +-- Clk_low := NOW; +-- END IF; +-- END PROCESS; + + -- Setup timing checks + Setup_check : PROCESS + BEGIN + wait; + WAIT ON Clk; + IF Clk = '1' THEN + ASSERT(Cke'LAST_EVENT >= tCKS) --' + REPORT "CKE Setup time violation -- tCKS" + SEVERITY WARNING; + ASSERT(Cs_n'LAST_EVENT >= tCMS) --' + REPORT "CS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT >= tCMS) --' + REPORT "CAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT >= tCMS) --' + REPORT "RAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT >= tCMS) --' + REPORT "WE# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT >= tCMS) --' + REPORT "Dqm Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Addr'LAST_EVENT >= tAS) --' + REPORT "ADDR Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT >= tAS) --' + REPORT "BA Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Dq'LAST_EVENT >= tDS) --' + REPORT "Dq Setup time violation -- tDS" + SEVERITY WARNING; + END IF; + END PROCESS; + + -- Hold timing checks + Hold_check : PROCESS + BEGIN + wait; + WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); + IF Clk'DELAYED (tCKH) = '1' THEN --' + ASSERT(Cke'LAST_EVENT > tCKH) --' + REPORT "CKE Hold time violation -- tCKH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tCMH) = '1' THEN --' + ASSERT(Cs_n'LAST_EVENT > tCMH) --' + REPORT "CS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT > tCMH) --' + REPORT "CAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT > tCMH) --' + REPORT "RAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT > tCMH) --' + REPORT "WE# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT > tCMH) --' + REPORT "Dqm Hold time violation -- tCMH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tAH) = '1' THEN --' + ASSERT(Addr'LAST_EVENT > tAH) --' + REPORT "ADDR Hold time violation -- tAH" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT > tAH) --' + REPORT "BA Hold time violation -- tAH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tDH) = '1' THEN --' + ASSERT(Dq'LAST_EVENT > tDH) --' + REPORT "Dq Hold time violation -- tDH" + SEVERITY WARNING; + END IF; + END PROCESS; + +END ARCHITECTURE sim; + diff --git a/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/_bram_tb._epf b/Libs/Memory_test/hds/_bram_tb._epf new file mode 100644 index 0000000..174551f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_bram_tester._epf b/Libs/Memory_test/hds/_bram_tester._epf new file mode 100644 index 0000000..559360f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom bram_tester_test.vhd diff --git a/Libs/Memory_test/hds/_fifo_tb._epf b/Libs/Memory_test/hds/_fifo_tb._epf new file mode 100644 index 0000000..1b086f1 --- /dev/null +++ b/Libs/Memory_test/hds/_fifo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom fifo_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_28f128j3a._epf b/Libs/Memory_test/hds/_flash_28f128j3a._epf new file mode 100644 index 0000000..ef7aef2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_28f128j3a._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom Flash_behav.vhd +DEFAULT_ARCHITECTURE atom behav diff --git a/Libs/Memory_test/hds/_flash_tb._epf b/Libs/Memory_test/hds/_flash_tb._epf new file mode 100644 index 0000000..99c6d2b --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_tester._epf b/Libs/Memory_test/hds/_flash_tester._epf new file mode 100644 index 0000000..a7aa7e2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom flash_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/_flashcontroller_tb._epf b/Libs/Memory_test/hds/_flashcontroller_tb._epf new file mode 100644 index 0000000..3f59750 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flashcontroller_tester._epf b/Libs/Memory_test/hds/_flashcontroller_tester._epf new file mode 100644 index 0000000..d81ef79 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom flashController_tester_test.vhd diff --git a/Libs/Memory_test/hds/_sdramcontroller_tb._epf b/Libs/Memory_test/hds/_sdramcontroller_tb._epf new file mode 100644 index 0000000..318858b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_sdramcontroller_tester._epf b/Libs/Memory_test/hds/_sdramcontroller_tester._epf new file mode 100644 index 0000000..cd1908b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom sdramController_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/bram_tb/struct.bd b/Libs/Memory_test/hds/bram_tb/struct.bd new file mode 100644 index 0000000..cc5de3c --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/struct.bd @@ -0,0 +1,3661 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "bram_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "bramDualportWritefirst" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +mwi 0 +uid 5605,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "11000,58000,71000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "11600,65900,22200,67300" +st "memory_test" +blo "11600,67100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "11600,67300,21600,68700" +st "bram_tester" +blo "11600,68500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "11600,68700,14000,70100" +st "I1" +blo "11600,69900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "11000,70800,34000,72600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5380,0 +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +declText (MLText +uid 5381,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockA : std_ulogic +" +) +) +*17 (Net +uid 5388,0 +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +declText (MLText +uid 5389,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enA : std_ulogic +" +) +) +*18 (Net +uid 5396,0 +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +declText (MLText +uid 5397,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnA : std_ulogic +" +) +) +*19 (Net +uid 5440,0 +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +declText (MLText +uid 5441,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockB : std_ulogic +" +) +) +*20 (Net +uid 5448,0 +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +declText (MLText +uid 5449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enB : std_ulogic +" +) +) +*21 (Net +uid 5456,0 +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +declText (MLText +uid 5457,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnB : std_ulogic +" +) +) +*22 (SaComponent +uid 5605,0 +optionalChildren [ +*23 (CptPort +uid 5557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,47625,33000,48375" +) +tg (CPTG +uid 5559,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5560,0 +va (VaSet +) +xt "34000,47500,36600,48500" +st "clockA" +blo "34000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*24 (CptPort +uid 5561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,45625,33000,46375" +) +tg (CPTG +uid 5563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5564,0 +va (VaSet +) +xt "34000,45500,35700,46500" +st "enA" +blo "34000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*25 (CptPort +uid 5565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,41625,33000,42375" +) +tg (CPTG +uid 5567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5568,0 +va (VaSet +) +xt "34000,41500,37400,42500" +st "writeEnA" +blo "34000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*26 (CptPort +uid 5569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5570,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,35625,33000,36375" +) +tg (CPTG +uid 5571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5572,0 +va (VaSet +) +xt "34000,35500,37600,36500" +st "addressA" +blo "34000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*27 (CptPort +uid 5573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,39625,33000,40375" +) +tg (CPTG +uid 5575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5576,0 +va (VaSet +) +xt "34000,39500,36900,40500" +st "dataInA" +blo "34000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*28 (CptPort +uid 5577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5578,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,37625,33000,38375" +) +tg (CPTG +uid 5579,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5580,0 +va (VaSet +) +xt "34000,37500,37500,38500" +st "dataOutA" +blo "34000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*29 (CptPort +uid 5581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5582,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,47625,49750,48375" +) +tg (CPTG +uid 5583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5584,0 +va (VaSet +) +xt "45400,47500,48000,48500" +st "clockB" +ju 2 +blo "48000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*30 (CptPort +uid 5585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5586,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,45625,49750,46375" +) +tg (CPTG +uid 5587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5588,0 +va (VaSet +) +xt "46300,45500,48000,46500" +st "enB" +ju 2 +blo "48000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*31 (CptPort +uid 5589,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5590,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,41625,49750,42375" +) +tg (CPTG +uid 5591,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5592,0 +va (VaSet +) +xt "44600,41500,48000,42500" +st "writeEnB" +ju 2 +blo "48000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*32 (CptPort +uid 5593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5594,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,35625,49750,36375" +) +tg (CPTG +uid 5595,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5596,0 +va (VaSet +) +xt "44400,35500,48000,36500" +st "addressB" +ju 2 +blo "48000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*33 (CptPort +uid 5597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5598,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,39625,49750,40375" +) +tg (CPTG +uid 5599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5600,0 +va (VaSet +) +xt "45100,39500,48000,40500" +st "dataInB" +ju 2 +blo "48000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*34 (CptPort +uid 5601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,37625,49750,38375" +) +tg (CPTG +uid 5603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5604,0 +va (VaSet +) +xt "44500,37500,48000,38500" +st "dataOutB" +ju 2 +blo "48000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 5606,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,32000,49000,50000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 5607,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 5608,0 +va (VaSet +font "courier,8,1" +) +xt "33200,50000,36500,51000" +st "memory" +blo "33200,50800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 5609,0 +va (VaSet +font "courier,8,1" +) +xt "33200,51000,43200,52000" +st "bramDualportWritefirst" +blo "33200,51800" +tm "CptNameMgr" +) +*37 (Text +uid 5610,0 +va (VaSet +font "courier,8,1" +) +xt "33200,52000,34200,53000" +st "I0" +blo "33200,52800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5611,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5612,0 +text (MLText +uid 5613,0 +va (VaSet +font "courier,8,0" +) +xt "33000,53000,69000,55700" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +initFile = \"U:/ELN_board/Simulation/bramInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*38 (Net +uid 5614,0 +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*39 (Net +uid 5622,0 +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +declText (MLText +uid 5623,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 5630,0 +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +declText (MLText +uid 5631,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressB : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 5638,0 +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +declText (MLText +uid 5639,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*42 (Net +uid 5646,0 +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +declText (MLText +uid 5647,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*43 (Net +uid 5654,0 +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +declText (MLText +uid 5655,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressA : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*44 (Wire +uid 5382,0 +shape (OrthoPolyLine +uid 5383,0 +va (VaSet +vasetType 3 +) +xt "31000,48000,32250,58000" +pts [ +"32250,48000" +"31000,48000" +"31000,58000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5387,0 +va (VaSet +font "courier,12,0" +) +xt "26250,46600,30450,47900" +st "clockA" +blo "26250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*45 (Wire +uid 5390,0 +shape (OrthoPolyLine +uid 5391,0 +va (VaSet +vasetType 3 +) +xt "29000,46000,32250,58000" +pts [ +"32250,46000" +"29000,46000" +"29000,58000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5394,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5395,0 +va (VaSet +font "courier,12,0" +) +xt "28250,44600,30350,45900" +st "enA" +blo "28250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*46 (Wire +uid 5398,0 +shape (OrthoPolyLine +uid 5399,0 +va (VaSet +vasetType 3 +) +xt "25000,42000,32250,58000" +pts [ +"32250,42000" +"25000,42000" +"25000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5403,0 +va (VaSet +font "courier,12,0" +) +xt "25250,40600,30850,41900" +st "writeEnA" +blo "25250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*47 (Wire +uid 5442,0 +shape (OrthoPolyLine +uid 5443,0 +va (VaSet +vasetType 3 +) +xt "49750,48000,51000,58000" +pts [ +"49750,48000" +"51000,48000" +"51000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5447,0 +va (VaSet +font "courier,12,0" +) +xt "51750,46600,55950,47900" +st "clockB" +blo "51750,47600" +tm "WireNameMgr" +) +) +on &19 +) +*48 (Wire +uid 5450,0 +shape (OrthoPolyLine +uid 5451,0 +va (VaSet +vasetType 3 +) +xt "49750,46000,53000,58000" +pts [ +"49750,46000" +"53000,46000" +"53000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5455,0 +va (VaSet +font "courier,12,0" +) +xt "51750,44600,53850,45900" +st "enB" +blo "51750,45600" +tm "WireNameMgr" +) +) +on &20 +) +*49 (Wire +uid 5458,0 +shape (OrthoPolyLine +uid 5459,0 +va (VaSet +vasetType 3 +) +xt "49750,42000,57000,58000" +pts [ +"49750,42000" +"57000,42000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5463,0 +va (VaSet +font "courier,12,0" +) +xt "51750,40600,57350,41900" +st "writeEnB" +blo "51750,41600" +tm "WireNameMgr" +) +) +on &21 +) +*50 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,40000,59000,58000" +pts [ +"49750,40000" +"59000,40000" +"59000,58000" +] +) +start &33 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "51750,38600,56650,39900" +st "dataInB" +blo "51750,39600" +tm "WireNameMgr" +) +) +on &38 +) +*51 (Wire +uid 5624,0 +shape (OrthoPolyLine +uid 5625,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,38000,61000,58000" +pts [ +"49750,38000" +"61000,38000" +"61000,58000" +] +) +start &34 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5629,0 +va (VaSet +font "courier,12,0" +) +xt "51750,36600,57350,37900" +st "dataOutB" +blo "51750,37600" +tm "WireNameMgr" +) +) +on &39 +) +*52 (Wire +uid 5632,0 +shape (OrthoPolyLine +uid 5633,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,36000,63000,58000" +pts [ +"49750,36000" +"63000,36000" +"63000,58000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5636,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5637,0 +va (VaSet +font "courier,12,0" +) +xt "51750,34600,57350,35900" +st "addressB" +blo "51750,35600" +tm "WireNameMgr" +) +) +on &40 +) +*53 (Wire +uid 5640,0 +shape (OrthoPolyLine +uid 5641,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,40000,32250,58000" +pts [ +"32250,40000" +"23000,40000" +"23000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5644,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5645,0 +va (VaSet +font "courier,12,0" +) +xt "25250,38600,30150,39900" +st "dataInA" +blo "25250,39600" +tm "WireNameMgr" +) +) +on &41 +) +*54 (Wire +uid 5648,0 +shape (OrthoPolyLine +uid 5649,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,38000,32250,58000" +pts [ +"32250,38000" +"21000,38000" +"21000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5653,0 +va (VaSet +font "courier,12,0" +) +xt "24250,36600,29850,37900" +st "dataOutA" +blo "24250,37600" +tm "WireNameMgr" +) +) +on &42 +) +*55 (Wire +uid 5656,0 +shape (OrthoPolyLine +uid 5657,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,36000,32250,58000" +pts [ +"32250,36000" +"19000,36000" +"19000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5661,0 +va (VaSet +font "courier,12,0" +) +xt "24250,34600,29850,35900" +st "addressA" +blo "24250,35600" +tm "WireNameMgr" +) +) +on &43 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *56 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*58 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*60 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*61 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*62 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*63 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*65 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1392,967" +viewArea "-8439,18143,93001,87220" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5767,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*68 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*70 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*71 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*73 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*74 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*76 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*77 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*79 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*80 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*82 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*84 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*86 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,19000,30200" +st "constant addressBitNb : positive := 12; +constant dataBitNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 72,0 +usingSuid 1 +emptyRow *87 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*95 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*96 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*97 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*98 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*99 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +) +uid 5428,0 +) +*101 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +) +uid 5430,0 +) +*102 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +) +uid 5432,0 +) +*103 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +) +uid 5488,0 +) +*104 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +) +uid 5490,0 +) +*105 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +) +uid 5492,0 +) +*106 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +) +uid 5662,0 +) +*107 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +) +uid 5664,0 +) +*108 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +) +uid 5666,0 +) +*109 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +) +uid 5668,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +) +uid 5670,0 +) +*111 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +) +uid 5672,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*112 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *113 (MRCItem +litem &87 +pos 12 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*114 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 3326,0 +) +*115 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 3327,0 +) +*116 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*117 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 5429,0 +) +*118 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 5431,0 +) +*119 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 5433,0 +) +*120 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 5489,0 +) +*121 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 5491,0 +) +*122 (MRCItem +litem &105 +pos 5 +dimension 20 +uid 5493,0 +) +*123 (MRCItem +litem &106 +pos 6 +dimension 20 +uid 5663,0 +) +*124 (MRCItem +litem &107 +pos 7 +dimension 20 +uid 5665,0 +) +*125 (MRCItem +litem &108 +pos 8 +dimension 20 +uid 5667,0 +) +*126 (MRCItem +litem &109 +pos 9 +dimension 20 +uid 5669,0 +) +*127 (MRCItem +litem &110 +pos 10 +dimension 20 +uid 5671,0 +) +*128 (MRCItem +litem &111 +pos 11 +dimension 20 +uid 5673,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*129 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 3330,0 +) +*130 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 3331,0 +) +*131 (MRCItem +litem &94 +pos 2 +dimension 100 +uid 3332,0 +) +*132 (MRCItem +litem &95 +pos 3 +dimension 50 +uid 3333,0 +) +*133 (MRCItem +litem &96 +pos 4 +dimension 100 +uid 3334,0 +) +*134 (MRCItem +litem &97 +pos 5 +dimension 100 +uid 3335,0 +) +*135 (MRCItem +litem &98 +pos 6 +dimension 50 +uid 3336,0 +) +*136 (MRCItem +litem &99 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *137 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "GenericNameColHdrMgr" +) +*145 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*146 (InitColHdr +tm "GenericValueColHdrMgr" +) +*147 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*148 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *150 (MRCItem +litem &137 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*151 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 3354,0 +) +*152 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 3355,0 +) +*153 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*154 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 3358,0 +) +*155 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 3359,0 +) +*156 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 3360,0 +) +*157 (MRCItem +litem &145 +pos 3 +dimension 100 +uid 3361,0 +) +*158 (MRCItem +litem &146 +pos 4 +dimension 50 +uid 3362,0 +) +*159 (MRCItem +litem &147 +pos 5 +dimension 50 +uid 3363,0 +) +*160 (MRCItem +litem &148 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/bram_tb/symbol.sb b/Libs/Memory_test/hds/bram_tb/symbol.sb new file mode 100644 index 0000000..abf403b --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "bram_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/bram_tester/interface b/Libs/Memory_test/hds/bram_tester/interface new file mode 100644 index 0000000..a2dd414 --- /dev/null +++ b/Libs/Memory_test/hds/bram_tester/interface @@ -0,0 +1,2134 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 60,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +uid 646,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +uid 648,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +uid 650,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +uid 652,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +uid 654,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +uid 656,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +uid 658,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +uid 660,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +uid 662,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +uid 664,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +uid 666,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +uid 668,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 152,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 647,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 649,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 651,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 653,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 655,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 657,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 659,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 661,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 663,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 665,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 667,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 669,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 215,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 217,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *66 (MRCItem +litem &51 +pos 2 +dimension 20 +) +uid 180,0 +optionalChildren [ +*67 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 181,0 +) +*68 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 182,0 +) +*69 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*70 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 216,0 +) +*71 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 218,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*72 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 185,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 186,0 +) +*74 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 187,0 +) +*75 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 188,0 +) +*76 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 189,0 +) +*77 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 190,0 +) +*78 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11000" +st "addressA" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,76000,4700" +st "addressA : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +) +*81 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66625,5250,67375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "66550,7000,67450,11000" +st "addressB" +ju 2 +blo "67250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,76000,5600" +st "addressB : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +) +*82 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,10000" +st "clockA" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,60000,6500" +st "clockA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +) +*83 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,10000" +st "clockB" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,60000,7400" +st "clockB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +) +*84 (CptPort +uid 606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 607,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 608,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 609,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,10500" +st "dataInA" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 610,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,74500,8300" +st "dataInA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +) +*85 (CptPort +uid 611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 612,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 614,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,10500" +st "dataInB" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 615,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,74500,9200" +st "dataInB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +) +*86 (CptPort +uid 616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 617,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 618,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 619,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11000" +st "dataOutA" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 620,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74500,2900" +st "dataOutA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +) +*87 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 624,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "64550,7000,65450,11000" +st "dataOutB" +ju 2 +blo "65250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 625,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,74500,3800" +st "dataOutB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +) +*88 (CptPort +uid 626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 627,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 628,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 629,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,8500" +st "enA" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 630,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,60000,10100" +st "enA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +) +*89 (CptPort +uid 631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 632,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 634,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,8500" +st "enB" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 635,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,60000,11000" +st "enB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +) +*90 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 639,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,11000" +st "writeEnA" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 640,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11000,60000,11900" +st "writeEnA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +) +*91 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 644,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,11000" +st "writeEnB" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 645,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,59000,12800" +st "writeEnB : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,75000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9100,48000,10000" +st "memory_test" +blo "42000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42000,10000,48000,10900" +st "bram_tester" +blo "42000,10700" +) +) +gi *92 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "21000,6000,34000,9600" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*93 (Grouping +uid 16,0 +optionalChildren [ +*94 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *104 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*106 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,77000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "bram_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *107 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *108 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,12800,44500,13700" +st "User:" +blo "42000,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13700,44000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 669,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd b/Libs/Memory_test/hds/fifo_tb/struct.bd new file mode 100644 index 0000000..f02d952 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd @@ -0,0 +1,3219 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Memory_test" +duName "fifo_tester" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "Memory" +duName "FIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 5921,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "15000,58000,67000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +) +xt "15600,65900,23600,67100" +st "Memory_test" +blo "15600,66900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +) +xt "15600,67100,22100,68300" +st "fifo_tester" +blo "15600,68100" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +) +xt "15600,68300,20400,69500" +st "I_tester" +blo "15600,69300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +) +xt "15000,70600,39000,72600" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5954,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,64250,16750,65750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 5809,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +declText (MLText +uid 5810,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5817,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +declText (MLText +uid 5818,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5825,0 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +declText (MLText +uid 5826,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL write : std_ulogic" +) +) +*19 (Net +uid 5833,0 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +declText (MLText +uid 5834,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL full : std_ulogic" +) +) +*20 (Net +uid 5841,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +declText (MLText +uid 5842,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 5849,0 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +declText (MLText +uid 5850,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL read : std_ulogic" +) +) +*22 (Net +uid 5857,0 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +declText (MLText +uid 5858,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL empty : std_ulogic" +) +) +*23 (Net +uid 5865,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +declText (MLText +uid 5866,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5921,0 +optionalChildren [ +*25 (CptPort +uid 5889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,41625,35000,42375" +) +tg (CPTG +uid 5891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5892,0 +va (VaSet +) +xt "36000,41400,39100,42600" +st "write" +blo "36000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*26 (CptPort +uid 5893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,45625,35000,46375" +) +tg (CPTG +uid 5895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5896,0 +va (VaSet +) +xt "36000,45400,39400,46600" +st "clock" +blo "36000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*27 (CptPort +uid 5897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,47625,35000,48375" +) +tg (CPTG +uid 5899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5900,0 +va (VaSet +) +xt "36000,47400,39300,48600" +st "reset" +blo "36000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*28 (CptPort +uid 5901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,37625,51750,38375" +) +tg (CPTG +uid 5903,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5904,0 +va (VaSet +) +xt "45201,37400,50001,38600" +st "dataOut" +ju 2 +blo "50001,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*29 (CptPort +uid 5905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5906,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,41625,51750,42375" +) +tg (CPTG +uid 5907,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5908,0 +va (VaSet +) +xt "47100,41400,50000,42600" +st "read" +ju 2 +blo "50000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*30 (CptPort +uid 5909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,37625,35000,38375" +) +tg (CPTG +uid 5911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5912,0 +va (VaSet +) +xt "35999,37400,39999,38600" +st "dataIn" +blo "35999,38400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*31 (CptPort +uid 5913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,39625,51750,40375" +) +tg (CPTG +uid 5915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5916,0 +va (VaSet +) +xt "46200,39400,50000,40600" +st "empty" +ju 2 +blo "50000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*32 (CptPort +uid 5917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5918,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,39625,35000,40375" +) +tg (CPTG +uid 5919,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5920,0 +va (VaSet +) +xt "36000,39400,38200,40600" +st "full" +blo "36000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5922,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,34000,51000,50000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 5923,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5924,0 +va (VaSet +) +xt "35600,49800,40300,51000" +st "Memory" +blo "35600,50800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5925,0 +va (VaSet +) +xt "35600,51000,38900,52200" +st "FIFO" +blo "35600,52000" +tm "CptNameMgr" +) +*35 (Text +uid 5926,0 +va (VaSet +) +xt "35600,52200,39700,53400" +st "I_DUT" +blo "35600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5927,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5928,0 +text (MLText +uid 5929,0 +va (VaSet +font "courier,8,0" +) +xt "35000,54000,55000,55800" +st "dataBitNb = dataBitNb ( positive ) +depth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5930,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,48250,36750,49750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5811,0 +shape (OrthoPolyLine +uid 5812,0 +va (VaSet +vasetType 3 +) +xt "33000,48000,34250,58000" +pts [ +"34250,48000" +"33000,48000" +"33000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5816,0 +va (VaSet +font "courier,12,0" +) +xt "29250,46600,32750,47900" +st "reset" +blo "29250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5819,0 +shape (OrthoPolyLine +uid 5820,0 +va (VaSet +vasetType 3 +) +xt "31000,46000,34250,58000" +pts [ +"34250,46000" +"31000,46000" +"31000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5824,0 +va (VaSet +font "courier,12,0" +) +xt "29250,44600,32750,45900" +st "clock" +blo "29250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5827,0 +shape (OrthoPolyLine +uid 5828,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,34250,58000" +pts [ +"34250,42000" +"27000,42000" +"27000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5831,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5832,0 +va (VaSet +font "courier,12,0" +) +xt "29250,40600,32750,41900" +st "write" +blo "29250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5835,0 +shape (OrthoPolyLine +uid 5836,0 +va (VaSet +vasetType 3 +) +xt "25000,40000,34250,58000" +pts [ +"34250,40000" +"25000,40000" +"25000,58000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5840,0 +va (VaSet +font "courier,12,0" +) +xt "30250,38600,33050,39900" +st "full" +blo "30250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5843,0 +shape (OrthoPolyLine +uid 5844,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,38000,34250,58000" +pts [ +"34250,38000" +"23000,38000" +"23000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5847,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5848,0 +va (VaSet +font "courier,12,0" +) +xt "28250,36600,32450,37900" +st "dataIn" +blo "28250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5851,0 +shape (OrthoPolyLine +uid 5852,0 +va (VaSet +vasetType 3 +) +xt "51750,42000,55000,58000" +pts [ +"51750,42000" +"55000,42000" +"55000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5856,0 +va (VaSet +font "courier,12,0" +) +xt "53750,40600,57450,42000" +st "read" +blo "53750,41800" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5859,0 +shape (OrthoPolyLine +uid 5860,0 +va (VaSet +vasetType 3 +) +xt "51750,40000,57000,58000" +pts [ +"51750,40000" +"57000,40000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5863,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5864,0 +va (VaSet +font "courier,12,0" +) +xt "53750,38600,57250,39900" +st "empty" +blo "53750,39600" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5867,0 +shape (OrthoPolyLine +uid 5868,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,38000,59000,58000" +pts [ +"51750,38000" +"59000,38000" +"59000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5871,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5872,0 +va (VaSet +font "courier,12,0" +) +xt "53750,36600,58650,37900" +st "dataOut" +blo "53750,37600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,19600,-1600,20600" +st "Package List" +blo "-7000,20400" +) +*46 (MLText +uid 1298,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,20600,8500,23300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "118,40,1394,908" +viewArea "10133,25086,76534,68615" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6048,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "-150,900,4450,2100" +st "Panel0" +blo "-150,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1500,2550,6800,3750" +st "" +blo "1500,3550" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +) +xt "1500,3750,6300,4950" +st "" +blo "1500,4750" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +) +xt "1500,4950,3400,6150" +st "I0" +blo "1500,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,1800,6200" +st "I0" +blo "-100,6000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,1550,5750" +st "I0" +blo "-350,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,1050,5750" +st "I0" +blo "-850,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,300,5750" +st "I0" +blo "-1600,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,3400,4950,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,4400,3950,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1400,1000,2300" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1000,9000,1900" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2150,-1300,16650,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*72 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1100,-1300,10100,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*74 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,25800,0,26800" +st "Declarations" +blo "-7000,26600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-3600,28000" +st "Ports:" +blo "-7000,27800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,26800,-2200,27800" +st "Pre User:" +blo "-7000,27600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,27800,16600,29800" +st "constant dataBitNb : positive := 8; +constant fifoDepth: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,2000,28000" +st "Diagram Signals:" +blo "-7000,27800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-1000,28000" +st "Post User:" +blo "-7000,27800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 80,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +) +uid 5873,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +) +uid 5875,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +) +uid 5877,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +) +uid 5879,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +) +uid 5881,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +) +uid 5883,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +) +uid 5885,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +) +uid 5887,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5874,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5876,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5878,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5880,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5882,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5884,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5886,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5888,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..c211a82 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $HDS_PROJECT_DIR\..\Memory_test\work +"C:/eda/mentor/questasim64_10.1b/win64/vsim" -f hds_args.tmp diff --git a/Libs/Memory_test/hds/fifo_tb/symbol.sb b/Libs/Memory_test/hds/fifo_tb/symbol.sb new file mode 100644 index 0000000..33e507e --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "fifo_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/fifo_tester/interface b/Libs/Memory_test/hds/fifo_tester/interface new file mode 100644 index 0000000..ef84e64 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tester/interface @@ -0,0 +1,1504 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 77,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 403,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 405,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 407,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 409,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 411,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 413,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 415,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 417,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 92,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 93,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 94,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 404,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 406,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 408,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 410,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 412,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 414,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 416,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 418,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 97,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 98,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 99,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 100,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 101,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 102,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 103,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 104,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 76,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 155,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "64" +) +uid 157,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 118,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 120,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 121,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 122,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 156,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 125,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 126,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 127,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 128,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 129,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 131,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "clock" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "dataIn" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "58550,7000,59450,10500" +st "dataOut" +ju 2 +blo "59250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74000,2900" +st "dataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 381,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,9500" +st "empty" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 382,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59500,3800" +st "empty : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 386,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,9000" +st "full" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "full : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 389,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 390,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 391,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,9000" +st "read" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 392,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "read : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 396,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,9500" +st "reset" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 397,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 401,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "write" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 402,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "write : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,75000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "37400,9000,44600,10000" +st "Memory_test" +blo "37400,9800" +) +second (Text +uid 12,0 +va (VaSet +) +xt "37400,10000,44600,11000" +st "fifo_tester" +blo "37400,10800" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,25000,9600" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 64 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "64" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "191,89,1207,779" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,75000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,5200,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "fifo_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,41000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "25350,14800,30650,16000" +st "" +blo "25350,15800" +) +second (Text +va (VaSet +) +xt "25350,16000,29250,17200" +st "" +blo "25350,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 418,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/struct.bd b/Libs/Memory_test/hds/flash@controller_tb/struct.bd new file mode 100644 index 0000000..dd2db17 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/struct.bd @@ -0,0 +1,5566 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flashController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "flashController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 7294,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 7440,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "121000,110000,140000,112000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "121200,110500,136800,111500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,110000,115000,112000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "96750,110350,107250,111650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,116000,115000,118000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,116500,110400,117500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,110000,121000,112000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,110500,120000,111500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,112000,115000,114000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,112500,110400,113500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,112000,94000,114000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,112500,92800,113500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,114000,94000,116000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,114500,92200,115500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,112000,140000,118000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,112200,128400,113200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,114000,115000,116000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,114500,113400,115500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,116000,94000,118000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,116500,93400,117500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "89000,110000,140000,118000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,87000,110000,95000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "16600,94900,27200,96300" +st "memory_test" +blo "16600,96100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "16600,96300,33900,97700" +st "flashController_tester" +blo "16600,97500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "16600,97700,19000,99100" +st "I1" +blo "16600,98900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "16000,99600,39000,101400" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,3500,7700" +st "SIGNAL memWr_n : std_ulogic" +) +) +*21 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,17500,7700" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*22 (HdlText +uid 6058,0 +optionalChildren [ +*23 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,58000,110000,66000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "94200,58200,110200,65400" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when (memWr_n = '0') or (memWrDelayed_n = '0') + else (others => 'Z'); + +delayMemWr: process(reset, clock) +begin + if reset = '1' then + memWrDelayed_n <= '0'; + elsif rising_edge(clock) then + memWrDelayed_n <= memWr_n; + end if; +end process delayMemWr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,57000,110000,67000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6061,0 +va (VaSet +) +xt "94400,67000,95600,68000" +st "eb1" +blo "94400,67800" +tm "HdlTextNameMgr" +) +*25 (Text +uid 6062,0 +va (VaSet +) +xt "94400,68000,94800,69000" +st "1" +blo "94400,68800" +tm "HdlTextNumberMgr" +) +] +) +) +*26 (Net +uid 6768,0 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +declText (MLText +uid 6769,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashCE_n : std_ulogic" +) +) +*27 (Net +uid 6780,0 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +declText (MLText +uid 6781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL memOE_n : std_ulogic" +) +) +*28 (Net +uid 6798,0 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +declText (MLText +uid 6799,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashSTS : std_ulogic" +) +) +*29 (Net +uid 6804,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +declText (MLText +uid 6805,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*30 (Net +uid 6812,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +declText (MLText +uid 6813,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-78500,7500" +st "SIGNAL memAddress : std_ulogic_vector(addressBitNb-1 DOWNTO 0)" +) +) +*31 (HdlText +uid 6818,0 +optionalChildren [ +*32 (EmbeddedText +uid 6823,0 +commentText (CommentText +uid 6824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,34000,70000,44000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6826,0 +va (VaSet +font "courier,9,0" +) +xt "54200,34200,67700,38700" +st " +A <= unsigned(memAddress); + +CE <= \"00\" & flashCE_n; + +BYTE_n <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6819,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,33000,70000,45000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 6821,0 +va (VaSet +) +xt "54400,45000,56000,46000" +st "eb2" +blo "54400,45800" +tm "HdlTextNameMgr" +) +*34 (Text +uid 6822,0 +va (VaSet +) +xt "54400,46000,55200,47000" +st "2" +blo "54400,46800" +tm "HdlTextNumberMgr" +) +] +) +) +*35 (Net +uid 6843,0 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +declText (MLText +uid 6844,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashEn : std_ulogic" +) +) +*36 (Net +uid 6922,0 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +declText (MLText +uid 6923,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashDataValid : std_ulogic" +) +) +*37 (Net +uid 6930,0 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +declText (MLText +uid 6931,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashRd : std_ulogic" +) +) +*38 (Net +uid 6938,0 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +declText (MLText +uid 6939,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashWr : std_ulogic" +) +) +*39 (Net +uid 6946,0 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +declText (MLText +uid 6947,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 6954,0 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +declText (MLText +uid 6955,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 6962,0 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +declText (MLText +uid 6963,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL flashAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*42 (Net +uid 6984,0 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +declText (MLText +uid 6985,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL A : unsigned(23 DOWNTO 0)" +) +) +*43 (Net +uid 6994,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +declText (MLText +uid 6995,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*44 (Net +uid 7044,0 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +declText (MLText +uid 7045,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memWrDelayed_n : std_ulogic" +) +) +*45 (Net +uid 7125,0 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +declText (MLText +uid 7126,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memRst_n : std_ulogic" +) +) +*46 (SaComponent +uid 7294,0 +optionalChildren [ +*47 (CptPort +uid 7222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,74625,46000,75375" +) +tg (CPTG +uid 7224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7225,0 +va (VaSet +) +xt "47000,74500,49100,75500" +st "clock" +blo "47000,75300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*48 (CptPort +uid 7226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7227,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,66625,46000,67375" +) +tg (CPTG +uid 7228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7229,0 +va (VaSet +) +xt "47000,66500,52700,67500" +st "flashDataValid" +blo "47000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*49 (CptPort +uid 7230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,56625,46000,57375" +) +tg (CPTG +uid 7232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7233,0 +va (VaSet +) +xt "47000,56500,50600,57500" +st "flashAddr" +blo "47000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*50 (CptPort +uid 7234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,56625,62750,57375" +) +tg (CPTG +uid 7236,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7237,0 +va (VaSet +) +xt "55800,56500,61000,57500" +st "memAddress" +ju 2 +blo "61000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*51 (CptPort +uid 7238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,60625,46000,61375" +) +tg (CPTG +uid 7240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7241,0 +va (VaSet +) +xt "47000,60500,52200,61500" +st "flashDataOut" +blo "47000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*52 (CptPort +uid 7242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7243,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,58625,62750,59375" +) +tg (CPTG +uid 7244,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7245,0 +va (VaSet +) +xt "56800,58500,61000,59500" +st "memDataIn" +ju 2 +blo "61000,59300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*53 (CptPort +uid 7246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,60625,62750,61375" +) +tg (CPTG +uid 7248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7249,0 +va (VaSet +) +xt "55800,60500,61000,61500" +st "memDataOut" +ju 2 +blo "61000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*54 (CptPort +uid 7250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7251,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,64625,62750,65375" +) +tg (CPTG +uid 7252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7253,0 +va (VaSet +) +xt "57200,64500,61000,65500" +st "memWr_n" +ju 2 +blo "61000,65300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*55 (CptPort +uid 7254,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7255,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,72625,46000,73375" +) +tg (CPTG +uid 7256,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7257,0 +va (VaSet +) +xt "47000,72500,49900,73500" +st "flashEn" +blo "47000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*56 (CptPort +uid 7258,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7259,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,62625,46000,63375" +) +tg (CPTG +uid 7260,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7261,0 +va (VaSet +) +xt "47000,62500,50000,63500" +st "flashRd" +blo "47000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*57 (CptPort +uid 7262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7263,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,64625,46000,65375" +) +tg (CPTG +uid 7264,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7265,0 +va (VaSet +) +xt "47000,64500,50000,65500" +st "flashWr" +blo "47000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*58 (CptPort +uid 7266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7267,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,76625,46000,77375" +) +tg (CPTG +uid 7268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7269,0 +va (VaSet +) +xt "47000,76500,49100,77500" +st "reset" +blo "47000,77300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*59 (CptPort +uid 7270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7271,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,68625,62750,69375" +) +tg (CPTG +uid 7272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7273,0 +va (VaSet +) +xt "57000,68500,61000,69500" +st "memRst_n" +ju 2 +blo "61000,69300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*60 (CptPort +uid 7274,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7275,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,70625,62750,71375" +) +tg (CPTG +uid 7276,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7277,0 +va (VaSet +) +xt "57500,70500,61000,71500" +st "flashSTS" +ju 2 +blo "61000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*61 (CptPort +uid 7278,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,62625,62750,63375" +) +tg (CPTG +uid 7280,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7281,0 +va (VaSet +) +xt "57100,62500,61000,63500" +st "flashCE_n" +ju 2 +blo "61000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*62 (CptPort +uid 7282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,66625,62750,67375" +) +tg (CPTG +uid 7284,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7285,0 +va (VaSet +) +xt "57100,66500,61000,67500" +st "memOE_n" +ju 2 +blo "61000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*63 (CptPort +uid 7286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7287,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,58625,46000,59375" +) +tg (CPTG +uid 7288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7289,0 +va (VaSet +) +xt "47000,58500,51600,59500" +st "flashDataIn" +blo "47000,59300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*64 (CptPort +uid 7290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,70625,46000,71375" +) +tg (CPTG +uid 7292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7293,0 +va (VaSet +) +xt "47000,70500,52400,71500" +st "memBusEn_n" +blo "47000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 7295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,53000,62000,79000" +) +oxt "37000,5000,53000,31000" +ttg (MlTextGroup +uid 7296,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 7297,0 +va (VaSet +font "courier,8,1" +) +xt "46550,79500,49850,80500" +st "memory" +blo "46550,80300" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 7298,0 +va (VaSet +font "courier,8,1" +) +xt "46550,80500,52950,81500" +st "flashController" +blo "46550,81300" +tm "CptNameMgr" +) +*67 (Text +uid 7299,0 +va (VaSet +font "courier,8,1" +) +xt "46550,81500,47550,82500" +st "I0" +blo "46550,82300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7300,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7301,0 +text (MLText +uid 7302,0 +va (VaSet +font "courier,8,0" +) +xt "46000,83200,71000,85900" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*68 (Net +uid 7303,0 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +declText (MLText +uid 7304,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memBusEn_n : std_ulogic" +) +) +*69 (SaComponent +uid 7440,0 +optionalChildren [ +*70 (CptPort +uid 7408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,60625,78000,61375" +) +tg (CPTG +uid 7410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7411,0 +va (VaSet +) +xt "79000,60500,79900,61500" +st "A" +blo "79000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*71 (CptPort +uid 7412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,68625,78000,69375" +) +tg (CPTG +uid 7414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7415,0 +va (VaSet +) +xt "79000,68500,82200,69500" +st "BYTE_n" +blo "79000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*72 (CptPort +uid 7416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,62625,78000,63375" +) +tg (CPTG +uid 7418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7419,0 +va (VaSet +) +xt "79000,62500,80500,63500" +st "CE" +blo "79000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*73 (CptPort +uid 7420,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 7421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,60625,86750,61375" +) +tg (CPTG +uid 7422,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7423,0 +va (VaSet +) +xt "83400,60500,85000,61500" +st "DQ" +ju 2 +blo "85000,61300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*74 (CptPort +uid 7424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,66625,78000,67375" +) +tg (CPTG +uid 7426,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7427,0 +va (VaSet +) +xt "79000,66500,81300,67500" +st "OE_n" +blo "79000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*75 (CptPort +uid 7428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,72625,78000,73375" +) +tg (CPTG +uid 7430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7431,0 +va (VaSet +) +xt "79000,72500,81300,73500" +st "RP_n" +blo "79000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*76 (CptPort +uid 7432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,62625,86750,63375" +) +tg (CPTG +uid 7434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7435,0 +va (VaSet +) +xt "83100,62500,85000,63500" +st "STS" +ju 2 +blo "85000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*77 (CptPort +uid 7436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,64625,78000,65375" +) +tg (CPTG +uid 7438,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7439,0 +va (VaSet +) +xt "79000,64500,81400,65500" +st "WE_n" +blo "79000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 7441,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,57000,86000,75000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 7442,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 7443,0 +va (VaSet +font "courier,8,1" +) +xt "77800,75000,83300,76000" +st "memory_test" +blo "77800,75800" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 7444,0 +va (VaSet +font "courier,8,1" +) +xt "77800,76000,84600,77000" +st "flash_28F128J3A" +blo "77800,76800" +tm "CptNameMgr" +) +*80 (Text +uid 7445,0 +va (VaSet +font "courier,8,1" +) +xt "77800,77000,78800,78000" +st "I2" +blo "77800,77800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7446,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7447,0 +text (MLText +uid 7448,0 +va (VaSet +font "courier,8,0" +) +xt "78000,78600,112500,86700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "44000,77000,45250,87000" +pts [ +"45250,77000" +"44000,77000" +"44000,87000" +] +) +start &58 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "40250,75600,43750,76900" +st "reset" +blo "40250,76600" +tm "WireNameMgr" +) +) +on &16 +) +*82 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "42000,75000,45250,87000" +pts [ +"45250,75000" +"42000,75000" +"42000,87000" +] +) +start &47 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "40250,73600,43750,74900" +st "clock" +blo "40250,74600" +tm "WireNameMgr" +) +) +on &17 +) +*83 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "40000,73000,45250,87000" +pts [ +"45250,73000" +"40000,73000" +"40000,87000" +] +) +start &55 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "39250,71600,44150,72900" +st "flashEn" +blo "39250,72600" +tm "WireNameMgr" +) +) +on &35 +) +*84 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,59000,66000,59000" +pts [ +"62750,59000" +"66000,59000" +] +) +start &52 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "64750,57600,71050,58900" +st "memDataIn" +blo "64750,58600" +tm "WireNameMgr" +) +) +on &18 +) +*85 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,61000,66000,61000" +pts [ +"62750,61000" +"66000,61000" +] +) +start &53 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "64750,59600,72450,60900" +st "memDataOut" +blo "64750,60600" +tm "WireNameMgr" +) +) +on &19 +) +*86 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "86750,61000,94000,61000" +pts [ +"86750,61000" +"94000,61000" +] +) +start &73 +end &22 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "88750,59600,90150,60900" +st "DQ" +blo "88750,60600" +tm "WireNameMgr" +) +) +on &21 +) +*87 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "90000,63000,94000,63000" +pts [ +"90000,63000" +"94000,63000" +] +) +end &22 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "87000,61600,91900,62900" +st "memWr_n" +blo "87000,62600" +tm "WireNameMgr" +) +) +on &20 +) +*88 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,61000,114000,61000" +pts [ +"110000,61000" +"114000,61000" +] +) +start &22 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "112750,59600,119050,60900" +st "memDataIn" +blo "112750,60600" +tm "WireNameMgr" +) +) +on &18 +) +*89 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,63000,114000,63000" +pts [ +"110000,63000" +"114000,63000" +] +) +start &22 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "112750,61600,120450,62900" +st "memDataOut" +blo "112750,62600" +tm "WireNameMgr" +) +) +on &19 +) +*90 (Wire +uid 6770,0 +shape (OrthoPolyLine +uid 6771,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,66000,63000" +pts [ +"62750,63000" +"66000,63000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6772,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6773,0 +va (VaSet +font "courier,12,0" +) +xt "64750,61600,71050,62900" +st "flashCE_n" +blo "64750,62600" +tm "WireNameMgr" +) +) +on &26 +) +*91 (Wire +uid 6776,0 +shape (OrthoPolyLine +uid 6777,0 +va (VaSet +vasetType 3 +) +xt "62750,65000,77250,65000" +pts [ +"62750,65000" +"77250,65000" +] +) +start &54 +end &77 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6779,0 +va (VaSet +font "courier,12,0" +) +xt "64750,63600,69650,64900" +st "memWr_n" +blo "64750,64600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 6782,0 +shape (OrthoPolyLine +uid 6783,0 +va (VaSet +vasetType 3 +) +xt "62750,67000,77250,67000" +pts [ +"62750,67000" +"77250,67000" +] +) +start &62 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6785,0 +va (VaSet +font "courier,12,0" +) +xt "64750,65600,69650,66900" +st "memOE_n" +blo "64750,66600" +tm "WireNameMgr" +) +) +on &27 +) +*93 (Wire +uid 6794,0 +shape (OrthoPolyLine +uid 6795,0 +va (VaSet +vasetType 3 +) +xt "62750,69000,77250,73000" +pts [ +"62750,69000" +"70000,69000" +"70000,73000" +"77250,73000" +] +) +start &59 +end &75 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6797,0 +va (VaSet +font "courier,12,0" +) +xt "64750,67600,70350,68900" +st "memRst_n" +blo "64750,68600" +tm "WireNameMgr" +) +) +on &45 +) +*94 (Wire +uid 6800,0 +shape (OrthoPolyLine +uid 6801,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,88000,79000" +pts [ +"62750,71000" +"68000,71000" +"68000,79000" +"88000,79000" +"88000,63000" +"86750,63000" +] +) +start &60 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6802,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6803,0 +va (VaSet +font "courier,12,0" +) +xt "64750,69600,70350,70900" +st "flashSTS" +blo "64750,70600" +tm "WireNameMgr" +) +) +on &28 +) +*95 (Wire +uid 6806,0 +shape (OrthoPolyLine +uid 6807,0 +va (VaSet +vasetType 3 +) +xt "74000,69000,77250,69000" +pts [ +"77250,69000" +"74000,69000" +] +) +start &71 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6810,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6811,0 +va (VaSet +font "courier,12,0" +) +xt "72000,67600,76200,68900" +st "BYTE_n" +blo "72000,68600" +tm "WireNameMgr" +) +) +on &29 +) +*96 (Wire +uid 6814,0 +shape (OrthoPolyLine +uid 6815,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,57000,66000,57000" +pts [ +"62750,57000" +"66000,57000" +] +) +start &50 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6816,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6817,0 +va (VaSet +font "courier,12,0" +) +xt "64750,55600,72450,56900" +st "memAddress" +blo "64750,56600" +tm "WireNameMgr" +) +) +on &30 +) +*97 (Wire +uid 6827,0 +shape (OrthoPolyLine +uid 6828,0 +va (VaSet +vasetType 3 +) +xt "70000,41000,74000,41000" +pts [ +"70000,41000" +"74000,41000" +] +) +start &31 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6834,0 +va (VaSet +font "courier,12,0" +) +xt "72000,39600,76200,40900" +st "BYTE_n" +blo "72000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*98 (Wire +uid 6924,0 +shape (OrthoPolyLine +uid 6925,0 +va (VaSet +vasetType 3 +) +xt "34000,67000,45250,87000" +pts [ +"45250,67000" +"34000,67000" +"34000,87000" +] +) +start &48 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6929,0 +va (VaSet +font "courier,12,0" +) +xt "34000,65600,44500,66900" +st "flashDataValid" +blo "34000,66600" +tm "WireNameMgr" +) +) +on &36 +) +*99 (Wire +uid 6932,0 +shape (OrthoPolyLine +uid 6933,0 +va (VaSet +vasetType 3 +) +xt "30000,63000,45250,87000" +pts [ +"45250,63000" +"30000,63000" +"30000,87000" +] +) +start &56 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6937,0 +va (VaSet +font "courier,12,0" +) +xt "38000,61600,42900,62900" +st "flashRd" +blo "38000,62600" +tm "WireNameMgr" +) +) +on &37 +) +*100 (Wire +uid 6940,0 +shape (OrthoPolyLine +uid 6941,0 +va (VaSet +vasetType 3 +) +xt "32000,65000,45250,87000" +pts [ +"45250,65000" +"32000,65000" +"32000,87000" +] +) +start &57 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6945,0 +va (VaSet +font "courier,12,0" +) +xt "38250,63600,43150,64900" +st "flashWr" +blo "38250,64600" +tm "WireNameMgr" +) +) +on &38 +) +*101 (Wire +uid 6948,0 +shape (OrthoPolyLine +uid 6949,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,61000,45250,87000" +pts [ +"45250,61000" +"28000,61000" +"28000,87000" +] +) +start &51 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6953,0 +va (VaSet +font "courier,12,0" +) +xt "34250,59600,43350,60900" +st "flashDataOut" +blo "34250,60600" +tm "WireNameMgr" +) +) +on &39 +) +*102 (Wire +uid 6956,0 +shape (OrthoPolyLine +uid 6957,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,59000,45250,87000" +pts [ +"45250,59000" +"26000,59000" +"26000,87000" +] +) +start &63 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6960,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6961,0 +va (VaSet +font "courier,12,0" +) +xt "35250,57600,43650,58900" +st "flashDataIn" +blo "35250,58600" +tm "WireNameMgr" +) +) +on &40 +) +*103 (Wire +uid 6964,0 +shape (OrthoPolyLine +uid 6965,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,57000,45250,87000" +pts [ +"45250,57000" +"24000,57000" +"24000,87000" +] +) +start &49 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6968,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6969,0 +va (VaSet +font "courier,12,0" +) +xt "37250,55600,43550,56900" +st "flashAddr" +blo "37250,56600" +tm "WireNameMgr" +) +) +on &41 +) +*104 (Wire +uid 6986,0 +shape (OrthoPolyLine +uid 6987,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,61000,77250,61000" +pts [ +"77250,61000" +"74000,61000" +] +) +start &70 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6991,0 +va (VaSet +font "courier,12,0" +) +xt "74250,59600,74950,60900" +st "A" +blo "74250,60600" +tm "WireNameMgr" +) +) +on &42 +) +*105 (Wire +uid 6996,0 +shape (OrthoPolyLine +uid 6997,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,63000,77250,63000" +pts [ +"77250,63000" +"74000,63000" +] +) +start &72 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7001,0 +va (VaSet +font "courier,12,0" +) +xt "73250,61600,74650,62900" +st "CE" +blo "73250,62600" +tm "WireNameMgr" +) +) +on &43 +) +*106 (Wire +uid 7002,0 +shape (OrthoPolyLine +uid 7003,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,37000,54000,37000" +pts [ +"54000,37000" +"50000,37000" +] +) +start &31 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7009,0 +va (VaSet +font "courier,12,0" +) +xt "45000,35600,52700,36900" +st "memAddress" +blo "45000,36600" +tm "WireNameMgr" +) +) +on &30 +) +*107 (Wire +uid 7010,0 +shape (OrthoPolyLine +uid 7011,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,37000,74250,37000" +pts [ +"74250,37000" +"70000,37000" +] +) +end &31 +sat 16 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7016,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7017,0 +va (VaSet +font "courier,12,0" +) +xt "72000,35600,72700,36900" +st "A" +blo "72000,36600" +tm "WireNameMgr" +) +) +on &42 +) +*108 (Wire +uid 7018,0 +shape (OrthoPolyLine +uid 7019,0 +va (VaSet +vasetType 3 +) +xt "50000,39000,54000,39000" +pts [ +"54000,39000" +"50000,39000" +] +) +start &31 +sat 1 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 7024,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7025,0 +va (VaSet +font "courier,12,0" +) +xt "47000,37600,53300,38900" +st "flashCE_n" +blo "47000,38600" +tm "WireNameMgr" +) +) +on &26 +) +*109 (Wire +uid 7026,0 +shape (OrthoPolyLine +uid 7027,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,39000,74000,39000" +pts [ +"70000,39000" +"74000,39000" +] +) +start &31 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7033,0 +va (VaSet +font "courier,12,0" +) +xt "72000,37600,73400,38900" +st "CE" +blo "72000,38600" +tm "WireNameMgr" +) +) +on &43 +) +*110 (Wire +uid 7036,0 +shape (OrthoPolyLine +uid 7037,0 +va (VaSet +vasetType 3 +) +xt "102000,67000,102000,69000" +pts [ +"102000,69000" +"102000,67000" +] +) +end &22 +sat 16 +eat 4 +stc 0 +st 0 +si 0 +tg (WTG +uid 7042,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7043,0 +va (VaSet +font "courier,12,0" +) +xt "99000,67600,109500,68900" +st "memWrDelayed_n" +blo "99000,68600" +tm "WireNameMgr" +) +) +on &44 +) +*111 (Wire +uid 7305,0 +shape (OrthoPolyLine +uid 7306,0 +va (VaSet +vasetType 3 +) +xt "38000,71000,45250,87000" +pts [ +"45250,71000" +"38000,71000" +"38000,87000" +] +) +start &64 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 7309,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7310,0 +va (VaSet +font "courier,12,0" +) +xt "36000,69600,43700,70900" +st "memBusEn_n" +blo "36000,70600" +tm "WireNameMgr" +) +) +on &68 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *112 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*114 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*116 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*117 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*118 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*119 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*120 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*121 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1388,937" +viewArea "-9065,17500,147962,124527" +cachedDiagramExtent "-112000,0,140000,118000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 7638,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*123 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*124 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*126 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*127 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*129 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*130 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*132 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*133 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*135 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*136 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*138 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*140 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*142 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,26200,1600,27400" +st "Declarations" +blo "-7000,27200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,-2800,28600" +st "Ports:" +blo "-7000,28400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27400,-1000,28600" +st "Pre User:" +blo "-7000,28400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28600,18400,30600" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,4000,28600" +st "Diagram Signals:" +blo "-7000,28400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,300,28600" +st "Post User:" +blo "-7000,28400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41800,-5000,41800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 97,0 +usingSuid 1 +emptyRow *143 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*151 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*152 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*153 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*154 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*155 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*156 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +) +uid 5622,0 +) +*157 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +) +uid 5624,0 +) +*158 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +) +uid 5939,0 +) +*159 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +) +uid 5941,0 +) +*160 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +) +uid 6040,0 +) +*161 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +) +uid 6056,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +) +uid 6786,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +) +uid 6790,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +) +uid 6837,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +) +uid 6839,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +) +uid 6841,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +) +uid 6970,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +) +uid 6972,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +) +uid 6974,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +) +uid 6976,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +) +uid 6978,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +) +uid 6980,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +) +uid 6982,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +) +uid 6992,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +) +uid 7034,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +) +uid 7046,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +) +uid 7127,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +) +uid 7311,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*179 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *180 (MRCItem +litem &143 +pos 23 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*181 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 3326,0 +) +*182 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 3327,0 +) +*183 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*184 (MRCItem +litem &156 +pos 0 +dimension 20 +uid 5623,0 +) +*185 (MRCItem +litem &157 +pos 1 +dimension 20 +uid 5625,0 +) +*186 (MRCItem +litem &158 +pos 2 +dimension 20 +uid 5940,0 +) +*187 (MRCItem +litem &159 +pos 3 +dimension 20 +uid 5942,0 +) +*188 (MRCItem +litem &160 +pos 4 +dimension 20 +uid 6041,0 +) +*189 (MRCItem +litem &161 +pos 5 +dimension 20 +uid 6057,0 +) +*190 (MRCItem +litem &162 +pos 6 +dimension 20 +uid 6787,0 +) +*191 (MRCItem +litem &163 +pos 7 +dimension 20 +uid 6791,0 +) +*192 (MRCItem +litem &164 +pos 8 +dimension 20 +uid 6838,0 +) +*193 (MRCItem +litem &165 +pos 9 +dimension 20 +uid 6840,0 +) +*194 (MRCItem +litem &166 +pos 10 +dimension 20 +uid 6842,0 +) +*195 (MRCItem +litem &167 +pos 11 +dimension 20 +uid 6971,0 +) +*196 (MRCItem +litem &168 +pos 12 +dimension 20 +uid 6973,0 +) +*197 (MRCItem +litem &169 +pos 13 +dimension 20 +uid 6975,0 +) +*198 (MRCItem +litem &170 +pos 14 +dimension 20 +uid 6977,0 +) +*199 (MRCItem +litem &171 +pos 15 +dimension 20 +uid 6979,0 +) +*200 (MRCItem +litem &172 +pos 16 +dimension 20 +uid 6981,0 +) +*201 (MRCItem +litem &173 +pos 17 +dimension 20 +uid 6983,0 +) +*202 (MRCItem +litem &174 +pos 18 +dimension 20 +uid 6993,0 +) +*203 (MRCItem +litem &175 +pos 19 +dimension 20 +uid 7035,0 +) +*204 (MRCItem +litem &176 +pos 20 +dimension 20 +uid 7047,0 +) +*205 (MRCItem +litem &177 +pos 21 +dimension 20 +uid 7128,0 +) +*206 (MRCItem +litem &178 +pos 22 +dimension 20 +uid 7312,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*207 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 3330,0 +) +*208 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 3331,0 +) +*209 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 3332,0 +) +*210 (MRCItem +litem &151 +pos 3 +dimension 50 +uid 3333,0 +) +*211 (MRCItem +litem &152 +pos 4 +dimension 100 +uid 3334,0 +) +*212 (MRCItem +litem &153 +pos 5 +dimension 100 +uid 3335,0 +) +*213 (MRCItem +litem &154 +pos 6 +dimension 50 +uid 3336,0 +) +*214 (MRCItem +litem &155 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *215 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*216 (RefLabelRowHdr +) +*217 (TitleRowHdr +) +*218 (FilterRowHdr +) +*219 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*220 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*221 (GroupColHdr +tm "GroupColHdrMgr" +) +*222 (NameColHdr +tm "GenericNameColHdrMgr" +) +*223 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*224 (InitColHdr +tm "GenericValueColHdrMgr" +) +*225 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*226 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*227 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *228 (MRCItem +litem &215 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*229 (MRCItem +litem &216 +pos 0 +dimension 20 +uid 3354,0 +) +*230 (MRCItem +litem &217 +pos 1 +dimension 23 +uid 3355,0 +) +*231 (MRCItem +litem &218 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*232 (MRCItem +litem &219 +pos 0 +dimension 20 +uid 3358,0 +) +*233 (MRCItem +litem &221 +pos 1 +dimension 50 +uid 3359,0 +) +*234 (MRCItem +litem &222 +pos 2 +dimension 100 +uid 3360,0 +) +*235 (MRCItem +litem &223 +pos 3 +dimension 100 +uid 3361,0 +) +*236 (MRCItem +litem &224 +pos 4 +dimension 50 +uid 3362,0 +) +*237 (MRCItem +litem &225 +pos 5 +dimension 50 +uid 3363,0 +) +*238 (MRCItem +litem &226 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/symbol.sb b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb new file mode 100644 index 0000000..fc3c891 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "flashController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash@controller_tester/interface b/Libs/Memory_test/hds/flash@controller_tester/interface new file mode 100644 index 0000000..3f5511b --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tester/interface @@ -0,0 +1,1973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 48,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +uid 566,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +uid 568,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +uid 570,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +uid 572,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +uid 574,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +uid 576,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +uid 578,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +uid 580,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +uid 582,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +uid 584,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 567,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 569,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 571,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 573,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 575,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 577,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 579,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 581,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 583,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 585,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 280,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 282,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 281,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 283,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 519,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "clock" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 520,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,63000,4700" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +) +*77 (CptPort +uid 521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 522,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 523,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 524,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11500" +st "flashAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 525,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,74500,5600" +st "flashAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +) +*78 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 529,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,13000" +st "flashDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 530,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77500,2900" +st "flashDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +) +*79 (CptPort +uid 531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 534,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,13500" +st "flashDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 535,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,77500,6500" +st "flashDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +) +*80 (CptPort +uid 536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 537,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 538,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 539,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,14500" +st "flashDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 540,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,63000,3800" +st "flashDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +) +*81 (CptPort +uid 541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 542,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 543,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 544,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,10500" +st "flashEn" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 545,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,63000,7400" +st "flashEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +) +*82 (CptPort +uid 546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 547,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 548,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 549,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,10500" +st "flashRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,63000,8300" +st "flashRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +) +*83 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 554,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10500" +st "flashWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 555,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,63000,9200" +st "flashWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +) +*84 (CptPort +uid 556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 557,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 559,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,12500" +st "memBusEn_n" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 560,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,63000,10100" +st "memBusEn_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +) +*85 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "42550,7000,43450,9500" +st "reset" +ju 2 +blo "43250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 565,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,62000,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,109000,14000" +) +oxt "15000,6000,107000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "56250,9100,62250,10000" +st "memory_test" +blo "56250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "56250,10000,67750,10900" +st "flashController_tester" +blo "56250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,6000,51500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1086,742" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,107000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flashController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 585,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb new file mode 100644 index 0000000..e1e799f --- /dev/null +++ b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb @@ -0,0 +1,1951 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +uid 91,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +uid 93,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +uid 95,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +uid 97,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +uid 99,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +uid 101,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +uid 103,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +uid 105,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 94,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 96,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 98,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 102,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 104,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 106,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +uid 233,0 +) +*56 (LogGeneric +generic (GiElement +name "T_W13" +type "time" +value "500 ns" +) +uid 258,0 +) +*57 (LogGeneric +generic (GiElement +name "T_W16_program" +type "time" +value "630 us" +) +uid 260,0 +) +*58 (LogGeneric +generic (GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +uid 262,0 +) +*59 (LogGeneric +generic (GiElement +name "T_R2" +type "time" +value "120 ns" +) +uid 264,0 +) +*60 (LogGeneric +generic (GiElement +name "T_R3" +type "time" +value "120 ns" +) +uid 266,0 +) +*61 (LogGeneric +generic (GiElement +name "T_R7" +type "time" +value "0 ns" +) +uid 268,0 +) +*62 (LogGeneric +generic (GiElement +name "T_R8" +type "time" +value "55 ns" +) +uid 270,0 +) +*63 (LogGeneric +generic (GiElement +name "T_R9" +type "time" +value "15 ns" +) +uid 272,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*64 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *65 (MRCItem +litem &43 +pos 9 +dimension 20 +) +uid 152,0 +optionalChildren [ +*66 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*67 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*68 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*69 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 234,0 +) +*70 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 259,0 +) +*71 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 261,0 +) +*72 (MRCItem +litem &58 +pos 3 +dimension 20 +uid 263,0 +) +*73 (MRCItem +litem &59 +pos 4 +dimension 20 +uid 265,0 +) +*74 (MRCItem +litem &60 +pos 5 +dimension 20 +uid 267,0 +) +*75 (MRCItem +litem &61 +pos 6 +dimension 20 +uid 269,0 +) +*76 (MRCItem +litem &62 +pos 7 +dimension 20 +uid 271,0 +) +*77 (MRCItem +litem &63 +pos 8 +dimension 20 +uid 273,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*78 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*79 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*80 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*81 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*82 (MRCItem +litem &52 +pos 4 +dimension 77 +uid 161,0 +) +*83 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*84 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_28F128J3A" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_28F128J3A" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_28F128J3A" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "36000,16500,36900,17500" +st "A" +blo "36000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,7600,16500,8500" +st "A : IN unsigned (23 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*87 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,24625,35000,25375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "36000,24500,39200,25500" +st "BYTE_n" +blo "36000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,8500,11000,9400" +st "BYTE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*88 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "36000,18500,37500,19500" +st "CE" +blo "36000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,9400,20500,10300" +st "CE : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*89 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,16625,43750,17375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "40400,16500,42000,17500" +st "DQ" +ju 2 +blo "42000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13900,19500,14800" +st "DQ : INOUT std_logic_vector (15 DOWNTO 0)" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*90 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,22625,35000,23375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "36000,22500,38300,23500" +st "OE_n" +blo "36000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,10300,11000,11200" +st "OE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*91 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,28625,35000,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "36000,28500,38300,29500" +st "RP_n" +blo "36000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,11200,11000,12100" +st "RP_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*92 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,18625,43750,19375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "40100,18500,42000,19500" +st "STS" +ju 2 +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13000,11000,13900" +st "STS : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*93 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "36000,20500,38400,21500" +st "WE_n" +blo "36000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,12100,11000,13000" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,13000,43000,31000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31000,40800,31900" +st "Memory_test" +blo "34800,31700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31900,42800,32800" +st "flash_28F128J3A" +blo "34800,32600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,34600,53000,44500" +st "Generic Declarations + +fileSpec string \"flash.srec\" +T_W13 time 500 ns +T_W16_program time 630 us +T_W16_erase time 5 sec +T_R2 time 120 ns +T_R3 time 120 ns +T_R7 time 0 ns +T_R8 time 55 ns +T_R9 time 15 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "630 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "41,38,1302,971" +viewArea "-7100,-1100,68966,56643" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,5600,-600,6600" +st "Declarations" +blo "-6000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,6600,-3300,7600" +st "Ports:" +blo "-6000,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14800,-3500,15700" +st "User:" +blo "-6000,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,5600,-200,6600" +st "Internal User:" +blo "-6000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15700,-4000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,5600,-6000,5600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 296,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hds/flash_tb/struct.bd b/Libs/Memory_test/hds/flash_tb/struct.bd new file mode 100644 index 0000000..7681750 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/struct.bd @@ -0,0 +1,3224 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flash_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 5435,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,62000,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,57000,68000,65000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "24600,64900,35200,66300" +st "memory_test" +blo "24600,66100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "24600,66300,34400,67700" +st "flash_tester" +blo "24600,67500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "24600,67700,27000,69100" +st "I1" +blo "24600,68900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "24000,69800,47000,71600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5007,0 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +declText (MLText +uid 5008,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL RP_n : std_ulogic" +) +) +*17 (Net +uid 5015,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +declText (MLText +uid 5016,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*18 (Net +uid 5023,0 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +declText (MLText +uid 5024,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL OE_n : std_ulogic" +) +) +*19 (Net +uid 5031,0 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +declText (MLText +uid 5032,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL WE_n : std_ulogic" +) +) +*20 (Net +uid 5039,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +declText (MLText +uid 5040,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*21 (Net +uid 5047,0 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +declText (MLText +uid 5048,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,25000,900" +st "SIGNAL A : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 5055,0 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +declText (MLText +uid 5056,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL STS : std_ulogic" +) +) +*23 (Net +uid 5063,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +declText (MLText +uid 5064,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27500,900" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5435,0 +optionalChildren [ +*25 (CptPort +uid 5403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5404,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,34625,46000,35375" +) +tg (CPTG +uid 5405,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5406,0 +va (VaSet +) +xt "47000,34500,47900,35500" +st "A" +blo "47000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*26 (CptPort +uid 5407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,42625,46000,43375" +) +tg (CPTG +uid 5409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5410,0 +va (VaSet +) +xt "47000,42500,50200,43500" +st "BYTE_n" +blo "47000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*27 (CptPort +uid 5411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,36625,46000,37375" +) +tg (CPTG +uid 5413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5414,0 +va (VaSet +) +xt "47000,36500,48500,37500" +st "CE" +blo "47000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*28 (CptPort +uid 5415,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5416,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,34625,54750,35375" +) +tg (CPTG +uid 5417,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5418,0 +va (VaSet +) +xt "51400,34500,53000,35500" +st "DQ" +ju 2 +blo "53000,35300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*29 (CptPort +uid 5419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,40625,46000,41375" +) +tg (CPTG +uid 5421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5422,0 +va (VaSet +) +xt "47000,40500,49300,41500" +st "OE_n" +blo "47000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*30 (CptPort +uid 5423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,46625,46000,47375" +) +tg (CPTG +uid 5425,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5426,0 +va (VaSet +) +xt "47000,46500,49300,47500" +st "RP_n" +blo "47000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*31 (CptPort +uid 5427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 5429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5430,0 +va (VaSet +) +xt "51100,36500,53000,37500" +st "STS" +ju 2 +blo "53000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*32 (CptPort +uid 5431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,38625,46000,39375" +) +tg (CPTG +uid 5433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5434,0 +va (VaSet +) +xt "47000,38500,49400,39500" +st "WE_n" +blo "47000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5436,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,31000,54000,49000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 5437,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5438,0 +va (VaSet +font "courier,8,1" +) +xt "45800,49000,51300,50000" +st "memory_test" +blo "45800,49800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5439,0 +va (VaSet +font "courier,8,1" +) +xt "45800,50000,52600,51000" +st "flash_28F128J3A" +blo "45800,50800" +tm "CptNameMgr" +) +*35 (Text +uid 5440,0 +va (VaSet +font "courier,8,1" +) +xt "45800,51000,46800,52000" +st "I0" +blo "45800,51800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5441,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5442,0 +text (MLText +uid 5443,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52600,80500,60700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5009,0 +shape (OrthoPolyLine +uid 5010,0 +va (VaSet +vasetType 3 +) +xt "44000,47000,45250,57000" +pts [ +"45250,47000" +"44000,47000" +"44000,57000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5014,0 +va (VaSet +font "courier,12,0" +) +xt "40250,45600,43050,46900" +st "RP_n" +blo "40250,46600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5017,0 +shape (OrthoPolyLine +uid 5018,0 +va (VaSet +vasetType 3 +) +xt "40000,43000,45250,57000" +pts [ +"45250,43000" +"40000,43000" +"40000,57000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5021,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5022,0 +va (VaSet +font "courier,12,0" +) +xt "39250,41600,44650,43000" +st "BYTE_n" +blo "39250,42800" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5025,0 +shape (OrthoPolyLine +uid 5026,0 +va (VaSet +vasetType 3 +) +xt "38000,41000,45250,57000" +pts [ +"45250,41000" +"38000,41000" +"38000,57000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5030,0 +va (VaSet +font "courier,12,0" +) +xt "40250,39600,43050,40900" +st "OE_n" +blo "40250,40600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5033,0 +shape (OrthoPolyLine +uid 5034,0 +va (VaSet +vasetType 3 +) +xt "36000,39000,45250,57000" +pts [ +"45250,39000" +"36000,39000" +"36000,57000" +] +) +start &32 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5037,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5038,0 +va (VaSet +font "courier,12,0" +) +xt "39250,37600,42050,38900" +st "WE_n" +blo "39250,38600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5041,0 +shape (OrthoPolyLine +uid 5042,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,37000,45250,57000" +pts [ +"45250,37000" +"34000,37000" +"34000,57000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5046,0 +va (VaSet +font "courier,12,0" +) +xt "41250,35600,42650,36900" +st "CE" +blo "41250,36600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5049,0 +shape (OrthoPolyLine +uid 5050,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,35000,45250,57000" +pts [ +"45250,35000" +"32000,35000" +"32000,57000" +] +) +start &25 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5053,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5054,0 +va (VaSet +font "courier,12,0" +) +xt "42250,33600,42950,34900" +st "A" +blo "42250,34600" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5057,0 +shape (OrthoPolyLine +uid 5058,0 +va (VaSet +vasetType 3 +) +xt "54750,37000,58000,57000" +pts [ +"54750,37000" +"58000,37000" +"58000,57000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5062,0 +va (VaSet +font "courier,12,0" +) +xt "56750,35600,59850,37000" +st "STS" +blo "56750,36800" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5065,0 +shape (OrthoPolyLine +uid 5066,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,35000,60000,57000" +pts [ +"54750,35000" +"60000,35000" +"60000,57000" +] +) +start &28 +end &12 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5070,0 +va (VaSet +font "courier,12,0" +) +xt "56750,33600,58150,34900" +st "DQ" +blo "56750,34600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*46 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1370,1010" +viewArea "-8500,18100,93316,92593" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5521,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*72 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*74 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,18400,30200" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 54,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +) +uid 5071,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 5073,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +) +uid 5075,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +) +uid 5077,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +) +uid 5079,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +) +uid 5081,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +) +uid 5083,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +) +uid 5085,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5072,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5074,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5076,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5078,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5080,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5082,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5084,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5086,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash_tb/symbol.sb b/Libs/Memory_test/hds/flash_tb/symbol.sb new file mode 100644 index 0000000..526b626 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34750,23900" +st "flash_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,44000,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash_tester/interface b/Libs/Memory_test/hds/flash_tester/interface new file mode 100644 index 0000000..278989e --- /dev/null +++ b/Libs/Memory_test/hds/flash_tester/interface @@ -0,0 +1,1841 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +uid 458,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 460,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 462,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +uid 464,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 466,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 468,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 470,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 472,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 459,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 461,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 463,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 465,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 467,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 469,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 471,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 473,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 210,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 212,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 211,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 213,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 419,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 421,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,7500" +st "A" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,70500,3800" +st "A : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10000" +st "BYTE_n" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "BYTE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,8000" +st "CE" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,68500,5600" +st "CE : OUT std_ulogic_vector (2 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 433,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 434,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "52000,6000,53000,6900" +st "DQ" +blo "52000,6700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 437,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,72000,9200" +st "DQ : INOUT std_logic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 439,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 440,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 441,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9000" +st "OE_n" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 442,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59000,6500" +st "OE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 443,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 444,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 445,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 446,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,9000" +st "RP_n" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 447,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59000,7400" +st "RP_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 450,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 451,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "48550,7000,49450,8500" +st "STS" +ju 2 +blo "49250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 452,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "STS : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 453,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 454,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 455,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 456,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9000" +st "WE_n" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 457,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59000,8300" +st "WE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33750,9100,39750,10000" +st "memory_test" +blo "33750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33750,10000,40250,10900" +st "flash_tester" +blo "33750,10700" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,26500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,10,1016,690" +viewArea "-500,-500,71230,47920" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flash_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 473,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/struct.bd b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd new file mode 100644 index 0000000..c8d3137 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd @@ -0,0 +1,6053 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "sdramController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "sdram_mt48lc16m16a2" +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +mwi 0 +uid 5983,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "sdramController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +mwi 0 +uid 6647,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85500,98800,86500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "58750,85350,69250,86650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91500,72400,92500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85500,82000,86500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87500,72400,88500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87500,54800,88500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89500,54200,90500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,90400,88200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89500,75400,90500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91500,55400,92500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,67000,81000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,74900,8200,76300" +st "memory_test" +blo "-2400,76100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,76300,15800,77700" +st "sdramController_tester" +blo "-2400,77500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,77700,0,79100" +st "I1" +blo "-2400,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "-3000,79800,20000,81600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5566,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +declText (MLText +uid 5567,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramEn : std_ulogic" +) +) +*19 (Net +uid 5574,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +declText (MLText +uid 5575,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramDataValid : std_ulogic" +) +) +*20 (Net +uid 5582,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +declText (MLText +uid 5583,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramWr : std_ulogic" +) +) +*21 (Net +uid 5590,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +declText (MLText +uid 5591,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramRd : std_ulogic" +) +) +*22 (Net +uid 5598,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +declText (MLText +uid 5599,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 5606,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +declText (MLText +uid 5607,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 5614,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,141000,-5300" +st "SIGNAL ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 5907,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +declText (MLText +uid 5908,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133000,1100" +st "SIGNAL memAddress : std_ulogic_vector(rowAddressBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 5913,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +declText (MLText +uid 5914,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133500,1100" +st "SIGNAL memBankAddress : std_ulogic_vector(bankAddressBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*29 (SaComponent +uid 5983,0 +optionalChildren [ +*30 (CptPort +uid 5943,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5944,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,33625,57000,34375" +) +tg (CPTG +uid 5945,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5946,0 +va (VaSet +) +xt "58000,33500,59900,34500" +st "addr" +blo "58000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*31 (CptPort +uid 5947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5948,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,35625,57000,36375" +) +tg (CPTG +uid 5949,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5950,0 +va (VaSet +) +xt "58000,35500,59300,36500" +st "Ba" +blo "58000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*32 (CptPort +uid 5951,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5952,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,41625,57000,42375" +) +tg (CPTG +uid 5953,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5954,0 +va (VaSet +) +xt "58000,41500,60600,42500" +st "Cas_n" +blo "58000,42300" +) +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*33 (CptPort +uid 5955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5956,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,47625,57000,48375" +) +tg (CPTG +uid 5957,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5958,0 +va (VaSet +) +xt "58000,47500,59700,48500" +st "Cke" +blo "58000,48300" +) +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*34 (CptPort +uid 5959,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5960,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,49625,57000,50375" +) +tg (CPTG +uid 5961,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5962,0 +va (VaSet +) +xt "58000,49500,59500,50500" +st "Clk" +blo "58000,50300" +) +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*35 (CptPort +uid 5963,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5964,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,37625,57000,38375" +) +tg (CPTG +uid 5965,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5966,0 +va (VaSet +) +xt "58000,37500,60200,38500" +st "Cs_n" +blo "58000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*36 (CptPort +uid 5967,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,33625,65750,34375" +) +tg (CPTG +uid 5969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5970,0 +va (VaSet +) +xt "62500,33500,64000,34500" +st "Dq" +ju 2 +blo "64000,34300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*37 (CptPort +uid 5971,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,45625,57000,46375" +) +tg (CPTG +uid 5973,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5974,0 +va (VaSet +) +xt "58000,45500,60100,46500" +st "Dqm" +blo "58000,46300" +) +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*38 (CptPort +uid 5975,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,39625,57000,40375" +) +tg (CPTG +uid 5977,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5978,0 +va (VaSet +) +xt "58000,39500,60600,40500" +st "Ras_n" +blo "58000,40300" +) +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*39 (CptPort +uid 5979,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5980,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,43625,57000,44375" +) +tg (CPTG +uid 5981,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5982,0 +va (VaSet +) +xt "58000,43500,60400,44500" +st "WE_n" +blo "58000,44300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 5984,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,30000,65000,52000" +) +oxt "31000,7000,39000,29000" +ttg (MlTextGroup +uid 5985,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 5986,0 +va (VaSet +font "courier,8,1" +) +xt "57500,52000,63000,53000" +st "memory_test" +blo "57500,52800" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 5987,0 +va (VaSet +font "courier,8,1" +) +xt "57500,53000,66500,54000" +st "sdram_mt48lc16m16a2" +blo "57500,53800" +tm "CptNameMgr" +) +*42 (Text +uid 5988,0 +va (VaSet +font "courier,8,1" +) +xt "57500,54000,58500,55000" +st "I2" +blo "57500,54800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5989,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5990,0 +text (MLText +uid 5991,0 +va (VaSet +font "courier,8,0" +) +xt "66000,40400,99000,64700" +st "addr_bits = rowAddressBitNb ( integer ) +data_bits = dataBitNb ( integer ) +col_bits = colAddressBitNb ( integer ) +index = 0 ( integer ) +fname = \"U:\\ELN_board\\Simulation\\sdram.srec\" ( string ) +tAC = 6 ns ( time ) +tHZ = 7 ns ( time ) +tOH = 2.7 ns ( time ) +tMRD = 2 ( integer ) +tRAS = 44 ns ( time ) +tRC = 66 ns ( time ) +tRCD = 20 ns ( time ) +tRP = 20 ns ( time ) +tRRD = 15 ns ( time ) +tWRa = 7.5 ns ( time ) +tWRp = 15 ns ( time ) +tAH = 0.8 ns ( time ) +tAS = 1.5 ns ( time ) +tCH = 2.5 ns ( time ) +tCL = 2.5 ns ( time ) +tCK = 10 ns ( time ) +tDH = 0.8 ns ( time ) +tDS = 1.5 ns ( time ) +tCKH = 0.8 ns ( time ) +tCKS = 1.5 ns ( time ) +tCMH = 0.8 ns ( time ) +tCMS = 1.5 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*43 (Net +uid 5992,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +declText (MLText +uid 5993,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdClk : std_ulogic" +) +) +*44 (Net +uid 5998,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +declText (MLText +uid 5999,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCke : std_ulogic" +) +) +*45 (Net +uid 6004,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +declText (MLText +uid 6005,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,126000,1100" +st "SIGNAL sdDqm : std_ulogic_vector( 1 DOWNTO 0 )" +) +) +*46 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL memWr_n : std_ulogic" +) +) +*47 (Net +uid 6016,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +declText (MLText +uid 6017,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCas_n : std_ulogic" +) +) +*48 (Net +uid 6022,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +declText (MLText +uid 6023,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdRas_n : std_ulogic" +) +) +*49 (Net +uid 6028,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +declText (MLText +uid 6029,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCs_n : std_ulogic" +) +) +*50 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,129500,1100" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*51 (HdlText +uid 6058,0 +optionalChildren [ +*52 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,31000,89000,39000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "73200,31200,89200,35700" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when memWr_n = '0' + else (others => 'Z'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,30000,89000,40000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 6061,0 +va (VaSet +) +xt "73400,40000,74600,41000" +st "eb1" +blo "73400,40800" +tm "HdlTextNameMgr" +) +*54 (Text +uid 6062,0 +va (VaSet +) +xt "73400,41000,73800,42000" +st "1" +blo "73400,41800" +tm "HdlTextNumberMgr" +) +] +) +) +*55 (HdlText +uid 6138,0 +optionalChildren [ +*56 (EmbeddedText +uid 6143,0 +commentText (CommentText +uid 6144,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6145,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,62000,170000,86000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6146,0 +va (VaSet +font "courier,9,0" +) +xt "128200,62200,168200,79300" +st " +commandBus <= (sdCs_n, sdRas_n, sdCas_n, memWr_n, sdDqm(1), sdDqm(0)); + + ----------------------------------------------------------------------------- + -- debug information + commandDecode: process(commandBus) + begin + case commandBus is + when inhibit => commandString <= \"inhibit \"; + when nop => commandString <= \"nop \"; + when active => commandString <= \"active \"; + when read => commandString <= \"read \"; + when write => commandString <= \"write \"; + when burstTerminate => commandString <= \"burstTerminate \"; + when precharge => commandString <= \"precharge \"; + when autoRefresh => commandString <= \"autoRefresh \"; + when loadModeReg => commandString <= \"loadModeReg \"; + when others => commandString <= \"XXXXXXXXXXXXXXXX\"; + end case; + end process commandDecode; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 24000 +visibleWidth 42000 +) +) +) +] +shape (Rectangle +uid 6139,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,61000,170000,87000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6140,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 6141,0 +va (VaSet +) +xt "128200,87000,129800,88000" +st "eb2" +blo "128200,87800" +tm "HdlTextNameMgr" +) +*58 (Text +uid 6142,0 +va (VaSet +) +xt "128200,88000,129000,89000" +st "2" +blo "128200,88800" +tm "HdlTextNumberMgr" +) +] +) +) +*59 (Net +uid 6195,0 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +declText (MLText +uid 6196,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,117500,1100" +st "SIGNAL commandBus : commandBusType" +) +) +*60 (Net +uid 6207,0 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +declText (MLText +uid 6208,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,118000,1100" +st "SIGNAL commandString : string(1 TO 16)" +) +) +*61 (SaComponent +uid 6647,0 +optionalChildren [ +*62 (CptPort +uid 6563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,53625,25000,54375" +) +tg (CPTG +uid 6565,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6566,0 +va (VaSet +) +xt "26000,53500,28100,54500" +st "clock" +blo "26000,54300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*63 (CptPort +uid 6567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6568,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,39625,25000,40375" +) +tg (CPTG +uid 6569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6570,0 +va (VaSet +) +xt "26000,39500,31400,40500" +st "ramDataValid" +blo "26000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*64 (CptPort +uid 6571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,29625,25000,30375" +) +tg (CPTG +uid 6573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6574,0 +va (VaSet +) +xt "26000,29500,29300,30500" +st "ramAddr" +blo "26000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*65 (CptPort +uid 6575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,29625,41750,30375" +) +tg (CPTG +uid 6577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6578,0 +va (VaSet +) +xt "34800,29500,40000,30500" +st "memAddress" +ju 2 +blo "40000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*66 (CptPort +uid 6579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,33625,25000,34375" +) +tg (CPTG +uid 6581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6582,0 +va (VaSet +) +xt "26000,33500,30900,34500" +st "ramDataOut" +blo "26000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*67 (CptPort +uid 6583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6584,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,33625,41750,34375" +) +tg (CPTG +uid 6585,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6586,0 +va (VaSet +) +xt "35800,33500,40000,34500" +st "memDataIn" +ju 2 +blo "40000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*68 (CptPort +uid 6587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,35625,41750,36375" +) +tg (CPTG +uid 6589,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6590,0 +va (VaSet +) +xt "34800,35500,40000,36500" +st "memDataOut" +ju 2 +blo "40000,36300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*69 (CptPort +uid 6591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,43625,41750,44375" +) +tg (CPTG +uid 6593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6594,0 +va (VaSet +) +xt "36200,43500,40000,44500" +st "memWr_n" +ju 2 +blo "40000,44300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*70 (CptPort +uid 6595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,51625,25000,52375" +) +tg (CPTG +uid 6597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6598,0 +va (VaSet +) +xt "26000,51500,28600,52500" +st "ramEn" +blo "26000,52300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*71 (CptPort +uid 6599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,35625,25000,36375" +) +tg (CPTG +uid 6601,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6602,0 +va (VaSet +) +xt "26000,35500,28700,36500" +st "ramRd" +blo "26000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*72 (CptPort +uid 6603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,37625,25000,38375" +) +tg (CPTG +uid 6605,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6606,0 +va (VaSet +) +xt "26000,37500,28700,38500" +st "ramWr" +blo "26000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*73 (CptPort +uid 6607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,55625,25000,56375" +) +tg (CPTG +uid 6609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6610,0 +va (VaSet +) +xt "26000,55500,28100,56500" +st "reset" +blo "26000,56300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*74 (CptPort +uid 6611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,41625,41750,42375" +) +tg (CPTG +uid 6613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6614,0 +va (VaSet +) +xt "36600,41500,40000,42500" +st "sdCas_n" +ju 2 +blo "40000,42300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*75 (CptPort +uid 6615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,47625,41750,48375" +) +tg (CPTG +uid 6617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6618,0 +va (VaSet +) +xt "37500,47500,40000,48500" +st "sdCke" +ju 2 +blo "40000,48300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*76 (CptPort +uid 6619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,49625,41750,50375" +) +tg (CPTG +uid 6621,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6622,0 +va (VaSet +) +xt "37700,49500,40000,50500" +st "sdClk" +ju 2 +blo "40000,50300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*77 (CptPort +uid 6623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,37625,41750,38375" +) +tg (CPTG +uid 6625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6626,0 +va (VaSet +) +xt "37000,37500,40000,38500" +st "sdCs_n" +ju 2 +blo "40000,38300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*78 (CptPort +uid 6627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,45625,41750,46375" +) +tg (CPTG +uid 6629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6630,0 +va (VaSet +) +xt "37100,45500,40000,46500" +st "sdDqm" +ju 2 +blo "40000,46300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*79 (CptPort +uid 6631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,39625,41750,40375" +) +tg (CPTG +uid 6633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6634,0 +va (VaSet +) +xt "36600,39500,40000,40500" +st "sdRas_n" +ju 2 +blo "40000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*80 (CptPort +uid 6635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6636,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,31625,25000,32375" +) +tg (CPTG +uid 6637,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6638,0 +va (VaSet +) +xt "26000,31500,29900,32500" +st "ramDataIn" +blo "26000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*81 (CptPort +uid 6639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,31625,41750,32375" +) +tg (CPTG +uid 6641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6642,0 +va (VaSet +) +xt "33200,31500,40000,32500" +st "memBankAddress" +ju 2 +blo "40000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*82 (CptPort +uid 6643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,53625,41750,54375" +) +tg (CPTG +uid 6645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6646,0 +va (VaSet +) +xt "34500,53500,40000,54500" +st "selectRefresh" +ju 2 +blo "40000,54300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 6648,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,26000,41000,58000" +) +oxt "42000,2000,58000,34000" +ttg (MlTextGroup +uid 6649,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 6650,0 +va (VaSet +font "courier,8,1" +) +xt "25550,58500,28850,59500" +st "memory" +blo "25550,59300" +tm "BdLibraryNameMgr" +) +*84 (Text +uid 6651,0 +va (VaSet +font "courier,8,1" +) +xt "25550,59500,32450,60500" +st "sdramController" +blo "25550,60300" +tm "CptNameMgr" +) +*85 (Text +uid 6652,0 +va (VaSet +font "courier,8,1" +) +xt "25550,60500,26550,61500" +st "I0" +blo "25550,61300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6653,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6654,0 +text (MLText +uid 6655,0 +va (VaSet +font "courier,8,0" +) +xt "25000,62200,54000,65800" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = rowAddressBitNb ( positive ) +chipBankAddressBitNb = bankAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*86 (Net +uid 6656,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +declText (MLText +uid 6657,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL selectRefresh : std_ulogic" +) +) +*87 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "23000,56000,24250,67000" +pts [ +"24250,56000" +"23000,56000" +"23000,67000" +] +) +start &73 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "19250,54600,22750,55900" +st "reset" +blo "19250,55600" +tm "WireNameMgr" +) +) +on &16 +) +*88 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "21000,54000,24250,67000" +pts [ +"24250,54000" +"21000,54000" +"21000,67000" +] +) +start &62 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "19250,52600,23050,54000" +st "clock" +blo "19250,53800" +tm "WireNameMgr" +) +) +on &17 +) +*89 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "19000,52000,24250,67000" +pts [ +"24250,52000" +"19000,52000" +"19000,67000" +] +) +start &70 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "18250,50600,21750,51900" +st "ramEn" +blo "18250,51600" +tm "WireNameMgr" +) +) +on &18 +) +*90 (Wire +uid 5576,0 +shape (OrthoPolyLine +uid 5577,0 +va (VaSet +vasetType 3 +) +xt "15000,40000,24250,67000" +pts [ +"24250,40000" +"15000,40000" +"15000,67000" +] +) +start &63 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5581,0 +va (VaSet +font "courier,12,0" +) +xt "13250,38600,22350,39900" +st "ramDataValid" +blo "13250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*91 (Wire +uid 5584,0 +shape (OrthoPolyLine +uid 5585,0 +va (VaSet +vasetType 3 +) +xt "13000,38000,24250,67000" +pts [ +"24250,38000" +"13000,38000" +"13000,67000" +] +) +start &72 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5588,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5589,0 +va (VaSet +font "courier,12,0" +) +xt "18250,36600,21750,37900" +st "ramWr" +blo "18250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 5592,0 +shape (OrthoPolyLine +uid 5593,0 +va (VaSet +vasetType 3 +) +xt "11000,36000,24250,67000" +pts [ +"24250,36000" +"11000,36000" +"11000,67000" +] +) +start &71 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5597,0 +va (VaSet +font "courier,12,0" +) +xt "18250,34600,21750,35900" +st "ramRd" +blo "18250,35600" +tm "WireNameMgr" +) +) +on &21 +) +*93 (Wire +uid 5600,0 +shape (OrthoPolyLine +uid 5601,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,34000,24250,67000" +pts [ +"24250,34000" +"9000,34000" +"9000,67000" +] +) +start &66 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5605,0 +va (VaSet +font "courier,12,0" +) +xt "14250,32600,21950,33900" +st "ramDataOut" +blo "14250,33600" +tm "WireNameMgr" +) +) +on &22 +) +*94 (Wire +uid 5608,0 +shape (OrthoPolyLine +uid 5609,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "7000,32000,24250,67000" +pts [ +"24250,32000" +"7000,32000" +"7000,67000" +] +) +start &80 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5612,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5613,0 +va (VaSet +font "courier,12,0" +) +xt "15250,30600,21550,31900" +st "ramDataIn" +blo "15250,31600" +tm "WireNameMgr" +) +) +on &23 +) +*95 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,30000,24250,67000" +pts [ +"24250,30000" +"5000,30000" +"5000,67000" +] +) +start &64 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "17250,28600,22150,29900" +st "ramAddr" +blo "17250,29600" +tm "WireNameMgr" +) +) +on &24 +) +*96 (Wire +uid 5909,0 +shape (OrthoPolyLine +uid 5910,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,30000,56250,34000" +pts [ +"41750,30000" +"54000,30000" +"54000,34000" +"56250,34000" +] +) +start &65 +end &30 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5912,0 +va (VaSet +font "courier,12,0" +) +xt "43750,28600,51450,29900" +st "memAddress" +blo "43750,29600" +tm "WireNameMgr" +) +) +on &25 +) +*97 (Wire +uid 5915,0 +shape (OrthoPolyLine +uid 5916,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,32000,56250,36000" +pts [ +"41750,32000" +"53000,32000" +"53000,36000" +"56250,36000" +] +) +start &81 +end &31 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5918,0 +va (VaSet +font "courier,12,0" +) +xt "43000,30600,53500,31900" +st "memBankAddress" +blo "43000,31600" +tm "WireNameMgr" +) +) +on &26 +) +*98 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,34000,45000,34000" +pts [ +"41750,34000" +"45000,34000" +] +) +start &67 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "43750,32600,50050,33900" +st "memDataIn" +blo "43750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*99 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,36000,45000,36000" +pts [ +"41750,36000" +"45000,36000" +] +) +start &68 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "43750,34600,51450,35900" +st "memDataOut" +blo "43750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*100 (Wire +uid 5994,0 +shape (OrthoPolyLine +uid 5995,0 +va (VaSet +vasetType 3 +) +xt "41750,50000,56250,50000" +pts [ +"41750,50000" +"56250,50000" +] +) +start &76 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 5996,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5997,0 +va (VaSet +font "courier,12,0" +) +xt "43750,48600,47250,49900" +st "sdClk" +blo "43750,49600" +tm "WireNameMgr" +) +) +on &43 +) +*101 (Wire +uid 6000,0 +shape (OrthoPolyLine +uid 6001,0 +va (VaSet +vasetType 3 +) +xt "41750,48000,56250,48000" +pts [ +"41750,48000" +"56250,48000" +] +) +start &75 +end &33 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6003,0 +va (VaSet +font "courier,12,0" +) +xt "43750,46600,47250,47900" +st "sdCke" +blo "43750,47600" +tm "WireNameMgr" +) +) +on &44 +) +*102 (Wire +uid 6006,0 +shape (OrthoPolyLine +uid 6007,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,46000,56250,46000" +pts [ +"41750,46000" +"56250,46000" +] +) +start &78 +end &37 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6009,0 +va (VaSet +font "courier,12,0" +) +xt "43750,44600,47250,45900" +st "sdDqm" +blo "43750,45600" +tm "WireNameMgr" +) +) +on &45 +) +*103 (Wire +uid 6012,0 +shape (OrthoPolyLine +uid 6013,0 +va (VaSet +vasetType 3 +) +xt "41750,44000,56250,44000" +pts [ +"41750,44000" +"56250,44000" +] +) +start &69 +end &39 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6014,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6015,0 +va (VaSet +font "courier,12,0" +) +xt "43750,42600,48650,43900" +st "memWr_n" +blo "43750,43600" +tm "WireNameMgr" +) +) +on &46 +) +*104 (Wire +uid 6018,0 +shape (OrthoPolyLine +uid 6019,0 +va (VaSet +vasetType 3 +) +xt "41750,42000,56250,42000" +pts [ +"41750,42000" +"56250,42000" +] +) +start &74 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6020,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6021,0 +va (VaSet +font "courier,12,0" +) +xt "43750,40600,50050,42000" +st "sdCas_n" +blo "43750,41800" +tm "WireNameMgr" +) +) +on &47 +) +*105 (Wire +uid 6024,0 +shape (OrthoPolyLine +uid 6025,0 +va (VaSet +vasetType 3 +) +xt "41750,40000,56250,40000" +pts [ +"41750,40000" +"56250,40000" +] +) +start &79 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6026,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6027,0 +va (VaSet +font "courier,12,0" +) +xt "43750,38600,48650,39900" +st "sdRas_n" +blo "43750,39600" +tm "WireNameMgr" +) +) +on &48 +) +*106 (Wire +uid 6030,0 +shape (OrthoPolyLine +uid 6031,0 +va (VaSet +vasetType 3 +) +xt "41750,38000,56250,38000" +pts [ +"41750,38000" +"56250,38000" +] +) +start &77 +end &35 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6033,0 +va (VaSet +font "courier,12,0" +) +xt "43750,36600,47950,37900" +st "sdCs_n" +blo "43750,37600" +tm "WireNameMgr" +) +) +on &49 +) +*107 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,34000,73000,34000" +pts [ +"65750,34000" +"73000,34000" +] +) +start &36 +end &51 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "67750,32600,69150,33900" +st "DQ" +blo "67750,33600" +tm "WireNameMgr" +) +) +on &50 +) +*108 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "69000,36000,73000,36000" +pts [ +"69000,36000" +"73000,36000" +] +) +end &51 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "66000,34600,70900,35900" +st "memWr_n" +blo "66000,35600" +tm "WireNameMgr" +) +) +on &46 +) +*109 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,34000,93000,34000" +pts [ +"89000,34000" +"93000,34000" +] +) +start &51 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "91750,32600,98050,33900" +st "memDataIn" +blo "91750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*110 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,36000,93000,36000" +pts [ +"89000,36000" +"93000,36000" +] +) +start &51 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "91750,34600,99450,35900" +st "memDataOut" +blo "91750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*111 (Wire +uid 6147,0 +shape (OrthoPolyLine +uid 6148,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,72000,128000,72000" +pts [ +"112000,72000" +"128000,72000" +] +) +end &55 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6153,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6154,0 +va (VaSet +font "courier,12,0" +) +xt "113750,70600,117250,71900" +st "sdDqm" +blo "113750,71600" +tm "WireNameMgr" +) +) +on &45 +) +*112 (Wire +uid 6155,0 +shape (OrthoPolyLine +uid 6156,0 +va (VaSet +vasetType 3 +) +xt "112000,70000,128000,70000" +pts [ +"112000,70000" +"128000,70000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6162,0 +va (VaSet +font "courier,12,0" +) +xt "113750,68600,118650,69900" +st "memWr_n" +blo "113750,69600" +tm "WireNameMgr" +) +) +on &46 +) +*113 (Wire +uid 6163,0 +shape (OrthoPolyLine +uid 6164,0 +va (VaSet +vasetType 3 +) +xt "112000,68000,128000,68000" +pts [ +"112000,68000" +"128000,68000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6169,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6170,0 +va (VaSet +font "courier,12,0" +) +xt "113750,66600,120050,68000" +st "sdCas_n" +blo "113750,67800" +tm "WireNameMgr" +) +) +on &47 +) +*114 (Wire +uid 6171,0 +shape (OrthoPolyLine +uid 6172,0 +va (VaSet +vasetType 3 +) +xt "112000,66000,128000,66000" +pts [ +"112000,66000" +"128000,66000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6177,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6178,0 +va (VaSet +font "courier,12,0" +) +xt "113750,64600,118650,65900" +st "sdRas_n" +blo "113750,65600" +tm "WireNameMgr" +) +) +on &48 +) +*115 (Wire +uid 6179,0 +shape (OrthoPolyLine +uid 6180,0 +va (VaSet +vasetType 3 +) +xt "112000,64000,128000,64000" +pts [ +"112000,64000" +"128000,64000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6185,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6186,0 +va (VaSet +font "courier,12,0" +) +xt "113750,62600,117950,63900" +st "sdCs_n" +blo "113750,63600" +tm "WireNameMgr" +) +) +on &49 +) +*116 (Wire +uid 6187,0 +shape (OrthoPolyLine +uid 6188,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,76000,128000,76000" +pts [ +"112000,76000" +"128000,76000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6193,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6194,0 +va (VaSet +font "courier,12,0" +) +xt "113750,74600,121450,75900" +st "commandBus" +blo "113750,75600" +tm "WireNameMgr" +) +) +on &59 +) +*117 (Wire +uid 6199,0 +shape (OrthoPolyLine +uid 6200,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,78000,128000,78000" +pts [ +"112000,78000" +"128000,78000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6206,0 +va (VaSet +font "courier,12,0" +) +xt "114000,76600,123800,77900" +st "commandString" +blo "114000,77600" +tm "WireNameMgr" +) +) +on &60 +) +*118 (Wire +uid 6658,0 +shape (OrthoPolyLine +uid 6659,0 +va (VaSet +vasetType 3 +) +xt "41750,54000,45000,67000" +pts [ +"41750,54000" +"45000,54000" +"45000,67000" +] +) +start &82 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6662,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6663,0 +va (VaSet +font "courier,12,0" +) +xt "43750,52600,53850,54000" +st "selectRefresh" +blo "43750,53800" +tm "WireNameMgr" +) +) +on &86 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *119 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*121 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*123 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*124 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*125 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*126 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*127 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*128 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1372,964" +viewArea "-6900,19000,103116,94936" +cachedDiagramExtent "-7000,-6200,170000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6843,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*130 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*131 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*133 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*134 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*136 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*137 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*139 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*140 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*142 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*143 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*145 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*147 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*149 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "105000,19600,113600,20800" +st "Declarations" +blo "105000,20600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,109200,22000" +st "Ports:" +blo "105000,21800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "105000,20800,111000,22000" +st "Pre User:" +blo "105000,21800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "107000,22000,160400,39000" +st "constant colAddressBitNb: positive := 9; +constant rowAddressBitNb: positive := 12; +constant bankAddressBitNb: positive := 2; +constant addressBitNb: positive := colAddressBitNb + rowAddressBitNb + bankAddressBitNb; +constant dataBitNb: positive := 16; + +subtype commandBusType is std_ulogic_vector(5 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,116000,22000" +st "Diagram Signals:" +blo "105000,21800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,112300,22000" +st "Post User:" +blo "105000,21800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "107000,35200,107000,35200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 79,0 +usingSuid 1 +emptyRow *150 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*151 (RefLabelRowHdr +) +*152 (TitleRowHdr +) +*153 (FilterRowHdr +) +*154 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*155 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*156 (GroupColHdr +tm "GroupColHdrMgr" +) +*157 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*158 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*159 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*160 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*161 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*162 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +) +uid 5622,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +) +uid 5624,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +) +uid 5626,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +) +uid 5628,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +) +uid 5630,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +) +uid 5632,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +) +uid 5634,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +) +uid 5636,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +) +uid 5638,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +) +uid 5935,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +) +uid 5937,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +) +uid 5939,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +) +uid 5941,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +) +uid 6034,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +) +uid 6036,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +) +uid 6038,0 +) +*179 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +) +uid 6040,0 +) +*180 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +) +uid 6042,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +) +uid 6044,0 +) +*182 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +) +uid 6046,0 +) +*183 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +) +uid 6056,0 +) +*184 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +) +uid 6209,0 +) +*185 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +) +uid 6211,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +) +uid 6664,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*187 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *188 (MRCItem +litem &150 +pos 24 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*189 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 3326,0 +) +*190 (MRCItem +litem &152 +pos 1 +dimension 23 +uid 3327,0 +) +*191 (MRCItem +litem &153 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*192 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 5623,0 +) +*193 (MRCItem +litem &164 +pos 1 +dimension 20 +uid 5625,0 +) +*194 (MRCItem +litem &165 +pos 2 +dimension 20 +uid 5627,0 +) +*195 (MRCItem +litem &166 +pos 3 +dimension 20 +uid 5629,0 +) +*196 (MRCItem +litem &167 +pos 4 +dimension 20 +uid 5631,0 +) +*197 (MRCItem +litem &168 +pos 5 +dimension 20 +uid 5633,0 +) +*198 (MRCItem +litem &169 +pos 6 +dimension 20 +uid 5635,0 +) +*199 (MRCItem +litem &170 +pos 7 +dimension 20 +uid 5637,0 +) +*200 (MRCItem +litem &171 +pos 8 +dimension 20 +uid 5639,0 +) +*201 (MRCItem +litem &172 +pos 9 +dimension 20 +uid 5936,0 +) +*202 (MRCItem +litem &173 +pos 10 +dimension 20 +uid 5938,0 +) +*203 (MRCItem +litem &174 +pos 11 +dimension 20 +uid 5940,0 +) +*204 (MRCItem +litem &175 +pos 12 +dimension 20 +uid 5942,0 +) +*205 (MRCItem +litem &176 +pos 13 +dimension 20 +uid 6035,0 +) +*206 (MRCItem +litem &177 +pos 14 +dimension 20 +uid 6037,0 +) +*207 (MRCItem +litem &178 +pos 15 +dimension 20 +uid 6039,0 +) +*208 (MRCItem +litem &179 +pos 16 +dimension 20 +uid 6041,0 +) +*209 (MRCItem +litem &180 +pos 17 +dimension 20 +uid 6043,0 +) +*210 (MRCItem +litem &181 +pos 18 +dimension 20 +uid 6045,0 +) +*211 (MRCItem +litem &182 +pos 19 +dimension 20 +uid 6047,0 +) +*212 (MRCItem +litem &183 +pos 20 +dimension 20 +uid 6057,0 +) +*213 (MRCItem +litem &184 +pos 21 +dimension 20 +uid 6210,0 +) +*214 (MRCItem +litem &185 +pos 22 +dimension 20 +uid 6212,0 +) +*215 (MRCItem +litem &186 +pos 23 +dimension 20 +uid 6665,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*216 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 3330,0 +) +*217 (MRCItem +litem &156 +pos 1 +dimension 50 +uid 3331,0 +) +*218 (MRCItem +litem &157 +pos 2 +dimension 100 +uid 3332,0 +) +*219 (MRCItem +litem &158 +pos 3 +dimension 50 +uid 3333,0 +) +*220 (MRCItem +litem &159 +pos 4 +dimension 100 +uid 3334,0 +) +*221 (MRCItem +litem &160 +pos 5 +dimension 100 +uid 3335,0 +) +*222 (MRCItem +litem &161 +pos 6 +dimension 50 +uid 3336,0 +) +*223 (MRCItem +litem &162 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *224 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*225 (RefLabelRowHdr +) +*226 (TitleRowHdr +) +*227 (FilterRowHdr +) +*228 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*229 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*230 (GroupColHdr +tm "GroupColHdrMgr" +) +*231 (NameColHdr +tm "GenericNameColHdrMgr" +) +*232 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*233 (InitColHdr +tm "GenericValueColHdrMgr" +) +*234 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*235 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*236 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *237 (MRCItem +litem &224 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*238 (MRCItem +litem &225 +pos 0 +dimension 20 +uid 3354,0 +) +*239 (MRCItem +litem &226 +pos 1 +dimension 23 +uid 3355,0 +) +*240 (MRCItem +litem &227 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*241 (MRCItem +litem &228 +pos 0 +dimension 20 +uid 3358,0 +) +*242 (MRCItem +litem &230 +pos 1 +dimension 50 +uid 3359,0 +) +*243 (MRCItem +litem &231 +pos 2 +dimension 100 +uid 3360,0 +) +*244 (MRCItem +litem &232 +pos 3 +dimension 100 +uid 3361,0 +) +*245 (MRCItem +litem &233 +pos 4 +dimension 50 +uid 3362,0 +) +*246 (MRCItem +litem &234 +pos 5 +dimension 50 +uid 3363,0 +) +*247 (MRCItem +litem &235 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb new file mode 100644 index 0000000..cb1c853 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "sdramController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/sdram@controller_tester/interface b/Libs/Memory_test/hds/sdram@controller_tester/interface new file mode 100644 index 0000000..17c0ae7 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tester/interface @@ -0,0 +1,1971 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 57,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 652,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +uid 654,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +uid 656,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +uid 658,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +uid 660,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +uid 662,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +uid 664,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +uid 666,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +uid 668,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +uid 670,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 653,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 655,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 657,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 659,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 661,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 663,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 665,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 667,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 669,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 671,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 194,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 196,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 195,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 197,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 602,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 603,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 604,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 605,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,9500" +st "clock" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 606,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,62500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +) +*77 (CptPort +uid 607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 608,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 610,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10500" +st "ramAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 611,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "ramAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +) +*78 (CptPort +uid 612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 613,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 615,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11500" +st "ramDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77000,2900" +st "ramDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +) +*79 (CptPort +uid 617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 618,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 620,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,12500" +st "ramDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 621,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,77000,7400" +st "ramDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +) +*80 (CptPort +uid 622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 623,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 624,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 625,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,13500" +st "ramDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 626,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,62500,3800" +st "ramDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +) +*81 (CptPort +uid 627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 628,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 630,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,9500" +st "ramEn" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 631,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,62500,8300" +st "ramEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +) +*82 (CptPort +uid 632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 633,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 635,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "ramRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 636,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,62500,9200" +st "ramRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +) +*83 (CptPort +uid 637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 638,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 639,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 640,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "ramWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 641,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,62500,10100" +st "ramWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +) +*84 (CptPort +uid 642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 643,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 644,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 645,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "reset" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 646,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,61500,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +) +*85 (CptPort +uid 647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 648,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 650,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,14000" +st "selectRefresh" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 651,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,62500,4700" +st "selectRefresh : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,99000,14000" +) +oxt "15000,6000,96000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "51250,9100,57250,10000" +st "memory_test" +blo "51250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "51250,10000,62750,10900" +st "sdramController_tester" +blo "51250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,6000,46500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "sdramController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 671,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb new file mode 100644 index 0000000..961dc42 --- /dev/null +++ b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb @@ -0,0 +1,2448 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "std" +unitName "textio" +) +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "memory_test" +unitName "mti_pkg" +) +] +libraryRefs [ +"STD" +"ieee" +"memory_test" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 379,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +uid 381,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +uid 383,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +uid 385,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +uid 387,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 389,0 +) +*20 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +uid 391,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +uid 393,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 397,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 89,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 380,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 382,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 384,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 386,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 388,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 390,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 392,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 394,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addr_bits" +type "integer" +value "13" +) +uid 447,0 +) +*60 (LogGeneric +generic (GiElement +name "data_bits" +type "integer" +value "16" +) +uid 449,0 +) +*61 (LogGeneric +generic (GiElement +name "col_bits" +type "integer" +value "9" +) +uid 451,0 +) +*62 (LogGeneric +generic (GiElement +name "index" +type "integer" +value "0" +) +uid 453,0 +) +*63 (LogGeneric +generic (GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +uid 455,0 +) +*64 (LogGeneric +generic (GiElement +name "tAC" +type "time" +value "6 ns" +) +uid 457,0 +) +*65 (LogGeneric +generic (GiElement +name "tHZ" +type "time" +value "7 ns" +) +uid 459,0 +) +*66 (LogGeneric +generic (GiElement +name "tOH" +type "time" +value "2.7 ns" +) +uid 461,0 +) +*67 (LogGeneric +generic (GiElement +name "tMRD" +type "integer" +value "2" +) +uid 463,0 +) +*68 (LogGeneric +generic (GiElement +name "tRAS" +type "time" +value "44 ns" +) +uid 465,0 +) +*69 (LogGeneric +generic (GiElement +name "tRC" +type "time" +value "66 ns" +) +uid 467,0 +) +*70 (LogGeneric +generic (GiElement +name "tRCD" +type "time" +value "20 ns" +) +uid 469,0 +) +*71 (LogGeneric +generic (GiElement +name "tRP" +type "time" +value "20 ns" +) +uid 471,0 +) +*72 (LogGeneric +generic (GiElement +name "tRRD" +type "time" +value "15 ns" +) +uid 473,0 +) +*73 (LogGeneric +generic (GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +uid 475,0 +) +*74 (LogGeneric +generic (GiElement +name "tWRp" +type "time" +value "15 ns" +) +uid 477,0 +) +*75 (LogGeneric +generic (GiElement +name "tAH" +type "time" +value "0.8 ns" +) +uid 479,0 +) +*76 (LogGeneric +generic (GiElement +name "tAS" +type "time" +value "1.5 ns" +) +uid 481,0 +) +*77 (LogGeneric +generic (GiElement +name "tCH" +type "time" +value "2.5 ns" +) +uid 483,0 +) +*78 (LogGeneric +generic (GiElement +name "tCL" +type "time" +value "2.5 ns" +) +uid 485,0 +) +*79 (LogGeneric +generic (GiElement +name "tCK" +type "time" +value "10 ns" +) +uid 487,0 +) +*80 (LogGeneric +generic (GiElement +name "tDH" +type "time" +value "0.8 ns" +) +uid 489,0 +) +*81 (LogGeneric +generic (GiElement +name "tDS" +type "time" +value "1.5 ns" +) +uid 491,0 +) +*82 (LogGeneric +generic (GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +uid 493,0 +) +*83 (LogGeneric +generic (GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +uid 495,0 +) +*84 (LogGeneric +generic (GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +uid 497,0 +) +*85 (LogGeneric +generic (GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +uid 499,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*86 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *87 (MRCItem +litem &47 +pos 27 +dimension 20 +) +uid 117,0 +optionalChildren [ +*88 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 118,0 +) +*89 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 119,0 +) +*90 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*91 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 448,0 +) +*92 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 450,0 +) +*93 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 452,0 +) +*94 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 454,0 +) +*95 (MRCItem +litem &63 +pos 4 +dimension 20 +uid 456,0 +) +*96 (MRCItem +litem &64 +pos 5 +dimension 20 +uid 458,0 +) +*97 (MRCItem +litem &65 +pos 6 +dimension 20 +uid 460,0 +) +*98 (MRCItem +litem &66 +pos 7 +dimension 20 +uid 462,0 +) +*99 (MRCItem +litem &67 +pos 8 +dimension 20 +uid 464,0 +) +*100 (MRCItem +litem &68 +pos 9 +dimension 20 +uid 466,0 +) +*101 (MRCItem +litem &69 +pos 10 +dimension 20 +uid 468,0 +) +*102 (MRCItem +litem &70 +pos 11 +dimension 20 +uid 470,0 +) +*103 (MRCItem +litem &71 +pos 12 +dimension 20 +uid 472,0 +) +*104 (MRCItem +litem &72 +pos 13 +dimension 20 +uid 474,0 +) +*105 (MRCItem +litem &73 +pos 14 +dimension 20 +uid 476,0 +) +*106 (MRCItem +litem &74 +pos 15 +dimension 20 +uid 478,0 +) +*107 (MRCItem +litem &75 +pos 16 +dimension 20 +uid 480,0 +) +*108 (MRCItem +litem &76 +pos 17 +dimension 20 +uid 482,0 +) +*109 (MRCItem +litem &77 +pos 18 +dimension 20 +uid 484,0 +) +*110 (MRCItem +litem &78 +pos 19 +dimension 20 +uid 486,0 +) +*111 (MRCItem +litem &79 +pos 20 +dimension 20 +uid 488,0 +) +*112 (MRCItem +litem &80 +pos 21 +dimension 20 +uid 490,0 +) +*113 (MRCItem +litem &81 +pos 22 +dimension 20 +uid 492,0 +) +*114 (MRCItem +litem &82 +pos 23 +dimension 20 +uid 494,0 +) +*115 (MRCItem +litem &83 +pos 24 +dimension 20 +uid 496,0 +) +*116 (MRCItem +litem &84 +pos 25 +dimension 20 +uid 498,0 +) +*117 (MRCItem +litem &85 +pos 26 +dimension 20 +uid 500,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*118 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 122,0 +) +*119 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 123,0 +) +*120 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 124,0 +) +*121 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 125,0 +) +*122 (MRCItem +litem &56 +pos 4 +dimension 166 +uid 126,0 +) +*123 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 127,0 +) +*124 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*125 (SymbolBody +uid 8,0 +optionalChildren [ +*126 (CptPort +uid 324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,10625,31000,11375" +) +tg (CPTG +uid 326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 327,0 +va (VaSet +) +xt "32000,10500,33900,11500" +st "addr" +blo "32000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,22400,26000,23300" +st "addr : IN std_ulogic_vector ( addr_bits-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*127 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,12625,31000,13375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +) +xt "32000,12500,33300,13500" +st "Ba" +blo "32000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 333,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15200,25000,16100" +st "Ba : IN std_ulogic_vector (1 DOWNTO 0) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*128 (CptPort +uid 334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 335,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,18625,31000,19375" +) +tg (CPTG +uid 336,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 337,0 +va (VaSet +) +xt "32000,18500,34600,19500" +st "Cas_n" +blo "32000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 338,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,16100,10500,17000" +st "Cas_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*129 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,24625,31000,25375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +) +xt "32000,24500,33700,25500" +st "Cke" +blo "32000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17000,10500,17900" +st "Cke : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*130 (CptPort +uid 344,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 345,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,26625,31000,27375" +) +tg (CPTG +uid 346,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 347,0 +va (VaSet +) +xt "32000,26500,33500,27500" +st "Clk" +blo "32000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 348,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17900,10500,18800" +st "Clk : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*131 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,14625,31000,15375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +) +xt "32000,14500,34200,15500" +st "Cs_n" +blo "32000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,18800,10500,19700" +st "Cs_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*132 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,10625,39750,11375" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 357,0 +va (VaSet +) +xt "36500,10500,38000,11500" +st "Dq" +ju 2 +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,23300,24500,24200" +st "Dq : INOUT std_logic_vector ( data_bits-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*133 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,22625,31000,23375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +) +xt "32000,22500,34100,23500" +st "Dqm" +blo "32000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,19700,25000,20600" +st "Dqm : IN std_ulogic_vector ( 1 DOWNTO 0 ) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*134 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,16625,31000,17375" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 372,0 +va (VaSet +) +xt "32000,16500,34600,17500" +st "Ras_n" +blo "32000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 373,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,20600,10500,21500" +st "Ras_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*135 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,20625,31000,21375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +) +xt "32000,20500,34400,21500" +st "WE_n" +blo "32000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,21500,10500,22400" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,7000,39000,29000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29000,37500,29900" +st "Memory_test" +blo "31500,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29900,41500,30800" +st "sdram_mt48lc16m16a2" +blo "31500,30600" +) +) +gi *136 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,12800,56500,38900" +st "Generic Declarations + +addr_bits integer 13 +data_bits integer 16 +col_bits integer 9 +index integer 0 +fname string \"sdram.srec\" +tAC time 6 ns +tHZ time 7 ns +tOH time 2.7 ns +tMRD integer 2 +tRAS time 44 ns +tRC time 66 ns +tRCD time 20 ns +tRP time 20 ns +tRRD time 15 ns +tWRa time 7.5 ns +tWRp time 15 ns +tAH time 0.8 ns +tAS time 1.5 ns +tCH time 2.5 ns +tCL time 2.5 ns +tCK time 10 ns +tDH time 0.8 ns +tDS time 1.5 ns +tCKH time 0.8 ns +tCKS time 1.5 ns +tCMH time 0.8 ns +tCMS time 1.5 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "13" +) +(GiElement +name "data_bits" +type "integer" +value "16" +) +(GiElement +name "col_bits" +type "integer" +value "9" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*137 (Grouping +uid 16,0 +optionalChildren [ +*138 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*139 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*140 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*141 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*142 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*143 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*144 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*145 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*146 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*147 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *148 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*150 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,8000" +st "LIBRARY STD; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY memory_test; + USE memory_test.mti_pkg.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1376,905" +viewArea "-7100,-1100,68990,50630" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *151 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *152 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,13200,-600,14200" +st "Declarations" +blo "-6000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14200,-3300,15200" +st "Ports:" +blo "-6000,15000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,24200,-3500,25100" +st "User:" +blo "-6000,24900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,13200,-200,14200" +st "Internal User:" +blo "-6000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,25100,-4000,25100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,13200,-6000,13200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 661,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hdl/aluBOpSelector_RTL.vhd b/Libs/NanoBlaze/hdl/aluBOpSelector_RTL.vhd new file mode 100644 index 0000000..29ffaad --- /dev/null +++ b/Libs/NanoBlaze/hdl/aluBOpSelector_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF aluBOpSelector IS +BEGIN + + selectDataSource: process( + registerFileSel, registerFileIn, + scratchpadSel, spadIn, + portInSel, portIn, + instrDataSel, instrData + ) + begin + if registerFileSel = '1' then + opB <= registerFileIn; + elsif scratchpadSel = '1' then + opB <= spadIn; + elsif portInSel = '1' then + opB <= portIn; + elsif instrDataSel = '1' then + opB <= instrData; + else + opB <= (others => '-'); + end if; + end process selectDataSource; + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/alu_RTL.vhd b/Libs/NanoBlaze/hdl/alu_RTL.vhd new file mode 100644 index 0000000..4d4ac8c --- /dev/null +++ b/Libs/NanoBlaze/hdl/alu_RTL.vhd @@ -0,0 +1,113 @@ +ARCHITECTURE RTL OF alu IS + + signal aluCodeInt: unsigned(aluCode'range); + signal aArith: signed(opA'high+1 downto 0); + signal bArith: signed(opA'high+1 downto 0); + signal cInArith: signed(1 downto 0); + signal cInShift: std_ulogic; + signal yArith: signed(aluOut'high+1 downto 0); + signal aluOutInt: signed(aluOut'range); + +BEGIN + ------------------------------------------------------------------------------ + -- clear aluCode don't care LSB for shifts + aluCodeInt(aluCode'high downto 1) <= unsigned(aluCode(aluCode'high downto 1)); + + cleanupLsb: process(aluCode) + begin + if aluCode(aluCode'high) = '1' then + aluCodeInt(0) <= '0'; + else + aluCodeInt(0) <= aluCode(0); + end if; + end process cleanupLsb; + + ------------------------------------------------------------------------------ + -- values for arithmetic operations + aArith <= signed(resize(unsigned(opA), aArith'length)); + bArith <= signed(resize(unsigned(opB), bArith'length)); + cInArith <= (0 => cIn, others => '0'); + + process(aluCode, cIn, opA) + begin + case aluCode(2 downto 1) is + when "00" => cInShift <= cIn; + when "01" => cInShift <= opA(opA'high); + when "10" => cInShift <= opA(opA'low); + when "11" => cInShift <= aluCode(0); + when others => cInShift <= '-'; + end case; + end process; + + ------------------------------------------------------------------------------ + -- alu operations + aluOperation: process( + aluCodeInt, + opA, opB, + aArith, bArith, cInArith, + cInShift, + yArith, aluOutInt + ) + variable xorAcc: std_ulogic; + begin + yArith <= (others => '-'); + cOut <= '-'; + aluOutInt <= (others => '-'); + case to_integer(aluCodeInt) is + when 0 => -- LOAD sX, kk + aluOutInt <= opB; + when 2 => -- INPUT sX, pp + aluOutInt <= opB; + when 3 => -- FETCH sX, ss + aluOutInt <= opB; + when 5 => -- AND sX, kk + aluOutInt <= opA and opB; + cOut <= '0'; + when 6 => -- OR sX, kk + aluOutInt <= opA or opB; + cOut <= '0'; + when 7 => -- XOR sX, kk + aluOutInt <= opA xor opB; + cOut <= '0'; + when 9 => -- TEST sX, kk + aluOutInt <= opA and opB; + xorAcc := '0'; + for index in aluOutInt'range loop + xorAcc := xorAcc xor aluOutInt(index); + end loop; + cOut <= xorAcc; + when 10 => -- COMPARE sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 12 => -- ADD sX, kk + yArith <= aArith + bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 13 => -- ADDCY sX, kk + yArith <= (aArith + bArith) + cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 14 => -- SUB sX, kk + yArith <= aArith - bArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 15 => -- SUBCY sX, kk + yArith <= (aArith - bArith) - cInArith; + aluOutInt <= yArith(aluOut'range); + cOut <= yArith(yArith'high); + when 16 to 23 => -- SL sX + aluOutInt <= opA(opA'high-1 downto 0) & cInShift; + cOut <= opA(opA'high); + when 24 to 31 => -- SR sX + aluOutInt <= cInShift & opA(opA'high downto 1); + cOut <= opA(0); + when others => + aluOutInt <= (others => '-'); + end case; + end process aluOperation; + + aluOut <= aluOutInt; + zero <= '1' when aluOutInt = 0 else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/branchStack_RTL.vhd b/Libs/NanoBlaze/hdl/branchStack_RTL.vhd new file mode 100644 index 0000000..0a76765 --- /dev/null +++ b/Libs/NanoBlaze/hdl/branchStack_RTL.vhd @@ -0,0 +1,40 @@ +ARCHITECTURE RTL OF branchStack IS + + subtype progCounterType is unsigned(progCounter'range); + type progCounterArrayType is array (0 to 2**stackPointerBitNb) of progCounterType; + signal progCounterArray : progCounterArrayType; + + signal writePointer : unsigned(stackPointerBitNb-1 downto 0); + signal readPointer : unsigned(stackPointerBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- stack pointers + updateStackPointer: process(reset, clock) + begin + if reset = '1' then + writePointer <= (others => '0'); + elsif rising_edge(clock) then + if storePC = '1' then + writePointer <= writePointer + 1; + elsif prevPC = '1' then + writePointer <= writePointer - 1; + end if; + end if; + end process updateStackPointer; + + readPointer <= writePointer - 1; + + ------------------------------------------------------------------------------ + -- program counters stack + updateStack: process(reset, clock) + begin + if rising_edge(clock) then + if storePc = '1' then + progCounterArray(to_integer(writePointer)) <= progCounter; + end if; + storedProgCounter <= progCounterArray(to_integer(readPointer)); + end if; + end process updateStack; + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/controller_RTL.vhd b/Libs/NanoBlaze/hdl/controller_RTL.vhd new file mode 100644 index 0000000..fa8bc5c --- /dev/null +++ b/Libs/NanoBlaze/hdl/controller_RTL.vhd @@ -0,0 +1,236 @@ +ARCHITECTURE RTL OF controller IS + + signal en1, enInt: std_ulogic; + + constant opCodeLength : integer := 5; + subtype opCodeType is std_ulogic_vector(opCodeLength-1 downto 0); + constant opLoad : opCodeType := "00000"; + constant opInput : opCodeType := "00010"; + constant opFetch : opCodeType := "00011"; + constant opAnd : opCodeType := "00101"; + constant opOr : opCodeType := "00110"; + constant opXor : opCodeType := "00111"; + constant opTest : opCodeType := "01001"; + constant opComp : opCodeType := "01010"; + constant opAdd : opCodeType := "01100"; + constant opAddCy : opCodeType := "01101"; + constant opSub : opCodeType := "01110"; + constant opSubCy : opCodeType := "01111"; + constant opShRot : opCodeType := "10000"; + constant opRet : opCodeType := "10101"; + constant opOutput: opCodeType := "10110"; + constant opStore : opCodeType := "10111"; + constant opCall : opCodeType := "11000"; + constant opJump : opCodeType := "11010"; + constant opIntF : opCodeType := "11110"; + + constant branchConditionLength : integer := 3; + subtype branchConditionType is std_ulogic_vector(branchConditionLength-1 downto 0); + constant brAw : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + signal aluOpSel: std_ulogic; + signal regWriteEn: std_ulogic; + + signal flagsEn, flagsEnable: std_ulogic; + signal carrySaved: std_ulogic; + signal zeroSaved: std_ulogic; + + signal branchEnable1, branchEnable: std_ulogic; + signal discardOpCode: std_ulogic; + + signal updateIntFlag: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Enable signal + buildEnable: process(reset, clock) + begin + if reset = '1' then + en1 <= '0'; + elsif rising_edge(clock) then + en1 <= '1'; + end if; + end process buildEnable; + + enInt <= en1 and en; -- don't enable very first instruction twice + + ------------------------------------------------------------------------------ + -- ALU controls + selectdataSource: process(opCode) + begin + aluOpSel <= '0'; + portInSel <= '0'; + scratchpadSel <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opLoad => aluOpSel <= '1'; + when opInput => portInSel <= '1'; + when opFetch => scratchpadSel <= '1'; + when opAnd => aluOpSel <= '1'; + when opOr => aluOpSel <= '1'; + when opXor => aluOpSel <= '1'; + when opTest => aluOpSel <= '1'; + when opComp => aluOpSel <= '1'; + when opAdd => aluOpSel <= '1'; + when opAddCy => aluOpSel <= '1'; + when opSub => aluOpSel <= '1'; + when opSubCy => aluOpSel <= '1'; + when opShRot => aluOpSel <= '1'; + when others => aluOpSel <= '-'; + portInSel <= '-'; + scratchpadSel <= '-'; + end case; + end process selectdataSource; + + registerFileSel <= aluOpSel and twoRegInstr; + instrDataSel <= aluOpSel and (not twoRegInstr); + + regWriteEn <= enInt and (not discardOpCode); + + regWriteTable: process(opCode, regWriteEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opLoad => regWrite <= regWriteEn; + when opInput => regWrite <= regWriteEn; + when opFetch => regWrite <= regWriteEn; + when opAnd => regWrite <= regWriteEn; + when opOr => regWrite <= regWriteEn; + when opXor => regWrite <= regWriteEn; + when opAdd => regWrite <= regWriteEn; + when opAddCy => regWrite <= regWriteEn; + when opSub => regWrite <= regWriteEn; + when opSubCy => regWrite <= regWriteEn; + when opShRot => regWrite <= regWriteEn; + when others => regWrite <= '0'; + end case; + end process regWriteTable; + + ------------------------------------------------------------------------------ + -- I/O controls + readStrobe <= enInt when (opCode = opInput) and (discardOpCode = '0') + else '0'; + writeStrobe <= enInt when (opCode = opOutput) and (discardOpCode = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- scratchpad controls + scratchpadWrite <= '1' when opCode = opStore else '0'; + + ------------------------------------------------------------------------------ + -- Carry logic + flagsEn <= enInt and (not branchEnable); + + flagsEnableTable: process(opCode, flagsEn) + begin + case opCode(opCodeLength-1 downto 0) is + when opAnd => flagsEnable <= flagsEn; + when opOr => flagsEnable <= flagsEn; + when opXor => flagsEnable <= flagsEn; + when opTest => flagsEnable <= flagsEn; + when opComp => flagsEnable <= flagsEn; + when opAdd => flagsEnable <= flagsEn; + when opAddCy => flagsEnable <= flagsEn; + when opSub => flagsEnable <= flagsEn; + when opSubCy => flagsEnable <= flagsEn; + when opShRot => flagsEnable <= flagsEn; + when others => flagsEnable <= '0'; + end case; + end process flagsEnableTable; + + saveCarries: process(reset, clock) + begin + if reset = '1' then + carrySaved <= '0'; + zeroSaved <= '0'; + elsif rising_edge(clock) then + if flagsEnable = '1' then + carrySaved <= cOut; + zeroSaved <= zero; + end if; + end if; + end process saveCarries; + + cIn <= carrySaved; + + ------------------------------------------------------------------------------ + -- Program counter controls + checkBranchCondition: process(branchCond, zeroSaved, carrySaved) + begin + case branchCond(branchConditionLength-1 downto 0) is + when brAw => branchEnable1 <= '1'; + when brZ => branchEnable1 <= zeroSaved; + when brNZ => branchEnable1 <= not zeroSaved; + when brC => branchEnable1 <= carrySaved; + when brNC => branchEnable1 <= not carrySaved; + when others => branchEnable1 <= '-'; + end case; + end process checkBranchCondition; + + branchEnableTable: process(opCode, branchEnable1, discardOpCode) + begin + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => branchEnable <= branchEnable1; + when opCall => branchEnable <= branchEnable1; + when opJump => branchEnable <= branchEnable1; + when others => branchEnable <= '0'; + end case; + else + branchEnable <= '0'; + end if; + end process branchEnableTable; + + progCounterControlTable: process(opCode, enInt, branchEnable) + begin + incPC <= enInt; + loadInstrAddress <= '0'; + loadStoredPC <= '0'; + case opCode(opCodeLength-1 downto 0) is + when opRet => incPC <= not branchEnable; + loadStoredPC <= enInt and branchEnable; + when opCall => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when opJump => incPC <= not branchEnable; + loadInstrAddress <= enInt and branchEnable; + when others => null; + end case; + end process progCounterControlTable; + + -- If a branch condition is met, the next operation has to be discarded. + -- This is due to the synchronous operation of the program ROM: the + -- instructions are provided one clock period after the program counter. + -- so while the branch operation is processed, the next instruction is + -- already being fetched. + delayBranchEnable: process(reset, clock) + begin + if reset = '1' then + discardOpCode <= '0'; + elsif rising_edge(clock) then + discardOpCode <= branchEnable; + end if; + end process delayBranchEnable; + + ------------------------------------------------------------------------------ + -- Stack pointer controls + pcStackControlTable: process(discardOpCode, opCode, enInt) + begin + storePC <= '0'; + prevPC <= '0'; + if discardOpCode = '0' then + case opCode(opCodeLength-1 downto 0) is + when opRet => prevPC <= enInt; + when opCall => storePC <= enInt; + when others => null; + end case; + end if; + end process pcStackControlTable; + + + ------------------------------------------------------------------------------ + -- interrupt control + updateIntFlag <= '1' when opCode = opIntF else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/instructionDecoder_RTL.vhd b/Libs/NanoBlaze/hdl/instructionDecoder_RTL.vhd new file mode 100644 index 0000000..7c7203b --- /dev/null +++ b/Libs/NanoBlaze/hdl/instructionDecoder_RTL.vhd @@ -0,0 +1,56 @@ +ARCHITECTURE RTL OF instructionDecoder IS + + constant opCodeIndexH : integer := instruction'high; + constant opCodeIndexL : integer := opCodeIndexH - opCodeBitNb + 1; + + constant twoRegInstrIndex : integer := opCodeIndexL - 1; + constant ioAddrIndexed : integer := twoRegInstrIndex; + + constant addrAIndexH : integer := twoRegInstrIndex - 1; + constant addrAIndexL : integer := addrAIndexH - registerAddressBitNb + 1; + + constant immediateDataIndexH : integer := registerBitNb-1; + constant immediateDataIndexL : integer := 0; + constant addrBIndexH : integer := addrAIndexL - 1; + constant addrBIndexL : integer := addrBIndexH - registerAddressBitNb + 1; + + constant aluCodeIndexH : integer := opCodeIndexH; + constant aluCodeIndexL : integer := aluCodeIndexH - aluCodeBitNb + 1; + + constant portAddressH : integer := registerBitNb-1; + constant portAddressL : integer := portAddressH-portAddressBitNb+1; + constant spadAddressH : integer := registerBitNb-1; + constant spadAddressL : integer := spadAddressH-spadAddressBitNb+1; + + constant branchCondH : integer := opCodeIndexL-1; + constant branchCondL : integer := branchCondH-branchCondBitNb+1; + +BEGIN + ------------------------------------------------------------------------------ + -- ALU control + aluCode <= + instruction(aluCodeIndexH downto aluCodeIndexL) + when instruction(aluCodeIndexH) = '0' else + '1' & instruction(aluCodeBitNb-2 downto 0); + opCode <= instruction(opCodeIndexH downto opCodeIndexL); + twoRegInstr <= instruction(twoRegInstrIndex); + addrA <= unsigned(instruction(addrAIndexH downto addrAIndexL)); + addrB <= unsigned(instruction(addrBIndexH downto addrBIndexL)); + instrData <= signed(instruction(immediateDataIndexH downto immediateDataIndexL)); + + ------------------------------------------------------------------------------ + -- I/O control + portIndexedSel <= instruction(ioAddrIndexed); + portAddress <= unsigned(instruction(portAddressH downto portAddressL)); + + ------------------------------------------------------------------------------ + -- scratchpad control + spadIndexedSel <= instruction(ioAddrIndexed); + spadAddress <= unsigned(instruction(spadAddressH downto spadAddressL)); + + ------------------------------------------------------------------------------ + -- branch control + branchCond <= instruction(branchCondH downto branchCondL); + instrAddress <= unsigned(instruction(instrAddress'range)); + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/nanoAsm.pl b/Libs/NanoBlaze/hdl/nanoAsm.pl new file mode 100644 index 0000000..c80bcf7 --- /dev/null +++ b/Libs/NanoBlaze/hdl/nanoAsm.pl @@ -0,0 +1,565 @@ +#!/usr/bin/env perl + +my $indent = ' ' x 2; +my $separator = '-' x 80; + +################################################################################ +# Input arguments +# +use Getopt::Std; +my %opts; +getopts('hva:d:r:kz', \%opts); + +die("\n". + "Usage: $0 [options] fileSpec\n". + "\n". + "Options:\n". + "${indent}-h display this help message\n". + "${indent}-v verbose\n". + "${indent}-a bitNb the number of program address bits\n". + "${indent}-d bitNb the number of data bits\n". + "${indent}-r bitNb the number of register address bits\n". + "${indent}-k keep source comments in VHDL code\n". + "${indent}-z zero don't care bits in VHDL ROM code\n". + "\n". + "Assemble code to VHDL for the nanoBlaze processor.\n". + "\n". + "More information with: perldoc $0\n". + "\n". + "" + ) if ($opts{h}); + +my $verbose = $opts{v}; +my $keepComments = $opts{k}; +my $zeroDontCares = $opts{z}; +my $addressBitNb = $opts{a} || 10; +my $registerBitNb = $opts{d} || 8; +my $registerAddressBitNb = $opts{r} || 4; + +my $asmFileSpec = $ARGV[0] || 'nanoTest.asm'; +my $outFileSpec = $ARGV[1] || 'rom_mapped.vhd'; + +#------------------------------------------------------------------------------- +# System constants +# +my $binaryOpCodeLength = 6; +my $binaryBranchLength = 5; +my $binaryBranchConditionLength = 3; + +my $opCodeBaseLength = 10; +my $vhdlAddressLength = 14; + +#------------------------------------------------------------------------------- +# Derived values +# + # file specs +my $baseFileSpec = $asmFileSpec; +$baseFileSpec =~ s/\..*//i; +my $asm1FileSpec = "$baseFileSpec.asm1"; # formatted assembly code +my $asm2FileSpec = "$baseFileSpec.asm2"; # code with addresses replaced +my $vhdlFileSpec = "$baseFileSpec.vhd"; + # instruction length +my $binaryOperationInstructionLength = + $binaryOpCodeLength + + $registerAddressBitNb + + $registerBitNb; +my $binaryBranchInstructionLength = + $binaryBranchLength + + $binaryBranchConditionLength + + $addressBitNb; +my $binaryInstructionLength = $binaryOperationInstructionLength; +if ($binaryBranchInstructionLength > $binaryInstructionLength) { + $binaryInstructionLength = $binaryBranchInstructionLength +} + # assembler string lengths +my $registerCharNb = int( ($registerBitNb-1)/4 ) + 1; +my $addressCharNb = int( ($addressBitNb-1)/4 ) + 1; + # vhdl string lengths +my $vhdlOpCodeLength = $binaryOpCodeLength + 4; +my $opCodeTotalLength = 22 + $registerCharNb; +my $vhdlOperand1Length = $registerAddressBitNb + 3; +my $vhdlOperand2Length = $registerBitNb + 4; +if ($addressBitNb + 3 > $vhdlOperand2Length) { + $vhdlOperand2Length = $addressBitNb + 3 +} +my $vhdlTotalLength = $vhdlOpCodeLength; +$vhdlTotalLength = $vhdlTotalLength + $vhdlOperand1Length + $vhdlOperand2Length; +$vhdlTotalLength = $vhdlTotalLength + 2*2; # '& ' +$vhdlTotalLength = $vhdlTotalLength + 1; # ',' + +#------------------------------------------------------------------------------- +# System variables +# +my %constants = (); +my %addresses = (); + +################################################################################ +# Functions +# + +#------------------------------------------------------------------------------- +# Find constant from "CONSTANT" statement +# +sub findNewConstant { + my ($codeLine) = @_; + + $codeLine =~ s/CONSTANT\s+//; + my ($name, $value) = split(/,\s*/, $codeLine); + $value = hex($value); + + return ($name, $value); +} + +#------------------------------------------------------------------------------- +# Find address from "ADDRESS" statement +# +sub findNewAddress { + my ($codeLine) = @_; + + $codeLine =~ s/ADDRESS\s*//; + my $address = hex($codeLine); + + return $address; +} + +#------------------------------------------------------------------------------- +# Format opcodes +# +sub prettyPrint { + my ($codeLine) = @_; + + my ($opcode, $arguments) = split(/ /, $codeLine, 2); + $opcode = $opcode . ' ' x ($opCodeBaseLength - length($opcode)); + $arguments =~ s/,*\s+/, /; + $codeLine = $opcode . $arguments; + + return $codeLine; +} + +#------------------------------------------------------------------------------- +# Format to binary +# +sub toBinary { + my ($operand, $bitNb) = @_; + + #$operand = sprintf("%0${bitNb}b", $operand); + + my $hexCharNb = int($bitNb/4) + 1; + $operand = sprintf("%0${hexCharNb}X", $operand); + $operand =~ s/0/0000/g; + $operand =~ s/1/0001/g; + $operand =~ s/2/0010/g; + $operand =~ s/3/0011/g; + $operand =~ s/4/0100/g; + $operand =~ s/5/0101/g; + $operand =~ s/6/0110/g; + $operand =~ s/7/0111/g; + $operand =~ s/8/1000/g; + $operand =~ s/9/1001/g; + $operand =~ s/A/1010/g; + $operand =~ s/B/1011/g; + $operand =~ s/C/1100/g; + $operand =~ s/D/1101/g; + $operand =~ s/E/1110/g; + $operand =~ s/F/1111/g; + $operand = substr($operand, length($operand)-$bitNb, $bitNb); + + return $operand; +} + +################################################################################ +# Program start +# + +#------------------------------------------------------------------------------- +# Display information +# +if ($verbose > 0) { + print "$separator\n"; + print "Assembling $asmFileSpec to $vhdlFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# Calculate adresses, store address labels +# +if ($verbose > 0) { + print "${indent}Pass 1: from $asmFileSpec to $asm1FileSpec\n"; +} + +my $romAddress = 0; +open(asmFile, "<$asmFileSpec") or die "Unable to open file, $!"; +open(asm1File, ">$asm1FileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); + # split code and comment + my ($codeLine, $comment) = split(/;/, $line, 2); + # handle address label + if ($codeLine =~ m/:/) { + (my $label, $codeLine) = split(/:/, $codeLine); + $label =~ s/\s*//; + print asm1File "; _${label}_:\n"; + $addresses{$label} = sprintf("%0${addressCharNb}X", $romAddress); + } + # cleanup code + $codeLine =~ s/\s+/ /g; + $codeLine =~ s/\A\s//; + $codeLine =~ s/\s\Z//; + $codeLine =~ s/\s,/,/; + if ($codeLine) { + # handle ADDRESS declaration + if ($codeLine =~ m/ADDRESS/) { + $romAddress = findNewAddress($codeLine); + } + # handle CONSTANT declaration + elsif ($codeLine =~ m/CONSTANT/) { + ($name, $value) = findNewConstant($codeLine); + $constants{$name} = sprintf("%0${registerCharNb}X", $value); + } + # print cleaned-up code + else { + $codeLine = prettyPrint($codeLine); + print asm1File sprintf("%0${addressCharNb}X", $romAddress), ": $codeLine"; + if ($comment) { + print asm1File " ;$comment"; + } + print asm1File "\n"; + $romAddress = $romAddress + 1; + } + } + else { + print asm1File ";$comment\n"; + } +} +close(asmFile); +close(asm1File); + +#------------------------------------------------------------------------------- +# Replace constant values and address labels +# +if ($verbose > 0) { + print "${indent}Pass 2: from $asm1FileSpec to $asm2FileSpec\n"; +} + +open(asm2File, ">$asm2FileSpec") or die "Unable to open file, $!"; +open(asm1File, "<$asm1FileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); + # split code and comment + my ($opcode, $comment) = split(/;/, $line, 2); + if ( ($line =~ m/;/) and ($comment eq '') ) { + $comment = ' '; + } + # cleanup code + $opcode =~ s/\s+\Z//; + # replace constants + foreach my $name (keys %constants) { + $opcode =~ s/$name/$constants{$name}/g; + } + # replace addresses + foreach my $label (keys %addresses) { + $opcode =~ s/$label/$addresses{$label}/g; + } + # cleanup code + $opcode = uc($opcode); + $opcode =~ s/\sS([0-9A-F])/ s$1/g; + # print cleaned-up code + if ($comment) { + if ($opcode) { + $opcode = $opcode . ' ' x ($opCodeTotalLength - length($opcode)); + } + $comment =~ s/\s+\Z//; + print asm2File "$opcode;$comment\n"; + } + else { + print asm2File "$opcode\n"; + } +} +close(asm1File); +close(asm2File); + +#------------------------------------------------------------------------------- +# Write VHDL ROM code +# +if ($verbose > 0) { + print "${indent}Pass 3: from $asm2FileSpec to $vhdlFileSpec\n"; +} +open(vhdlFile, ">$vhdlFileSpec") or die "Unable to open file, $!"; +print vhdlFile < '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( +DONE +open(asm2File, "<$asm2FileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); + # split code and comment + my ($opcode, $comment) = split(/;/, $line, 2); + if ( ($line =~ m/;/) and ($comment eq '') ) { + $comment = ' '; + } + # addresses to VHDL + my $address; + if ($opcode) { + ($address, $opcode) = split(/:\s+/, $opcode, 2); + $address = '16#' . $address . '# =>'; + $address = ' ' x ($vhdlAddressLength - length($address)) . $address; + } + # opcode to VHDL + if ($opcode) { + if ($comment eq '') { + $comment = ' ' . $opcode; + } + else { + $comment = ' ' . $opcode . ';' . $comment; + } + # replace NOP + $opcode =~ s/\ANOP/LOAD s0, s0/; + # split opcodes and operands + $opcode =~ s/\s+/ /; + $opcode =~ s/\s+\Z//; + ($opcode, my $operand1, my $operand2) = split(/\s/, $opcode); + $operand1 =~ s/,//; + $operand1 =~ s/S/s/; + $operand2 =~ s/S/s/; + if ( ($opcode =~ m/\ASL/) or ($opcode =~ m/\ASR/) ) { + $operand2 = substr($opcode, 0, 3); + $opcode = 'SHIFT'; + } + if ( ($opcode =~ m/\ARL/) or ($opcode =~ m/\ARR/) ) { + $operand2 = substr($opcode, 0, 2); + $opcode = 'ROT'; + } + if ( ($opcode eq 'JUMP') or ($opcode eq 'CALL') or ($opcode eq 'RETURN') ) { + unless ($operand2) { + unless ($opcode eq 'RETURN') { + $operand2 = $operand1; + } + $operand1 = 'AW'; # AlWays + } + } + #........................................................................... + # opcodes to VHDL + $opcode =~ s/LOAD/opLoadC/; + $opcode =~ s/AND/opAndC/; + $opcode =~ s/XOR/opXorC/; + $opcode =~ s/ADDCY/opAddCyC/; + $opcode =~ s/SUBCY/opSubCyC/; + $opcode =~ s/ADD/opAddC/; + $opcode =~ s/SUB/opSubC/; + $opcode =~ s/SHIFT/opShRot/; + $opcode =~ s/ROT/opShRot/; + $opcode =~ s/COMPARE/opCompC/; + $opcode =~ s/TEST/opTestC/; + $opcode =~ s/FETCH/opFetchC/; + $opcode =~ s/STORE/opStoreC/; + $opcode =~ s/OR/opOrC/; + $opcode =~ s/INPUT/opInputC/; + $opcode =~ s/OUTPUT/opOutputC/; + $opcode =~ s/JUMP/brJump/; + $opcode =~ s/CALL/brCall/; + $opcode =~ s/RETURN/brRet/; + if ($operand2 =~ m/s[0-9A-F]/) { + $opcode =~ s/C\Z/R/; + } + $opcode = $opcode . ' ' x ($vhdlOpCodeLength - length($opcode)) . '& '; + #........................................................................... + # register as first operand + if ($operand1 =~ m/s[0-9A-F]/) { + $operand1 =~ s/\As//; + $operand1 = '"' . toBinary($operand1, $registerAddressBitNb) . '"'; + } + # test condition + $operand1 =~ s/NC/brNC/; + $operand1 =~ s/NZ/brNZ/; + $operand1 =~ s/\AC/brC/; + $operand1 =~ s/\AZ/brZ/; + $operand1 =~ s/AW/brDo/; + if ($opcode =~ m/brRet/) { + $operand2 = 0; + } + if ($operand2 eq '') { + $operand1 = $operand1 . ','; + } + $operand1 = $operand1 . ' ' x ($vhdlOperand1Length - length($operand1)); + unless ($operand2 eq '') { + $operand1 = $operand1 . '& '; + } +#print "|$opcode| |$operand1| |$operand2|\n"; + #........................................................................... + # register as second operand + $operand2 =~ s/\A\((.*)\)\Z/$1/; + if ($operand2 =~ m/s[0-9A-F]/) { + $operand2 =~ s/\As//; + $operand2 = toBinary($operand2, $registerAddressBitNb); + if ($registerBitNb > $registerAddressBitNb) { + $operand2 = $operand2 . '-' x ($registerBitNb - $registerAddressBitNb); + if ($zeroDontCares) { + $operand2 =~ s/\-/0/g; + } + } + } + # address as second operand + elsif ($opcode =~ m/\Abr/) { + my $fill = ''; + if ($binaryBranchInstructionLength < $binaryInstructionLength) { + $fill = '-' x ($binaryInstructionLength - $binaryBranchInstructionLength); + if ($zeroDontCares) { + $fill =~ s/\-/0/g; + } + } + if ( ($opcode =~ m/Ret/) ) { + $operand2 = $fill . '-' x $addressBitNb; + } + else { + $operand2 = $fill . toBinary(hex($operand2), $addressBitNb); + } + } + # shift and rotate operators + elsif ($opcode =~ m/opShRot/) { + $operand2 =~ s/SL0/shRotL & shRotLd0/; + $operand2 =~ s/SL1/shRotL & shRotLd1/; + $operand2 =~ s/SLX/shRotL & shRotLdL/; + $operand2 =~ s/SLA/shRotL & shRotLdC/; + $operand2 =~ s/SR0/shRotR & shRotLd0/; + $operand2 =~ s/SR1/shRotR & shRotLd1/; + $operand2 =~ s/SRX/shRotR & shRotLdM/; + $operand2 =~ s/SRA/shRotR & shRotLdC/; + $operand2 =~ s/RL/shRotL & shRotLdH/; + $operand2 =~ s/RR/shRotR & shRotLdL/; + } + # constant as second operand + else { + $operand2 = toBinary(hex($operand2), $registerBitNb); + if ($registerAddressBitNb > $registerBitNb) { + $operand2 = '-' x ($registerAddressBitNb - $registerBitNb) . $operand2; + } + } + unless ($opcode =~ m/opShRot/) { + $operand2 = '"' . $operand2 . '"'; + } + # add separator at end + if ($operand2) { + $operand2 = $operand2 . ','; + } + #........................................................................... + # concatenate opcode and operands + $opcode = $opcode . $operand1 . $operand2; + } + else { + $address = ' ' x $vhdlAddressLength; + } + # print VHDL code + if ($keepComments == 0) { + if ($opcode) { + print vhdlFile "$address $opcode\n"; + } + } + else { + $opcode = $opcode . ' ' x ($vhdlTotalLength - length($opcode)); + if ($comment) { + $comment =~ s/\s+\Z//; + print vhdlFile "$address $opcode--$comment\n"; + } + else { + print vhdlFile "$address $opcode\n"; + } + } +} +close(asm2File); +print vhdlFile < (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; +DONE +close(vhdlFile); + +#------------------------------------------------------------------------------- +# Delete original file and copy VHDL file +# +if ($verbose > 0) { + print "Copying $vhdlFileSpec to $outFileSpec\n"; +} + +use File::Copy; +unlink($outFileSpec); +copy($vhdlFileSpec, $outFileSpec) or die "File cannot be copied."; +#rename($vhdlFileSpec, $outFileSpec); + +if ($verbose > 0) { + print "$separator\n"; +} diff --git a/Libs/NanoBlaze/hdl/nanoPascal.pl b/Libs/NanoBlaze/hdl/nanoPascal.pl new file mode 100644 index 0000000..833836a --- /dev/null +++ b/Libs/NanoBlaze/hdl/nanoPascal.pl @@ -0,0 +1,1198 @@ +#!/usr/bin/perl + +my $indent = ' ' x 2; +my $separator = '-' x 80; + +################################################################################ +# Input arguments +# +use Getopt::Std; +my %opts; +getopts('hva:d:r:kc', \%opts); + +die("\n". + "Usage: $0 [options] fileSpec\n". + "\n". + "Options:\n". + "${indent}-h display this help message\n". + "${indent}-v verbose\n". + "${indent}-a bitNb the number of program address bits\n". + "${indent}-d bitNb the number of data bits\n". + "${indent}-r bitNb the number of register address bits\n". + "${indent}-k keep intermediate files\n". + "${indent}-c clean temporary work files\n". + "\n". + "Compiles a Pascal program to assembler code for the nanoBlaze processor.\n". + "\n". + "More information with: perldoc $0\n". + "\n". + "" + ) if ($opts{h}); + +my $verbose = $opts{v}; +my $keepIntermediateFiles= $opts{k}; +my $cleanTempFiles = $opts{c}; +my $addressBitNb = $opts{a} || 10; +my $registerBitNb = $opts{d} || 8; +my $registerAddressBitNb = $opts{r} || 4; + +my $pascalFileSpec = $ARGV[0] || 'nanoTest.pas'; +my $asmFileSpec = $ARGV[1] || 'nanoTest.asm'; + +#------------------------------------------------------------------------------- +# System constants +# +my $mainProgram = 'mainProgram'; +my $wordHexCharNb = 4; +my $firstRegister = 2; # reserve 2 registers for internal calculations +my $functionReturnRegister = 's0'; +my $conditionRegister = 's1'; +my $memoryAccessRegister = 's1'; +my $partialOperationRegister = 's1'; + +#------------------------------------------------------------------------------- +# Derived values +# + # file specs +my $baseFileSpec = $pascalFileSpec; +$baseFileSpec =~ s/\..*//i; +my $temp1FileSpec = "$baseFileSpec.tmp1"; +my $temp2FileSpec = "$baseFileSpec.tmp2"; +my $registersFileSpec = "${baseFileSpec}_registers.txt"; # register assignments +my $asm1FileSpec = "$baseFileSpec.asm1"; + +#------------------------------------------------------------------------------- +# Assembler file formatting constants +# +my $asmFirstIndent = ' ' x 24; +my $asmLineLength = 80; +my $commentStart = $asmFirstIndent . ';'; +my $separator1 = fillString($commentStart, '=', $asmLineLength); +my $separator2 = fillString($commentStart, '-', $asmLineLength); +my $opcodeLength = 10; +my $firstArgumentLength = 6; +my $constantMaxLength = 8; + +#------------------------------------------------------------------------------- +# System variables +# +my $currentPass = 0; +my %constants = (); +my %variables = (); +my %registers = (); +my @routines = (); + +################################################################################ +# Functions +# + +#------------------------------------------------------------------------------- +# Swap temporary filespecs from one pass to the other +# +sub swapTempFileSpecs { + my ($inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec) = @_; + # swap to tmp1 -> tmp2 + if ($outputFileSpec eq $temp1FileSpec) { + $inputFileSpec = $temp1FileSpec; + $outputFileSpec = $temp2FileSpec; + } + # swap to tmp2 -> tmp2 + else { + $inputFileSpec = $temp2FileSpec; + $outputFileSpec = $temp1FileSpec; + } + + return ($inputFileSpec, $outputFileSpec); +} + +#------------------------------------------------------------------------------- +# Fill string to a fixed length with a given character +# +sub fillString { + my ($string, $character, $length) = @_; + # fill string + $string .= $character x ($length - length($string)); + + return ($string); +} + +#------------------------------------------------------------------------------- +# Assign registers to all variables +# +sub buildConstants { + my ($mainProgram, %constants) = @_; + # loop on routines + foreach my $subroutine (keys(%constants)) { +#print "$subroutine:\n"; + # build array + $constants{$subroutine} =~ s/\s*\;\Z//; + $constants{$subroutine} =~ s/\s*=\s*/=/g; + my @procedureConstants = split(/\;/, $constants{$subroutine}); + # build hash + my %procedureConstants; + for my $index (0 .. $#procedureConstants) { + my ($name, $value) = split(/\=/, $procedureConstants[$index]); +#print "$name: $value\n"; + $value =~ s/\$([0-9A-Fa-f]+)/0x$1/g; + foreach my $alreadyDeclared (keys(%procedureConstants)) { + $value =~ s/$alreadyDeclared/($procedureConstants{$alreadyDeclared})/g; + } + $value = eval($value); + $procedureConstants{$name} = $value; +#print " $name = $procedureConstants{$name}\n"; + } + $constants{$subroutine} = \%procedureConstants; + } + # convert to hexadecimal + foreach my $subroutine (keys(%constants)) { + my $replacement_ref = $constants{$subroutine}; + foreach my $name (keys(%$replacement_ref)) { + my $value = $$replacement_ref{$name}; + $value = '$' . sprintf('%X', $value); + $$replacement_ref{$name} = $value; + } + } + foreach my $subroutine (keys(%constants)) { + $line =~ s/$name/$$replacement_ref{$name}/g; + } + + return (%constants); +} + +#------------------------------------------------------------------------------- +# Assign registers to variables within a routine +# +sub assignRegistersToRoutine { + my ($startIndex, $variables) = @_; + # build array + $variables =~ s/\;\Z//; + my @variables = split(/\;/, $variables); + # loop on variables + my $registerIndex = $startIndex; + for my $index (0 .. $#variables) { + $variables[$index] =~ s/word/s$registerIndex/; + $variables[$index] =~ s/uint8/s$registerIndex/; + $registerIndex = $registerIndex + 1; +#print " $variables[$index]\n"; + } + # assign registers to main program + + return ($registerIndex-1, join(';', @variables)); +} + +#------------------------------------------------------------------------------- +# Assign registers to all variables +# +sub assignRegisters { + my ($mainProgram, $firstRegister, %variables) = @_; + # loop on routines + my $registerMaxNb = 0; + foreach my $subroutine (keys(%variables)) { + # remove spaces + $variables{$subroutine} =~ s/\s*\:\s*/:/g; + $variables{$subroutine} =~ s/\s*\,\s*/,/g; + # distribute type definitions + my $type; + do { + $variables{$subroutine} =~ s/\,(.*?)\:(.*?)\;/:$2;$1:$2;/; + $type = $2; + } while ($type ne ''); + # assign registers to routine internal variables + if ($subroutine ne $mainProgram) { +#print "$subroutine:\n"; + my ($registerNb, $routineVariables) = assignRegistersToRoutine( + $firstRegister, + $variables{$subroutine} + ); + if ($registerNb > $registerMaxNb) { + $registerMaxNb = $registerNb; + } + $variables{$subroutine} = $routineVariables; +#print " $variables{$subroutine}\n"; + } + } + # assign registers to main program +#print "$mainProgram:\n"; + my ($registerNb, $routineVariables) = assignRegistersToRoutine( + $registerMaxNb + 1, + $variables{$mainProgram} + ); + $variables{$mainProgram} = $routineVariables; +#print " $variables{$mainProgram}\n"; + # build hash of hashes + foreach my $subroutine (keys(%variables)) { + my @registers = split(/\;/, $variables{$subroutine}); + my %assignedRegisters; + foreach my $variable (@registers) { + my ($var, $register) = split(/\:/, $variable); + $assignedRegisters{$var} = $register; + } + $variables{$subroutine} = \%assignedRegisters; + } + return (%variables); +} + +#------------------------------------------------------------------------------- +# Translate Pascal second operand to assembler source operand if possible +# +sub translateArgument { + my ($pascalOperand, $wordHexCharNb) = @_; + my $assemblerOperand = ''; + # register + if ($pascalOperand =~ m/\As(\d+)\Z/) { + $assemblerOperand = "s$1"; + } + # decimal numeric constant + elsif ($pascalOperand =~ m/\A(\d+)\Z/) { + $assemblerOperand = sprintf("%0${wordHexCharNb}X", $pascalOperand); + } + # hexadecimal numeric constant + elsif ($pascalOperand =~ m/\A\$([0-9A-Fa-f]+)\Z/) { + $assemblerOperand = sprintf("%0${wordHexCharNb}X", hex($1)); + } + # declared constant + else { + foreach my $routine (keys(%constants)) { + my $constants_ref = $constants{$routine}; + foreach my $constant (sort(keys(%$constants_ref))) { + if ($pascalOperand eq $constant) { + $assemblerOperand = $pascalOperand; + } + } + } + } + + return ($assemblerOperand); +} + +#------------------------------------------------------------------------------- +# Format assignment in ters of space characters +# +sub formatAssignment { + my ($assignment) = @_; + # unary operators + $assignment =~ s/\A\s*\-\s*/0 - /g; + # arithmetic operations + $assignment =~ s/\s*\+\s*/ + /g; + $assignment =~ s/\s*\-\s*/ - /g; + $assignment =~ s/\s*\*\s*/ * /g; + $assignment =~ s/\s*\/\s*/ \/ /g; + # logic operations + $assignment =~ s/\s+and\s+/ and /ig; + $assignment =~ s/\s+or\s+/ or /ig; + $assignment =~ s/\s+xor\s+/ xor /ig; + $assignment =~ s/\s+shl\s+/ shl /ig; + $assignment =~ s/\s+shr\s+/ shr /ig; + # parenthesis + $assignment =~ s/\(\s+/(/g; + $assignment =~ s/\s+\)/)/g; + $assignment =~ s/\s*\[\s+/[/g; + $assignment =~ s/\s+\]/]/g; + + return ($assignment); +} + +#------------------------------------------------------------------------------- +# Extract first argument of an assignment +# +sub extractFirsrtArgument { + my ($assignment) = @_; + my $operator = ''; + my $restOfAssignment = ''; + # starts with parenthesis + if ($assignment =~ m/\A\(/) { + my $index = 0; + my $level = 0; + my @characters = split(//, $assignment); + foreach my $character (@characters) { + if ($character eq '(') {$level = $level+1}; + if ($character eq '[') {$level = $level+1}; + if ($character eq ']') {$level = $level-1}; + if ($character eq ')') {$level = $level-1}; + if ($level == 0) { + last; + } + $index = $index+1; + } + $firstArgument = substr($assignment, 0, $index+1); + $restOfAssignment = substr($assignment, $index+1); + } + # to first whitespace + else { + my $index = 0; + my $level = 0; + my @characters = split(//, $assignment); + foreach my $character (@characters) { + if ($character eq '(') {$level = $level+1}; + if ($character eq '[') {$level = $level+1}; + if ($character eq ']') {$level = $level-1}; + if ($character eq ')') {$level = $level-1}; + if ( ($character eq ' ') and ($level == 0) ) { + last; + } + $index = $index+1; + } + $firstArgument = substr($assignment, 0, $index); + $restOfAssignment = substr($assignment, $index); + } + $restOfAssignment =~ s/\A //; +#print "|$firstArgument|$restOfAssignment|\n"; + # extract operator + if ($restOfAssignment ne '') { + ($operator, $restOfAssignment) = split(/ /, $restOfAssignment, 2); + } + + return ($firstArgument, $operator, $restOfAssignment); +} + +#------------------------------------------------------------------------------- +# Build expression out of argument and operator list +# +sub buildExpression { + my ($arguments_ref, $operators_ref) = @_; + my @arguments = @$arguments_ref; + my @operators = @$operators_ref; + # loop on list elements + my $expression = $arguments[0]; +#print "0: $expression\n"; + for my $index (1 .. $#arguments) { +#print "$index: $operators[$index] $arguments[$index]\n"; + $expression .= " $operators[$index] $arguments[$index]"; + } + return ($expression); +} + +#------------------------------------------------------------------------------- +# Expand operation to 2 lines +# +sub expandTwo { + my ($destinationRegister, $arguments_ref, $operators_ref) = @_; + my @arguments = @$arguments_ref; + my @operators = @$operators_ref; + my $line = ''; +#print " -> "; +#for my $index (0..scalar(@arguments)-1) { print "$operators[$index] $arguments[$index] ";} +#print "\n"; + # last argument is constant + my $lastArgument = $arguments[$#arguments]; + my $lastOperator = $operators[$#operators]; + my $isDeclaredConstant = 0; + foreach my $routine (keys(%constants)) { + my $constants_ref = $constants{$routine}; + foreach my $constant (sort(keys(%$constants_ref))) { + if ($lastArgument eq $constant) { + $isDeclaredConstant = 1; + } + } + } + if ( + ($lastArgument =~ m/\A\d+\Z/) or + ($lastArgument =~ m/\A\$[0-9A-Fa-f]+\Z/) or + ($isDeclaredConstant) + ) { + pop(@arguments); + pop(@operators); + my $firstArgument = buildExpression(\@arguments, \@operators); + if ($destinationRegister ne $firstArgument) { + $line = "$destinationRegister := $firstArgument;\n"; + } + $line .= "$destinationRegister := $destinationRegister $lastOperator $lastArgument;"; +#print "$line\n"; + } + # last argument is compund + elsif ($lastArgument =~ m/\A\((.+)\)\Z/) { + my $firstArgument = $1; + pop(@arguments); + pop(@operators); + $lastArgument = buildExpression(\@arguments, \@operators); + if ($firstArgument =~ m/ $destinationRegister /) { + $line = "$partialOperationRegister := $firstArgument;\n"; + $line .= "$destinationRegister := $destinationRegister $lastOperator $partialOperationRegister;"; + } + else { + $line = "$destinationRegister := $firstArgument;\n"; + $line .= "$destinationRegister := $destinationRegister $lastOperator $lastArgument;"; + } +#print "$line\n"; + } + + return ($line); +} + +#------------------------------------------------------------------------------- +# Expand assignment to multiple lines +# +sub expandAssignment { + my ($destinationRegister, $assignment) = @_; + # format assignment for treatment + $assignment = formatAssignment($assignment); + # default result + my $line = "$destinationRegister := $assignment;"; +#print "\n$line\n"; + # don't modify simple assignments and function calls + my $source = translateArgument($assignment, $wordHexCharNb); + my @arguments = (); + my @operators = (''); + if ( ($source eq '') and ($assignment !~ m/\Acall\s/) ){ + # analyse assignment +#print "\n $destinationRegister := $assignment\n"; + my $done = 0; + do { + my ($firstArgument, $operator, $restOfAssignment) = extractFirsrtArgument($assignment); +#print " $firstArgument $operator $restOfAssignment\n"; + if ($operator eq '') { + push(@arguments, $firstArgument); + $done = 1; + } + else { + push(@arguments, $firstArgument); + push(@operators, $operator); + $assignment = $restOfAssignment; + } + } until $done == 1; +#print " -> " . join(', ', @arguments) . "\n"; + # expand to 2 lines + my $newLine = expandTwo($destinationRegister, \@arguments, \@operators); + # modify code line + if ($newLine ne '') { + $line = $newLine; + } + } + + return ($line); +} + +################################################################################ +# Program start +# + +#------------------------------------------------------------------------------- +# Display information +# +if ($verbose > 0) { + print "$separator\n"; + print "Compiling $pascalFileSpec to $asmFileSpec\n"; +} + +# ============================================================================== +# Rewrite file for easier parsing +# +$currentPass = $currentPass + 1; +my $inputFileSpec = $pascalFileSpec; +my $outputFileSpec = $temp1FileSpec; +if ($verbose > 0) { + print "${indent}Pass $currentPass: placing line ends\n"; +} + # read input file +my $singleLine = ''; +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # remove leading and trailing spaces + $line =~ s/\A\s+//; + $line =~ s/\s+\Z//; + # remove single line comments + $line =~ s/\{.*?\}//g; + # write to single line string + if ($line ne '') { + $singleLine .= "$line "; + } +} +close(inputFile); + # remove extra spaces +$singleLine =~ s/\s+/ /g; +$singleLine =~ s/\s\Z//; + # split constructs into multiple lines +$singleLine =~ s/\s*;\s*/;\n/g; +$singleLine =~ s/\sconst\s/\nconst\n/g; +$singleLine =~ s/\svar\s/\nvar\n/g; +$singleLine =~ s/\sprocedure\s+/\nprocedure /g; +$singleLine =~ s/\sfunction\s+/\nfunction /g; +$singleLine =~ s/\sbegin\s/\nbegin\n/g; +$singleLine =~ s/\send\s*;\s/\nend;\n/g; +$singleLine =~ s/\selse\s/\nelse\n/g; +$singleLine =~ s/\srepeat\s/\nrepeat\n/g; +$singleLine =~ s/\sif\s/\nif /g; +$singleLine =~ s/\suntil\s/\nuntil /g; +$singleLine =~ s/\sfor\s/\nfor /g; +$singleLine =~ s/\swhile\s/\nwhile /g; +$singleLine =~ s/\sthen\s/ then\n/g; +$singleLine =~ s/\sdo\s/ do\n/g; + # take away new lines within parenthesis +my $parameters; +do { + $singleLine =~ s/\(([^\)]*?)\n([^\)]*?)\)/($1 $2)/m; + $parameters = $2; +#if ($parameters ne '') { print "--> $1 $parameters\n"; } +} while ($parameters ne ''); + # add begin/end to single-line blocks +my $singleLineBlock; +do { + $singleLine =~ s/\nif (.*?) then\n(?!begin)(.*?)\;/\nif $1 then\nbegin\n$2;\nend;/; + $singleLineBlock = $2; +#print "if $1 then begin $2; end;\n"; +} while ($singleLineBlock ne ''); +do { + $singleLine =~ s/\nfor (.*?) do\n(?!begin)(.*?)\;/\nfor $1 do\nbegin\n$2;\nend;/; + $singleLineBlock = $2; +} while ($singleLineBlock ne ''); + + # remove comments, part 1 +$singleLine =~ s/\s*\{\s*/\n{/g; +$singleLine =~ s/\s*\}\s*/}\n/g; + # write to output file +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +print(outputFile "$singleLine\n"); +close(outputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ============================================================================== +# Finish removing comments +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: removing comments\n"; +} + # read input file +my $commentOut = 0; +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); + # remove comments, part 2 + if ($line =~ m/\{/) { $commentOut = 1; } + if ( ($commentOut == 0) and ($line ne '') ) { + print(outputFile "$line\n"); + } + if ($line =~ m/\}/) { $commentOut = 0; } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ============================================================================== +# Get constants and variables, indent code +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: finding constants and variables\n"; +} +my $currentLevel = 0; +my $currentRoutine; +my $startOfProgramDeclatation = ''; +my $isStartOfProgramDeclatation = 1; +my $isConstantsDeclatation = 0; +my $isVariablesDeclatation = 0; +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # find program name + if ($line =~ m/\A\s*program\s+(.*)\s*;/i) { + $mainProgram = $1; +#print "Program name is |$mainProgram|\n"; + $currentRoutine = $mainProgram; + @routines = ($currentRoutine); + } + # find current function name + if ($line =~ m/\A(procedure|function)(\s|\Z)/i) { + $currentRoutine = $line; + $currentRoutine =~ s/\Aprocedure//i; + $currentRoutine =~ s/\Afunction//i; + $currentRoutine =~ s/\A\s+//; + $currentRoutine =~ s/;.*//; + $currentRoutine =~ s/\s*:.*//; + $currentRoutine =~ s/\(.*//; + push(@routines, $currentRoutine); + print(outputFile "\n"); +#print "$currentRoutine\n"; + $isStartOfProgramDeclatation = 0; + $isVariablesDeclatation = 0; + $isConstantsDeclatation = 0; + } + # find begin/end level + if ($line eq 'begin') { + $currentLevel = $currentLevel + 1; +#print "-> $currentLevel\n"; + if ( ($currentLevel == 1) and ($currentRoutine eq $mainProgram) ) { +#print "$currentRoutine\n"; + $isStartOfProgramDeclatation = 0; + print(outputFile "\n$startOfProgramDeclatation"); + } + $isVariablesDeclatation = 0; + $isConstantsDeclatation = 0; + } + if ($line eq 'end;') { + $currentLevel = $currentLevel - 1; +#print "-> $currentLevel\n"; + if ($currentLevel == 0) { + $currentRoutine = $mainProgram; + } + } + # find constants + if ($isConstantsDeclatation) { + if ($line ne 'var') { +#print "-> $line\n"; + $constants{$currentRoutine} .= $line; + } + } + if ($line eq 'const') { + $isConstantsDeclatation = 1; + $isVariablesDeclatation = 0; + } + # find variables + if ($isVariablesDeclatation) { +#print "-> $line\n"; + $variables{$currentRoutine} .= $line; + } + if ($line eq 'var') { + $isVariablesDeclatation = 1; + $isConstantsDeclatation = 0; + } + # determine indent level + my $indentLevel = $currentLevel; + if ($line eq 'begin') { $indentLevel = $indentLevel - 1; } + if ($isConstantsDeclatation) { $indentLevel = $indentLevel + 2; } + if ($isVariablesDeclatation) { $indentLevel = $indentLevel + 2; } + if ($line eq 'const') { $indentLevel = $indentLevel - 1; } + if ($line eq 'var') { $indentLevel = $indentLevel - 1; } + if ($line eq 'end.') { $indentLevel = $indentLevel - 1; } + # write to output file + my $indentedLine = ($indent x $indentLevel) . $line; + $indentedLine = sprintf('%2d: ', $indentLevel) . $indentedLine; + if ($isStartOfProgramDeclatation == 0) { + print(outputFile "$indentedLine\n"); + } else { + $startOfProgramDeclatation .= "$indentedLine\n"; + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ------------------------------------------------------------------------------ +# Process constant declarations +# +%constants = buildConstants($mainProgram, %constants); + +# ------------------------------------------------------------------------------ +# Assign registers to variables +# +if ($verbose > 0) { + print $indent x 2 . "Writing registers assignments in \"$registersFileSpec\"\n"; +} +%variables = assignRegisters($mainProgram, $firstRegister, %variables); +open(registersFile, ">$registersFileSpec") or die "Unable to open file, $!"; +foreach my $subroutine (keys(%variables)) { + print(registersFile "$subroutine\n"); + my $registers_ref = $variables{$subroutine}; + my %registers = reverse(%$registers_ref); + foreach my $register (sort(keys(%registers))) { + print(registersFile "${indent}$register:$registers{$register}\n"); + } +} +close(registersFile); + +# ============================================================================== +# Process constants and variables and functions +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: replacing constants and variables\n"; +} + # build main program variables hash +my $variables_ref = $variables{$mainProgram}; +%mainProgramVariables = %$variables_ref; + # loop on program code +my $currentRoutine; +my %localVariables; +my $printLine = 1; +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; + # write constants at beginning of file +foreach my $routine (keys(%constants)) { + my $replacement_ref = $constants{$routine}; + foreach my $constant (sort(keys(%$replacement_ref))) { + print(outputFile "const $constant = $$replacement_ref{$constant};\n"); + } +} +while(my $line = ) { + chomp($line); +#print "$line\n"; + # strip line nb and leading spaces + my $strippedLine = $line; + $strippedLine =~ s/\A\s*\d*\:*\s*//; +#print "$strippedLine\n"; + # find current function name + if ( + ($strippedLine =~ m/\Aprocedure /i) or + ($strippedLine =~ m/\Afunction /i) or + ($strippedLine =~ m/\Aprogram /i) + ) { + $currentRoutine = $strippedLine; + $currentRoutine =~ s/\A\S+\s+//; + $currentRoutine =~ s/\(.*//; + $currentRoutine =~ s/\;//; +#print "$currentRoutine\n"; + # build current variables hash + my $variables_ref = $variables{$currentRoutine}; + %localVariables = %$variables_ref; + } + # cut out constant and variable declarations of the program + if ($strippedLine =~ m/\Aconst\Z/) { + $printLine = 0; + } + if ($strippedLine =~ m/\Avar\Z/) { + $printLine = 0; + } + if ($strippedLine eq 'begin') { + $printLine = 1; + } + # replace variables + foreach my $variable (keys(%localVariables)) { + $line =~ s/$variable/$localVariables{$variable}/g; + } + foreach my $variable (keys(%mainProgramVariables)) { + $line =~ s/$variable/$mainProgramVariables{$variable}/g; + } + # write output + if ($printLine) { + print(outputFile "$line\n"); + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ============================================================================== +# Label subroutines and loops +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: labelling subroutines and loops\n"; +} + # loop on program code +my $previousWasElse = 0; +my $labelcount = 0; +my $blockKind; +my @labels; +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # get current level + my $currentLevel = $line; + $currentLevel =~ s/\A\s*(\d*)\:.*/$1/; + # strip level depth and leading spaces + $line =~ s/\A\s*\d*\:\s*//; +#print "$line\n"; + # remove "begin" statements + $line =~ s/\Abegin\Z//; + # assign labels to block statements + if ($line =~ m/\Aif /) { + if (not $previousWasElse) { + $labelcount = $labelcount + 1; + $labels[$currentLevel] = sprintf('if%02d', $labelcount); + } + $line = $labels[$currentLevel] . ': ' . $line; + } + if ($line =~ m/\Afor /) { + $labelcount = $labelcount + 1; + $labels[$currentLevel] = sprintf('for%02d', $labelcount); + $line = $labels[$currentLevel] . ': ' . $line; + } + if ($line =~ m/\Awhile /) { + $labelcount = $labelcount + 1; + $labels[$currentLevel] = sprintf('while%02d', $labelcount); + $line = $labels[$currentLevel] . ': ' . $line; + } + # assign labels to end of block statements + if ($line =~ m/\Aend\s*[;\.]/) { + if ($currentLevel == 0) { + $line = 'return;'; + } else { + $line = 'end ' . $labels[$currentLevel] . ';'; + } + } + # specify procedure calls + for my $routine (@routines) { + $line =~ s/$routine\s*\:\=\s*/$functionReturnRegister := /g; + $line =~ s/$routine([ \(\;])/call $routine$1/g; + } + $line =~ s/\A(program|procedure|function) call /$1 /g; + # store "previous line was else" + $previousWasElse = 0; + if ($line =~ m/\Aelse\Z/) { + $previousWasElse = 1; + } + # write output + if ($line ne '') { + if ($line =~ m/(program|procedure|function) /) { + print(outputFile "\n"); + } + my $indentedLine = ($indent x $currentLevel) . $line; + $indentedLine = sprintf('%2d: ', $currentLevel) . $indentedLine; + print(outputFile "$indentedLine\n"); + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ============================================================================== +# Break compound operations +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: breaking compound operations\n"; +} + # loop on program code +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # get current level + my $currentLevel = $line; + $currentLevel =~ s/\A\s*(\d*)\:.*/$1/; + # strip level depth and leading spaces + $line =~ s/\A\s*\d*\:\s*//; +#print "$line\n"; + # check assignments + if ($line =~ m/s(\d+)\s*\:\=\s*(.+)\s*\;/) { + my $destinationRegister = "s$1"; + my $assignment = $2; + $line = expandAssignment($destinationRegister, $assignment); + } + # write output + if ($line ne '') { + my $indentedLine = ($indent x $currentLevel) . $line; + $indentedLine = sprintf('%2d: ', $currentLevel) . $indentedLine; + print(outputFile "$indentedLine\n"); + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + + +# ============================================================================== +# Assembler file: constants, subroutines, memory access +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: writing assembler for constants, subroutines, mem and nop\n"; +} +foreach my $routine (keys(%constants)) { + my $constants_ref = $constants{$routine}; + foreach my $constant (sort(keys(%$constants_ref))) { + my $length = length($constant); + if ($length > $constantMaxLength) { $constantMaxLength = $length; } + } +} + # assembler code header +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +print(outputFile "$separator1\n"); +print(outputFile "$commentStart $mainProgram\n"); +print(outputFile "$separator1\n"); +print(outputFile "\n"); + # loop on program code +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # strip level depth and leading spaces + $line =~ s/\A\s*\d*\:\s*//; +#print "$line\n"; + # replace constants + if ($line =~ m/\Aconst (.*?)\s*\=\s*\$(.*?)\;/) { + my $constantName = fillString("$1,", ' ', $constantMaxLength+1); + my $constantValue = sprintf("%0${wordHexCharNb}X", hex($2)); + $line = "$asmFirstIndent CONSTANT $constantName $constantValue"; + } + # replace subroutines start + if ($line =~ m/\A(program|procedure|function) (.*?)\s*[\;\(]/) { + my $routineKind = $1; + my $routineName = $2; + print(outputFile "\n"); + print(outputFile "$separator2\n"); + print(outputFile "$commentStart $routineKind $routineName\n"); + print(outputFile "$separator2\n"); + print(outputFile ' ' x (length($asmFirstIndent) - length($routineName) - 2) . "$routineName: NOP\n"); + $line = ''; + } + # replace subroutines return + $line =~ s/\Areturn\;/${asmFirstIndent}RETURN/; + # replace subroutine calls with arguments + if ($line =~ m/call (.*?)\s*\((.*?)\s*\)\s*\;/) { + my $routineName = $1; + my $routineArguments = $2; + $routineArguments =~ s/var //g; + $routineArguments =~ s/\;/,/g; + $argumentText = 'argument'; + if($routineArguments =~ m/\,/) { + $argumentText .= 's'; + } + print( + outputFile + "$asmFirstIndent" + . fillString('CALL', ' ', $opcodeLength) + . "$routineName ; $argumentText: $routineArguments\n" + ); + if ($line =~ m/\A(.*?)\s*\:\=\s* call/) { + $returnRegister = $1; + $line = "$returnRegister := s0;\n"; + } else { + $line = ''; + } + } + # replace subroutine calls without arguments + if ($line =~ m/\Acall (.*?)\;/) { + $line = $asmFirstIndent . fillString('CALL', ' ', $opcodeLength) . $1; + } + # memory write + if ($line =~ m/mem\[(.+?)\]\s*\:\=\s*(.+)\s*\;/) { + my $opcode = fillString('OUTPUT', ' ', $opcodeLength); + $line = "$memoryAccessRegister := $1;"; + $line .= "\n${asmFirstIndent}${opcode}$2, ($memoryAccessRegister)"; + } + # memory read + if ($line =~ m/\s*(.+)\s*\:\=\s*mem\[(.+?)\]\s*\;/) { + my $opcode = fillString('INPUT', ' ', $opcodeLength); + $line = "$memoryAccessRegister := $2;"; + $line .= "\n${asmFirstIndent}${opcode}$memoryAccessRegister, ($memoryAccessRegister)" x 2; + $line .= "\n$1 := $memoryAccessRegister;"; + } + # NOP + if ($line =~ m/\s*noOperation\s*\;/) { + $line = "${asmFirstIndent}NOP"; + } + # write output + if ($line ne '') { + print(outputFile "$line\n"); + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +# ============================================================================== +# Assembler file: register transfers +# +$currentPass = $currentPass + 1; +($inputFileSpec, $outputFileSpec) = swapTempFileSpecs( + $inputFileSpec, $outputFileSpec, $temp1FileSpec, $temp2FileSpec +); +if ($verbose > 0) { + print "${indent}Pass $currentPass: writing assembler for load, add, and sub\n"; +} + # loop on program code +open(inputFile, "<$inputFileSpec") or die "Unable to open file, $!"; +open(outputFile, ">$outputFileSpec") or die "Unable to open file, $!"; +while(my $line = ) { + chomp($line); +#print "$line\n"; + # LOAD + if ($line =~ m/s(\d+)\s*\:\=\s*(.+)\s*\;/) { + my $destinationRegister = fillString("s$1,", ' ', $firstArgumentLength); + my $source = translateArgument($2, $wordHexCharNb); + my $opcode = fillString('LOAD', ' ', $opcodeLength); + if ($source ne '') { + $line = "${asmFirstIndent}${opcode}${destinationRegister}$source"; + } + } + # ADD + if ($line =~ m/s(\d+)\s*\:\=\s*s(\d+)\s*\+\s*(.+)\s*\;/) { + if ($1 eq $2) { + my $destinationRegister = fillString("s$1,", ' ', $firstArgumentLength); + my $source = translateArgument($3, $wordHexCharNb); + my $opcode = fillString('ADD', ' ', $opcodeLength); + if ($source ne '') { + $line = "${asmFirstIndent}${opcode}${destinationRegister}$source"; + } + } + } + # SUB + if ($line =~ m/s(\d+)\s*\:\=\s*s(\d+)\s*\-\s*(.+)\s*\;/) { + if ($1 eq $2) { + my $destinationRegister = fillString("s$1,", ' ', $firstArgumentLength); + my $source = translateArgument($3, $wordHexCharNb); + my $opcode = fillString('SUB', ' ', $opcodeLength); + if ($source ne '') { + $line = "${asmFirstIndent}${opcode}${destinationRegister}$source"; + } + } + } + # AND + if ($line =~ m/s(\d+)\s*\:\=\s*s(\d+)\s*and\s*(.+)\s*\;/i) { + if ($1 eq $2) { + my $destinationRegister = fillString("s$1,", ' ', $firstArgumentLength); + my $source = translateArgument($3, $wordHexCharNb); + my $opcode = fillString('AND', ' ', $opcodeLength); + if ($source ne '') { + $line = "${asmFirstIndent}${opcode}${destinationRegister}$source"; + } + } + } + # OR + if ($line =~ m/s(\d+)\s*\:\=\s*s(\d+)\s*or\s*(.+)\s*\;/i) { + if ($1 eq $2) { + my $destinationRegister = fillString("s$1,", ' ', $firstArgumentLength); + my $source = translateArgument($3, $wordHexCharNb); + my $opcode = fillString('OR', ' ', $opcodeLength); + if ($source ne '') { + $line = "${asmFirstIndent}${opcode}${destinationRegister}$source"; + } + } + } + # write output + if ($line ne '') { + print(outputFile "$line\n"); + } +} +close(outputFile); +close(inputFile); + # keep intermediate file +if ($keepIntermediateFiles) { + my $textfile = "$baseFileSpec$currentPass.txt"; + use File::Copy; + unlink($textfile); + copy($outputFileSpec, $textfile) or die "File cannot be copied."; +} + +################################################################################ +# Documentation (access it with: perldoc ) +# +__END__ + +=head1 NAME + +nanoPascal.pl - Transforms a Pascal program into assembler code + +=head1 SYNOPSIS + +nanoPascal.pl [options] + +=head1 DESCRIPTION + +This is a simple parser which translates Pascal expressions into their assembler +code equivalents for the nanoBlaze processor. +The process doesn't optimize the code. +The expressions which couldn't be translated into assembler are left as Pascal +for the user to translate manually. + +=head1 OPTIONS + +=over 8 + +=item B<-h> + +Display a help message. + +=item B<-v> + +Be verbose. + +=item B<-k> + +Makes a copy of the intermediate files between the passes. + +=item B<-c> + +Cleans the temporary work files at the end of the process. + +Specify a username in the bridge's whitelist. + +=back + +=head1 Limitations + +There is currently no Pascal syntax error detection. + +The script doesn't distinguish between constants having the same name within +different procedures or functions. +This can be corrected in future versions. + +Procedure and function calls basically don't support passing parameters. +This would require a stack mechanism. +The only possible way to pass parameters is to declare global variables +and use these as parameters for the procedure and function calls. + +The Pascal C construct is either followed by a C +block or a single expression. +The script only handles single-line expressions. +Other more complex expressions (like a nested c need a +C structure. + +=head1 AUTHOR + +Francois Corthay, HEVs + +=head1 VERSION + +1.1, 2014 + +=cut diff --git a/Libs/NanoBlaze/hdl/nanoTest.asm b/Libs/NanoBlaze/hdl/nanoTest.asm new file mode 100644 index 0000000..2e9784b --- /dev/null +++ b/Libs/NanoBlaze/hdl/nanoTest.asm @@ -0,0 +1,342 @@ + ;=============================================================== + ; nanoTest.asm + ; Used for checking the NanoBlaze instruction set + ;=============================================================== + ; 1) Test logical operations with direct values + ;--------------------------------------------------------------- + LOAD s7, 01 + CONSTANT testPattern, 0F + ;--------------------------------------------------------------- + ; Test "LOAD", "AND" + ;--------------------------------------------------------------- + LOAD s0, testPattern + AND s0, 33 + COMPARE s0, 03 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "OR" + ;--------------------------------------------------------------- + LOAD s1, testPattern + OR s1, 33 + COMPARE s1, 3F + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "XOR" + ;--------------------------------------------------------------- + LOAD s2, testPattern + XOR s2, 33 + COMPARE s2, 3C + JUMP NZ, error + ;=============================================================== + ; 2) Test logical operations with registers + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "LOAD" + ;--------------------------------------------------------------- + LOAD s0, 33 + LOAD s3, s0 + COMPARE s3, 33 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "AND" + ;--------------------------------------------------------------- + LOAD s0, 0F + AND s0, s3 + COMPARE s0, 03 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "OR" + ;--------------------------------------------------------------- + LOAD s1, 0F + OR s1, s3 + COMPARE s1, 3F + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "XOR" + ;--------------------------------------------------------------- + LOAD s2, 0F + XOR s2, s3 + COMPARE s2, 3C + JUMP NZ, error + ;=============================================================== + ; 3) Test arithmetic operations with constants + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "ADD" and "ADDCY" + ;--------------------------------------------------------------- + LOAD s0, 0F + ADD s0, 31 ; 40 + ADDCY s0, F0 ; 130 + ADDCY s0, F0 ; 121 + ADD s0, 0F ; 30 + COMPARE s0, 30 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "SUB" and "SUBCY" + ;--------------------------------------------------------------- + LOAD s1, 0F + SUB s1, 0C ; 03 + SUBCY s1, F0 ; 113 + SUBCY s1, F0 ; 22 + SUB s1, 01 ; 21 + COMPARE s1, 21 + JUMP NZ, error + ;=============================================================== + ; 4) Test arithmetic operations with registers + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "ADD" and "ADDCY" + ;--------------------------------------------------------------- + LOAD s0, 0F + LOAD s1, 31 + LOAD s2, F0 + LOAD s3, 0F + ADD s0, s1 ; 40 + ADDCY s0, s2 ; 130 + ADDCY s0, s2 ; 121 + ADD s0, s3 ; 30 + COMPARE s0, 30 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "SUB" and "SUBCY" + ;--------------------------------------------------------------- + LOAD s1, 0F + LOAD s0, 0C + LOAD s2, F0 + LOAD s3, 01 + SUB s1, s0 ; 03 + SUBCY s1, s2 ; 113 + SUBCY s1, s2 ; 22 + SUB s1, s3 ; 21 + COMPARE s1, 21 + JUMP NZ, error + ;=============================================================== + ; 5) Test shifts + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test shift right + ;--------------------------------------------------------------- + LOAD s0, 0F ; 0F + SR0 s0 ; 07 + SRX s0 ; 03 + SR1 s0 ; 81 + SRX s0 ; C0, C=1 + SRA s0 ; E0, C=0 + SRA s0 ; 70 + COMPARE s0, 70 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test shift left + ;--------------------------------------------------------------- + LOAD s1, F0 ; FO + SL0 s1 ; E0 + SLX s1 ; C0 + SL1 s1 ; 81 + SLX s1 ; 03, C=1 + SLA s1 ; 07, C=0 + SLA s1 ; 0E + COMPARE s1, 0E + JUMP NZ, error + ;=============================================================== + ; 6) Test comparison operators + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "COMPARE" + ;--------------------------------------------------------------- + LOAD s0, 0F + COMPARE s0, F0 ; A < B => C=1 + JUMP NC, error + COMPARE s0, F0 ; A < B => Z=0 + JUMP Z, error + COMPARE s0, s0 ; A = B => Z=1 + JUMP NZ, error + COMPARE s0, 08 ; A > B => C=0 + JUMP C, error + COMPARE s0, 08 ; A > B => Z=0 + JUMP Z, error + ;--------------------------------------------------------------- + ; Test "TEST" + ;--------------------------------------------------------------- + LOAD s0, 0F + TEST s0, F0 ; AND is 00 => Z=1 + JUMP NZ, error + TEST s0, FF ; AND is 0F => Z=0 + JUMP Z, error + ;=============================================================== + ; 7) Test INPUT and OUTPUT operators + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "INPUT" and "OUTPUT" direct + ; + ; The testbench should invert the word written at address FC. + ;--------------------------------------------------------------- + LOAD s0, AA + OUTPUT s0, FC + INPUT s1, FC + COMPARE s1, 55 + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "INPUT" and "OUTPUT" indexed + ;--------------------------------------------------------------- + LOAD s0, CC + LOAD s2, FC + OUTPUT s0, (s2) + INPUT s1, (s2) + COMPARE s1, 33 + JUMP NZ, error + ;=============================================================== + ; 8) Test STORE and FETCH operators + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "STORE" and "FETCH" direct + ;--------------------------------------------------------------- + LOAD s0, 0F + STORE s0, 03 + FETCH s1, 03 + COMPARE s1, 0F + JUMP NZ, error + ;--------------------------------------------------------------- + ; Test "STORE" and "FETCH" indexed + ;--------------------------------------------------------------- + LOAD s0, F0 + LOAD s2, 04 + STORE s0, (s2) + FETCH s1, (s2) + COMPARE s1, F0 + JUMP NZ, error + ;=============================================================== + ; 9) Test JUMP instructions + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; Test "JUMP NC" + ;--------------------------------------------------------------- + LOAD s0, F0 + ADD s0, 00 ; s0=F0, C=0, Z=0 + JUMP NC, continue1 + JUMP error + ;--------------------------------------------------------------- + ; Test "JUMP NZ" + ;--------------------------------------------------------------- + continue1: ADD s0, 00 ; s0=F0, C=0, Z=0 + JUMP NZ, continue2 + JUMP error + ;--------------------------------------------------------------- + ; Test "JUMP C" + ;--------------------------------------------------------------- + continue2: ADD s0, F0 ; s0=E0, C=1, Z=0 + JUMP C, continue3 + JUMP error + ;--------------------------------------------------------------- + ; Test "JUMP Z" + ;--------------------------------------------------------------- + continue3: SUB s0, E0 ; s0=00, C=0, Z=1 + JUMP Z, continue4 + JUMP error + continue4: NOP + ;=============================================================== + ; 10) Test call instructions + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; define subroutine + ;--------------------------------------------------------------- + JUMP continue5 + subRetDo: ADD s0, 01 + RETURN + JUMP error + ;--------------------------------------------------------------- + ; Test "CALL" + ;--------------------------------------------------------------- + continue5: LOAD s0, 00 + LOAD s1, F0 + CALL subRetDo ; s0=01 + ;--------------------------------------------------------------- + ; Test "CALL NC" + ;--------------------------------------------------------------- + ADD s1, 00 ; s1=F0, C=0, Z=0 + CALL NC, subRetDo ; s0=02 + ;--------------------------------------------------------------- + ; Test "CALL NZ" + ;--------------------------------------------------------------- + ADD s1, 00 ; s1=F0, C=0, Z=0 + CALL NZ, subRetDo ; s0=03 + ;--------------------------------------------------------------- + ; Test "CALL C" + ;--------------------------------------------------------------- + ADD s1, F0 ; s0=E0, C=1, Z=0 + CALL C, subRetDo ; s0=04 + ;--------------------------------------------------------------- + ; Test "CALL Z" + ;--------------------------------------------------------------- + SUB s1, E0 ; s0=00, C=0, Z=1 + CALL Z, subRetDo ; s0=05 + COMPARE s0, 05 + jump nz, error + ;=============================================================== + ; 11) Test call return instructions + ;--------------------------------------------------------------- + ADD s7, 01 + ;--------------------------------------------------------------- + ; define subroutines + ;--------------------------------------------------------------- + JUMP continue6 + subRetNC: ADD s0, 01 + RETURN NC + JUMP error + subRetNZ: ADD s0, 01 + RETURN NZ + JUMP error + subRetC: ADD s0, 01 + RETURN C + JUMP error + subRetZ: ADD s0, 01 + RETURN Z + JUMP error + ;--------------------------------------------------------------- + ; Test "RETURN NC" + ;--------------------------------------------------------------- + continue6: LOAD s0, 00 ; increment will give C=0, Z=0 + CALL NC, subRetNC + ;--------------------------------------------------------------- + ; Test "RETURN NZ" + ;--------------------------------------------------------------- + LOAD s0, 00 ; increment will give C=0, Z=0 + CALL NZ, subRetNZ + ;--------------------------------------------------------------- + ; Test "RETURN C" + ;--------------------------------------------------------------- + LOAD s0, FF ; increment will give C=1, Z=1 + CALL C, subRetC + ;--------------------------------------------------------------- + ; Test "RETURN Z" + ;--------------------------------------------------------------- + LOAD s0, FF ; increment will give C=1, Z=1 + CALL Z, subRetZ + ;=============================================================== + ; End of tests + ; + ; The testbench should react if value 1 is written to address 00. + ;--------------------------------------------------------------- + LOAD s0, 01 + OUTPUT s0, 00 + JUMP endOfMemory + ;=============================================================== + ; Assert error + ; + ; The testbench should react if value 0 is written to address 00. + ;--------------------------------------------------------------- +ADDRESS 3FD + error: LOAD s0, 00 + OUTPUT s0, 00 + ;=============================================================== + ; End of instruction memory + ;--------------------------------------------------------------- + endOfMemory: JUMP endOfMemory diff --git a/Libs/NanoBlaze/hdl/nanoTest.pas b/Libs/NanoBlaze/hdl/nanoTest.pas new file mode 100644 index 0000000..eb6592e --- /dev/null +++ b/Libs/NanoBlaze/hdl/nanoTest.pas @@ -0,0 +1,368 @@ +{ + beamer.pas + + The beamer controller polls the UART to get commands and provides the + corresponding replies. +} + +program BeamerControl; + +{==============================================================================} +{ Constants } +{==============================================================================} + const + clockFrequency = 66E6; + gpioBaseAddress = $0000; + gpioDataOffset = $0000; + gpioEnableOffset = $0001; + uartBaseAddress = $0010; + uartBaudOffset = $0002; + uartStatusOffset = $0001; + uartDataReady = $0001; + uartSending = $0002; + uartBaudRate = 1E6; + uartBaudCount = clockFrequency / uartBaudRate; + uartPollDelay = uartBaudCount / 2; + uartTimeout = 10; + commandHeader = $AA; + commandNack = $00; + commandWriteMem = $03; + commandReadMem = $04; + commandWriteLength = 4; + commandReadLength = 2; + beamerBaseAddress = $0020; + beamerCtlOffset = $0000; + beamerSpeedOffset = $0001; + beamerCtlInit = $0401; + beamerSpeedInit = $0004; + commStIdle = $0000; + commStGetPacketId = $0001; + commStGetCommandId = $0002; + commStGetDataLength = $0003; + commStGetData = $0004; + commStGetChecksum = $0005; + commStExecuteCommand = $0006; + commStSendHeader = $0007; + commStSendPacketId = $0008; + commStSendCommandId = $0009; + commStSendDataLength = $000A; + commStSendData = $000B; + commStSendChecksum = $000C; + +{==============================================================================} +{ Variables } +{==============================================================================} + var + communicationState : word; + uartByte: uint8; + +{==============================================================================} +{ Procedures and functions } +{==============================================================================} + + {============================================================================} + { Register-level functions } + {============================================================================} + + {----------------------------------------------------------------------------} + { Registers initializations } + {----------------------------------------------------------------------------} + procedure initRegisters; + const + gpioValue = $AA; + gpioEnablemask = $0F; + begin + { initialize GPIO } + mem[gpioBaseAddress+gpioDataOffset] := gpioValue; + mem[gpioBaseAddress+gpioEnableOffset] := gpioEnablemask; + { initialize UART } + mem[uartBaseAddress+uartBaudOffset] := uartBaudCount; + { initialize beamer peripheral } + mem[beamerBaseAddress+beamerCtlOffset] := beamerCtlInit; + mem[beamerBaseAddress+beamerSpeedOffset] := beamerSpeedInit; + end; + + {----------------------------------------------------------------------------} + { Get byte from serial port with timeout } + {----------------------------------------------------------------------------} + function getSerialPortByte(var uartByte: uint8) : word; + var + dataReady: uint8; + pollCount: word; + begin + { poll until data byte available or timeout occured} + pollCount := uartPollDelay; + dataReady := 0; + while dataReady = 0 do + begin + { read status register } + dataReady := mem[uartBaseAddress+uartStatusOffset] and uartDataReady; + { spend time in order not to overcharge the AHB bus } + if dataReady = 0 then + begin + { check for timeout } + pollCount := pollCount -1; + if pollCount = 0 then + dataReady := $FF; + { spend time in order not to overcharge the system bus } + for index := 1 to uartPollDelay do + noOperation; + end; + end; + { function return } + if dataReady = $FF then + { return timeout } + getSerialPortByte := 1; + else + { read data register and return it } + begin + uartByte := mem[uartBaseAddress]; + getSerialPortByte := 0; + end; + end; + + {----------------------------------------------------------------------------} + { Send byte to serial port with timeout } + {----------------------------------------------------------------------------} + function sendSerialPort(var uartByte : uint8) : word; + var + dataReady: uint8; + statusByte: uint8; + pollCount: word; + begin + { poll until ready to send } + pollCount := uartPollDelay; + statusByte := mem[uartBaseAddress+uartStatusOffset] and uartSending; + while statusByte = 0 do + begin + { check for timeout } + pollCount := pollCount -1; + if pollCount = 0 then + dataReady := $FF; + { spend time in order not to overcharge the system bus } + for index := 1 to uartPollDelay do + noOperation; + { read status register } + statusByte := mem[uartBaseAddress+uartStatusOffset] and uartSending; + end; + { function return } + if dataReady = $FF then + { return timeout } + sendSerialPort := 1; + else + { write data register and return it } + begin + mem[uartBaseAddress] := uartByte; + sendSerialPort := 0; + end; + end; + + {============================================================================} + { Communication state machine } + {============================================================================} + procedure updateStateMachine( + var communicationState : word; + var uartByte: uint8 + ); + var + communicationNextState : word; + uartStatus: word; + packetId, commandId : uint8; + checksum : uint8; + dataLength, dataCount, data1, data2, data3, data4 : uint8; + memAddress, memData : word; + begin + { idle } + if communicationState = commStIdle then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if (uartStatus = 0) and (uartByte = commandHeader) then + begin + checksum := uartByte; + communicationNextState := commStGetPacketId; + end; + end; + { get packet id } + else if communicationState = commStGetPacketId then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if uartStatus = 0 then + begin + packetId := uartByte; + checksum := checksum + uartByte; + communicationNextState := commStGetCommandId; + end; + end; + { get command id } + else if communicationState = commStGetCommandId then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if uartStatus = 0 then + begin + commandId := uartByte; + checksum := checksum + uartByte; + communicationNextState := commStGetDataLength; + end; + end; + { get data length } + else if communicationState = commStGetDataLength then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if uartStatus = 0 then + begin + dataLength := uartByte; + checksum := checksum + uartByte; + dataCount := dataLength; + communicationNextState := commStGetData; + end; + end; + { get data } + else if communicationState = commStGetData then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if uartStatus = 0 then + begin + data1 := data2; + data2 := data3; + data3 := data4; + data4 := uartByte; + checksum := checksum + uartByte; + dataCount := dataCount-1; + if dataCount = 0 then + communicationNextState := commStGetChecksum; + end; + end; + { get checksum } + else if communicationState = commStGetChecksum then + begin + uartStatus := getSerialPortByte(var uartByte: uint8); + if uartStatus = 0 then + begin + if uartByte = checksum then + communicationState := commStExecuteCommand; + else + begin + commandId := commandNack; + dataLength := 0; + communicationNextState := commStSendHeader; + end; + end; + end; + { execute command } + else if communicationState = commStExecuteCommand then +begin + if (commandId = commandWriteMem) and (dataLength = commandWriteLength) then + begin + memAddress := data1 + (data2 shl 8); + memData := data3 + (data4 shl 8); + mem[memAddress] := memData; + dataLength := 0; + communicationNextState := commStSendHeader; + end; + else if (commandId = commandReadMem) and (dataLength = commandReadLength) then + begin + memAddress := data3 + (data4 shl 8); + memData := mem[memAddress]; + dataLength := 2; + data1 := memData and $00FF; + data2 := memData shr 8; + communicationNextState := commStSendHeader; + end; + else + begin + commandId := commandNack; + dataLength := 0; + communicationNextState := commStSendHeader; + end; +end; + { send header } + else if communicationState = commStSendHeader then + begin + uartByte := commandHeader; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + begin + checksum := uartByte; + communicationNextState := commStSendPacketId; + end; + end; + { send packet id } + else if communicationState = commStSendPacketId then + begin + uartByte := packetId; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + begin + checksum := checksum + uartByte; + communicationNextState := commStSendCommandId; + end; + end; + { send command id } + else if communicationState = commStSendCommandId then + begin + uartByte := commandId; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + begin + checksum := checksum + uartByte; + communicationNextState := commStSendDataLength; + end; + end; + { send data length } + else if communicationState = commStSendDataLength then + begin + uartByte := dataLength; + dataCount := dataLength; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + begin + checksum := checksum + uartByte; + communicationNextState := commStSendData; + end; + end; + { send data } + else if communicationState = commStSendData then + begin + if dataCount > 0 then + begin + uartByte := data1; + data2 := data1; + data3 := data2; + data4 := data3; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + begin + checksum := checksum + uartByte; + dataCount := dataCount-1; + end; + end; + else + communicationNextState := commStSendChecksum; + end; + { send checksum } + else if communicationState = commStSendChecksum then + begin + uartByte := checksum and $00FF; + uartStatus := sendSerialPort(var uartByte: uint8); + if uartStatus = 0 then + communicationNextState := commStIdle; + end; + { update state } + communicationState := communicationNextState; + end; + +{==============================================================================} +{ Main program } +{==============================================================================} +begin + { initialize SoC registers } + initRegisters; + { initialize communication state machine } + communicationState := commStIdle; + { main loop } + while true do begin + { update communication state machine } + updateStateMachine(var communicationState : word; var uartByte : uint8); + { check for timeout } + end; +end. diff --git a/Libs/NanoBlaze/hdl/nanoTest.vhd b/Libs/NanoBlaze/hdl/nanoTest.vhd new file mode 100644 index 0000000..f018830 --- /dev/null +++ b/Libs/NanoBlaze/hdl/nanoTest.vhd @@ -0,0 +1,431 @@ +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- 1) Test logical operations with direct values + ----------------------------------------------------------------- + 16#000# => opLoadC & "0111" & "00000001", -- LOAD s7, 01 + ----------------------------------------------------------------- + -- Test "LOAD", "AND" + ----------------------------------------------------------------- + 16#001# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#002# => opAndC & "0000" & "00110011", -- AND s0, 33 + 16#003# => opCompC & "0000" & "00000011", -- COMPARE s0, 03 + 16#004# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "OR" + ----------------------------------------------------------------- + 16#005# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#006# => opOrC & "0001" & "00110011", -- OR s1, 33 + 16#007# => opCompC & "0001" & "00111111", -- COMPARE s1, 3F + 16#008# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "XOR" + ----------------------------------------------------------------- + 16#009# => opLoadC & "0010" & "00001111", -- LOAD s2, 0F + 16#00A# => opXorC & "0010" & "00110011", -- XOR s2, 33 + 16#00B# => opCompC & "0010" & "00111100", -- COMPARE s2, 3C + 16#00C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 2) Test logical operations with registers + ----------------------------------------------------------------- + 16#00D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "LOAD" + ----------------------------------------------------------------- + 16#00E# => opLoadC & "0000" & "00110011", -- LOAD s0, 33 + 16#00F# => opLoadR & "0011" & "0000----", -- LOAD s3, s0 + 16#010# => opCompC & "0011" & "00110011", -- COMPARE s3, 33 + 16#011# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "AND" + ----------------------------------------------------------------- + 16#012# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#013# => opAndR & "0000" & "0011----", -- AND s0, s3 + 16#014# => opCompC & "0000" & "00000011", -- COMPARE s0, 03 + 16#015# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "OR" + ----------------------------------------------------------------- + 16#016# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#017# => opOrR & "0001" & "0011----", -- OR s1, s3 + 16#018# => opCompC & "0001" & "00111111", -- COMPARE s1, 3F + 16#019# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "XOR" + ----------------------------------------------------------------- + 16#01A# => opLoadC & "0010" & "00001111", -- LOAD s2, 0F + 16#01B# => opXorR & "0010" & "0011----", -- XOR s2, s3 + 16#01C# => opCompC & "0010" & "00111100", -- COMPARE s2, 3C + 16#01D# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 3) Test arithmetic operations with constants + ----------------------------------------------------------------- + 16#01E# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "ADD" and "ADDCY" + ----------------------------------------------------------------- + 16#01F# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#020# => opAddC & "0000" & "00110001", -- ADD s0, 31 ; 40 + 16#021# => opAddCyC & "0000" & "11110000", -- ADDCY s0, F0 ; 130 + 16#022# => opAddCyC & "0000" & "11110000", -- ADDCY s0, F0 ; 121 + 16#023# => opAddC & "0000" & "00001111", -- ADD s0, 0F ; 30 + 16#024# => opCompC & "0000" & "00110000", -- COMPARE s0, 30 + 16#025# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "SUB" and "SUBCY" + ----------------------------------------------------------------- + 16#026# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#027# => opSubC & "0001" & "00001100", -- SUB s1, 0C ; 03 + 16#028# => opSubCyC & "0001" & "11110000", -- SUBCY s1, F0 ; 113 + 16#029# => opSubCyC & "0001" & "11110000", -- SUBCY s1, F0 ; 22 + 16#02A# => opSubC & "0001" & "00000001", -- SUB s1, 01 ; 21 + 16#02B# => opCompC & "0001" & "00100001", -- COMPARE s1, 21 + 16#02C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 4) Test arithmetic operations with registers + ----------------------------------------------------------------- + 16#02D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "ADD" and "ADDCY" + ----------------------------------------------------------------- + 16#02E# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#02F# => opLoadC & "0001" & "00110001", -- LOAD s1, 31 + 16#030# => opLoadC & "0010" & "11110000", -- LOAD s2, F0 + 16#031# => opLoadC & "0011" & "00001111", -- LOAD s3, 0F + 16#032# => opAddR & "0000" & "0001----", -- ADD s0, s1 ; 40 + 16#033# => opAddCyR & "0000" & "0010----", -- ADDCY s0, s2 ; 130 + 16#034# => opAddCyR & "0000" & "0010----", -- ADDCY s0, s2 ; 121 + 16#035# => opAddR & "0000" & "0011----", -- ADD s0, s3 ; 30 + 16#036# => opCompC & "0000" & "00110000", -- COMPARE s0, 30 + 16#037# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "SUB" and "SUBCY" + ----------------------------------------------------------------- + 16#038# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#039# => opLoadC & "0000" & "00001100", -- LOAD s0, 0C + 16#03A# => opLoadC & "0010" & "11110000", -- LOAD s2, F0 + 16#03B# => opLoadC & "0011" & "00000001", -- LOAD s3, 01 + 16#03C# => opSubR & "0001" & "0000----", -- SUB s1, s0 ; 03 + 16#03D# => opSubCyR & "0001" & "0010----", -- SUBCY s1, s2 ; 113 + 16#03E# => opSubCyR & "0001" & "0010----", -- SUBCY s1, s2 ; 22 + 16#03F# => opSubR & "0001" & "0011----", -- SUB s1, s3 ; 21 + 16#040# => opCompC & "0001" & "00100001", -- COMPARE s1, 21 + 16#041# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 5) Test shifts + ----------------------------------------------------------------- + 16#042# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test shift right + ----------------------------------------------------------------- + 16#043# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F ; 0F + 16#044# => opShRot & "0000" & shRotR & shRotLd0,-- SR0 s0 ; 07 + 16#045# => opShRot & "0000" & shRotR & shRotLdM,-- SRX s0 ; 03 + 16#046# => opShRot & "0000" & shRotR & shRotLd1,-- SR1 s0 ; 81 + 16#047# => opShRot & "0000" & shRotR & shRotLdM,-- SRX s0 ; C0, C=1 + 16#048# => opShRot & "0000" & shRotR & shRotLdC,-- SRA s0 ; E0, C=0 + 16#049# => opShRot & "0000" & shRotR & shRotLdC,-- SRA s0 ; 70 + 16#04A# => opCompC & "0000" & "01110000", -- COMPARE s0, 70 + 16#04B# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test shift left + ----------------------------------------------------------------- + 16#04C# => opLoadC & "0001" & "11110000", -- LOAD s1, F0 ; FO + 16#04D# => opShRot & "0001" & shRotL & shRotLd0,-- SL0 s1 ; E0 + 16#04E# => opShRot & "0001" & shRotL & shRotLdL,-- SLX s1 ; C0 + 16#04F# => opShRot & "0001" & shRotL & shRotLd1,-- SL1 s1 ; 81 + 16#050# => opShRot & "0001" & shRotL & shRotLdL,-- SLX s1 ; 03, C=1 + 16#051# => opShRot & "0001" & shRotL & shRotLdC,-- SLA s1 ; 07, C=0 + 16#052# => opShRot & "0001" & shRotL & shRotLdC,-- SLA s1 ; 0E + 16#053# => opCompC & "0001" & "00001110", -- COMPARE s1, 0E + 16#054# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 6) Test comparison operators + ----------------------------------------------------------------- + 16#055# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "COMPARE" + ----------------------------------------------------------------- + 16#056# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#057# => opCompC & "0000" & "11110000", -- COMPARE s0, F0 ; A < B => C=1 + 16#058# => brJump & brNC & "1111111101", -- JUMP NC, 3FD + 16#059# => opCompC & "0000" & "11110000", -- COMPARE s0, F0 ; A < B => Z=0 + 16#05A# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + 16#05B# => opCompR & "0000" & "0000----", -- COMPARE s0, s0 ; A = B => Z=1 + 16#05C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + 16#05D# => opCompC & "0000" & "00001000", -- COMPARE s0, 08 ; A > B => C=0 + 16#05E# => brJump & brC & "1111111101", -- JUMP C, 3FD + 16#05F# => opCompC & "0000" & "00001000", -- COMPARE s0, 08 ; A > B => Z=0 + 16#060# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + ----------------------------------------------------------------- + -- Test "TEST" + ----------------------------------------------------------------- + 16#061# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#062# => opTestC & "0000" & "11110000", -- TEST s0, F0 ; AND is 00 => Z=1 + 16#063# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + 16#064# => opTestC & "0000" & "11111111", -- TEST s0, FF ; AND is 0F => Z=0 + 16#065# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + --=============================================================== + -- 7) Test INPUT and OUTPUT operators + ----------------------------------------------------------------- + 16#066# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "INPUT" and "OUTPUT" direct + -- + -- The testbench should invert the word written at address FC. + ----------------------------------------------------------------- + 16#067# => opLoadC & "0000" & "10101010", -- LOAD s0, AA + 16#068# => opOutputC & "0000" & "11111100", -- OUTPUT s0, FC + 16#069# => opInputC & "0001" & "11111100", -- INPUT s1, FC + 16#06A# => opCompC & "0001" & "01010101", -- COMPARE s1, 55 + 16#06B# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "INPUT" and "OUTPUT" indexed + ----------------------------------------------------------------- + 16#06C# => opLoadC & "0000" & "11001100", -- LOAD s0, CC + 16#06D# => opLoadC & "0010" & "11111100", -- LOAD s2, FC + 16#06E# => opOutputR & "0000" & "0010----", -- OUTPUT s0, (S2) + 16#06F# => opInputR & "0001" & "0010----", -- INPUT s1, (S2) + 16#070# => opCompC & "0001" & "00110011", -- COMPARE s1, 33 + 16#071# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 8) Test STORE and FETCH operators + ----------------------------------------------------------------- + 16#072# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "STORE" and "FETCH" direct + ----------------------------------------------------------------- + 16#073# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#074# => opStoreC & "0000" & "00000011", -- STORE s0, 03 + 16#075# => opFetchC & "0001" & "00000011", -- FETCH s1, 03 + 16#076# => opCompC & "0001" & "00001111", -- COMPARE s1, 0F + 16#077# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "STORE" and "FETCH" indexed + ----------------------------------------------------------------- + 16#078# => opLoadC & "0000" & "11110000", -- LOAD s0, F0 + 16#079# => opLoadC & "0010" & "00000100", -- LOAD s2, 04 + 16#07A# => opStoreR & "0000" & "0010----", -- STORE s0, (S2) + 16#07B# => opFetchR & "0001" & "0010----", -- FETCH s1, (S2) + 16#07C# => opCompC & "0001" & "11110000", -- COMPARE s1, F0 + 16#07D# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 9) Test JUMP instructions + ----------------------------------------------------------------- + 16#07E# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "JUMP NC" + ----------------------------------------------------------------- + 16#07F# => opLoadC & "0000" & "11110000", -- LOAD s0, F0 + 16#080# => opAddC & "0000" & "00000000", -- ADD s0, 00 ; s0=F0, C=0, Z=0 + 16#081# => brJump & brNC & "0010000011", -- JUMP NC, 083 + 16#082# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP NZ" + ----------------------------------------------------------------- + -- _continue1_: + 16#083# => opAddC & "0000" & "00000000", -- ADD s0, 00 ; s0=F0, C=0, Z=0 + 16#084# => brJump & brNZ & "0010000110", -- JUMP NZ, 086 + 16#085# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP C" + ----------------------------------------------------------------- + -- _continue2_: + 16#086# => opAddC & "0000" & "11110000", -- ADD s0, F0 ; s0=E0, C=1, Z=0 + 16#087# => brJump & brC & "0010001001", -- JUMP C, 089 + 16#088# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP Z" + ----------------------------------------------------------------- + -- _continue3_: + 16#089# => opSubC & "0000" & "11100000", -- SUB s0, E0 ; s0=00, C=0, Z=1 + 16#08A# => brJump & brZ & "0010001100", -- JUMP Z, 08C + 16#08B# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _continue4_: + 16#08C# => opLoadR & "0000" & "0000----", -- NOP + --=============================================================== + -- 10) Test call instructions + ----------------------------------------------------------------- + 16#08D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- define subroutine + ----------------------------------------------------------------- + 16#08E# => brJump & brDo & "0010010010", -- JUMP 092 + -- _subRetDo_: + 16#08F# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#090# => brRet & brDo & "----------", -- RETURN + 16#091# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "CALL" + ----------------------------------------------------------------- + -- _continue5_: + 16#092# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 + 16#093# => opLoadC & "0001" & "11110000", -- LOAD s1, F0 + 16#094# => brCall & brDo & "0010001111", -- CALL 08F ; s0=01 + ----------------------------------------------------------------- + -- Test "CALL NC" + ----------------------------------------------------------------- + 16#095# => opAddC & "0001" & "00000000", -- ADD s1, 00 ; s1=F0, C=0, Z=0 + 16#096# => brCall & brNC & "0010001111", -- CALL NC, 08F ; s0=02 + ----------------------------------------------------------------- + -- Test "CALL NZ" + ----------------------------------------------------------------- + 16#097# => opAddC & "0001" & "00000000", -- ADD s1, 00 ; s1=F0, C=0, Z=0 + 16#098# => brCall & brNZ & "0010001111", -- CALL NZ, 08F ; s0=03 + ----------------------------------------------------------------- + -- Test "CALL C" + ----------------------------------------------------------------- + 16#099# => opAddC & "0001" & "11110000", -- ADD s1, F0 ; s0=E0, C=1, Z=0 + 16#09A# => brCall & brC & "0010001111", -- CALL C, 08F ; s0=04 + ----------------------------------------------------------------- + -- Test "CALL Z" + ----------------------------------------------------------------- + 16#09B# => opSubC & "0001" & "11100000", -- SUB s1, E0 ; s0=00, C=0, Z=1 + 16#09C# => brCall & brZ & "0010001111", -- CALL Z, 08F ; s0=05 + 16#09D# => opCompC & "0000" & "00000101", -- COMPARE s0, 05 + 16#09E# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 11) Test call return instructions + ----------------------------------------------------------------- + 16#09F# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- define subroutines + ----------------------------------------------------------------- + 16#0A0# => brJump & brDo & "0010101101", -- JUMP 0AD + -- _subRetNC_: + 16#0A1# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A2# => brRet & brDo & "----------", -- RETURN NC + 16#0A3# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetNZ_: + 16#0A4# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A5# => brRet & brDo & "----------", -- RETURN NZ + 16#0A6# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetC_: + 16#0A7# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A8# => brRet & brDo & "----------", -- RETURN C + 16#0A9# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetZ_: + 16#0AA# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0AB# => brRet & brDo & "----------", -- RETURN Z + 16#0AC# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "RETURN NC" + ----------------------------------------------------------------- + -- _continue6_: + 16#0AD# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 ; increment will give C=0, Z=0 + 16#0AE# => brCall & brNC & "0010100001", -- CALL NC, 0A1 + ----------------------------------------------------------------- + -- Test "RETURN NZ" + ----------------------------------------------------------------- + 16#0AF# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 ; increment will give C=0, Z=0 + 16#0B0# => brCall & brNZ & "0010100100", -- CALL NZ, 0A4 + ----------------------------------------------------------------- + -- Test "RETURN C" + ----------------------------------------------------------------- + 16#0B1# => opLoadC & "0000" & "11111111", -- LOAD s0, FF ; increment will give C=1, Z=1 + 16#0B2# => brCall & brC & "0010100111", -- CALL C, 0A7 + ----------------------------------------------------------------- + -- Test "RETURN Z" + ----------------------------------------------------------------- + 16#0B3# => opLoadC & "0000" & "11111111", -- LOAD s0, FF ; increment will give C=1, Z=1 + 16#0B4# => brCall & brZ & "0010101010", -- CALL Z, 0AA + --=============================================================== + -- End of tests + -- + -- The testbench should react if value 1 is written to address 00. + ----------------------------------------------------------------- + 16#0B5# => opLoadC & "0000" & "00000001", -- LOAD s0, 01 + 16#0B6# => opOutputC & "0000" & "00000000", -- OUTPUT s0, 00 + 16#0B7# => brJump & brDo & "1111111111", -- JUMP 3FF + --=============================================================== + -- Assert error + -- + -- The testbench should react if value 0 is written to address 00. + ----------------------------------------------------------------- + -- _error_: + 16#3FD# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 + 16#3FE# => opOutputC & "0000" & "00000000", -- OUTPUT s0, 00 + --=============================================================== + -- End of instruction memory + ----------------------------------------------------------------- + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "1111111111", -- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; diff --git a/Libs/NanoBlaze/hdl/programCounter_RTL.vhd b/Libs/NanoBlaze/hdl/programCounter_RTL.vhd new file mode 100644 index 0000000..d2c2312 --- /dev/null +++ b/Libs/NanoBlaze/hdl/programCounter_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF programCounter IS + + signal pCounter: unsigned(progCounter'range); + +BEGIN + + updateProgramCounter: process(reset, clock) + begin + if reset = '1' then + pCounter <= (others => '0'); + elsif rising_edge(clock) then + if incPC = '1' then + pCounter <= pCounter + 1; + elsif loadInstrAddress = '1' then + pCounter <= instrAddress; + elsif loadStoredPC = '1' then + pCounter <= storedProgCounter; + end if; + end if; + end process updateProgramCounter; + + progCounter <= pCounter; + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/registerFile_RTL.vhd b/Libs/NanoBlaze/hdl/registerFile_RTL.vhd new file mode 100644 index 0000000..961b26c --- /dev/null +++ b/Libs/NanoBlaze/hdl/registerFile_RTL.vhd @@ -0,0 +1,26 @@ +ARCHITECTURE RTL OF registerFile IS + + subtype registerType is signed(registersIn'range); + type registerArrayType is array (0 to 2**registerAddressBitNb-1) of registerType; + signal registerArray : registerArrayType; + +BEGIN + ------------------------------------------------------------------------------ + -- write to registers + updateRegister: process(reset, clock) + begin + if reset = '1' then + registerArray <= (others => (others => '0')); + elsif rising_edge(clock) then + if regWrite = '1' then + registerArray(to_integer(addrA)) <= registersIn; + end if; + end if; + end process updateRegister; + + ------------------------------------------------------------------------------ + -- read from registers + opA <= registerArray(to_integer(addrA)); + opB <= registerArray(to_integer(addrB)); + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hdl/rom_empty.vhd b/Libs/NanoBlaze/hdl/rom_empty.vhd new file mode 100644 index 0000000..07d5f76 --- /dev/null +++ b/Libs/NanoBlaze/hdl/rom_empty.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE empty OF programRom IS + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= (others => '0'); + end if; + end if; + end process; + +END ARCHITECTURE empty; diff --git a/Libs/NanoBlaze/hdl/rom_mapped.vhd b/Libs/NanoBlaze/hdl/rom_mapped.vhd new file mode 100644 index 0000000..f018830 --- /dev/null +++ b/Libs/NanoBlaze/hdl/rom_mapped.vhd @@ -0,0 +1,431 @@ +ARCHITECTURE mapped OF programRom IS + + subtype opCodeType is std_ulogic_vector(5 downto 0); + constant opLoadC : opCodeType := "000000"; + constant opLoadR : opCodeType := "000001"; + constant opInputC : opCodeType := "000100"; + constant opInputR : opCodeType := "000101"; + constant opFetchC : opCodeType := "000110"; + constant opFetchR : opCodeType := "000111"; + constant opAndC : opCodeType := "001010"; + constant opAndR : opCodeType := "001011"; + constant opOrC : opCodeType := "001100"; + constant opOrR : opCodeType := "001101"; + constant opXorC : opCodeType := "001110"; + constant opXorR : opCodeType := "001111"; + constant opTestC : opCodeType := "010010"; + constant opTestR : opCodeType := "010011"; + constant opCompC : opCodeType := "010100"; + constant opCompR : opCodeType := "010101"; + constant opAddC : opCodeType := "011000"; + constant opAddR : opCodeType := "011001"; + constant opAddCyC : opCodeType := "011010"; + constant opAddCyR : opCodeType := "011011"; + constant opSubC : opCodeType := "011100"; + constant opSubR : opCodeType := "011101"; + constant opSubCyC : opCodeType := "011110"; + constant opSubCyR : opCodeType := "011111"; + constant opShRot : opCodeType := "100000"; + constant opOutputC : opCodeType := "101100"; + constant opOutputR : opCodeType := "101101"; + constant opStoreC : opCodeType := "101110"; + constant opStoreR : opCodeType := "101111"; + + subtype shRotCinType is std_ulogic_vector(2 downto 0); + constant shRotLdC : shRotCinType := "00-"; + constant shRotLdM : shRotCinType := "01-"; + constant shRotLdL : shRotCinType := "10-"; + constant shRotLd0 : shRotCinType := "110"; + constant shRotLd1 : shRotCinType := "111"; + + constant registerAddressBitNb : positive := 4; + constant shRotPadLength : positive + := dataOut'length - opCodeType'length - registerAddressBitNb + - 1 - shRotCinType'length; + subtype shRotDirType is std_ulogic_vector(1+shRotPadLength-1 downto 0); + constant shRotL : shRotDirType := (0 => '0', others => '-'); + constant shRotR : shRotDirType := (0 => '1', others => '-'); + + subtype branchCodeType is std_ulogic_vector(4 downto 0); + constant brRet : branchCodeType := "10101"; + constant brCall : branchCodeType := "11000"; + constant brJump : branchCodeType := "11010"; + constant brReti : branchCodeType := "11100"; + constant brEni : branchCodeType := "11110"; + + subtype branchConditionType is std_ulogic_vector(2 downto 0); + constant brDo : branchConditionType := "000"; + constant brZ : branchConditionType := "100"; + constant brNZ : branchConditionType := "101"; + constant brC : branchConditionType := "110"; + constant brNC : branchConditionType := "111"; + + subtype memoryWordType is std_ulogic_vector(dataOut'range); + type memoryArrayType is array (0 to 2**address'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType := ( + --=============================================================== + -- 1) Test logical operations with direct values + ----------------------------------------------------------------- + 16#000# => opLoadC & "0111" & "00000001", -- LOAD s7, 01 + ----------------------------------------------------------------- + -- Test "LOAD", "AND" + ----------------------------------------------------------------- + 16#001# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#002# => opAndC & "0000" & "00110011", -- AND s0, 33 + 16#003# => opCompC & "0000" & "00000011", -- COMPARE s0, 03 + 16#004# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "OR" + ----------------------------------------------------------------- + 16#005# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#006# => opOrC & "0001" & "00110011", -- OR s1, 33 + 16#007# => opCompC & "0001" & "00111111", -- COMPARE s1, 3F + 16#008# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "XOR" + ----------------------------------------------------------------- + 16#009# => opLoadC & "0010" & "00001111", -- LOAD s2, 0F + 16#00A# => opXorC & "0010" & "00110011", -- XOR s2, 33 + 16#00B# => opCompC & "0010" & "00111100", -- COMPARE s2, 3C + 16#00C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 2) Test logical operations with registers + ----------------------------------------------------------------- + 16#00D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "LOAD" + ----------------------------------------------------------------- + 16#00E# => opLoadC & "0000" & "00110011", -- LOAD s0, 33 + 16#00F# => opLoadR & "0011" & "0000----", -- LOAD s3, s0 + 16#010# => opCompC & "0011" & "00110011", -- COMPARE s3, 33 + 16#011# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "AND" + ----------------------------------------------------------------- + 16#012# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#013# => opAndR & "0000" & "0011----", -- AND s0, s3 + 16#014# => opCompC & "0000" & "00000011", -- COMPARE s0, 03 + 16#015# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "OR" + ----------------------------------------------------------------- + 16#016# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#017# => opOrR & "0001" & "0011----", -- OR s1, s3 + 16#018# => opCompC & "0001" & "00111111", -- COMPARE s1, 3F + 16#019# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "XOR" + ----------------------------------------------------------------- + 16#01A# => opLoadC & "0010" & "00001111", -- LOAD s2, 0F + 16#01B# => opXorR & "0010" & "0011----", -- XOR s2, s3 + 16#01C# => opCompC & "0010" & "00111100", -- COMPARE s2, 3C + 16#01D# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 3) Test arithmetic operations with constants + ----------------------------------------------------------------- + 16#01E# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "ADD" and "ADDCY" + ----------------------------------------------------------------- + 16#01F# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#020# => opAddC & "0000" & "00110001", -- ADD s0, 31 ; 40 + 16#021# => opAddCyC & "0000" & "11110000", -- ADDCY s0, F0 ; 130 + 16#022# => opAddCyC & "0000" & "11110000", -- ADDCY s0, F0 ; 121 + 16#023# => opAddC & "0000" & "00001111", -- ADD s0, 0F ; 30 + 16#024# => opCompC & "0000" & "00110000", -- COMPARE s0, 30 + 16#025# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "SUB" and "SUBCY" + ----------------------------------------------------------------- + 16#026# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#027# => opSubC & "0001" & "00001100", -- SUB s1, 0C ; 03 + 16#028# => opSubCyC & "0001" & "11110000", -- SUBCY s1, F0 ; 113 + 16#029# => opSubCyC & "0001" & "11110000", -- SUBCY s1, F0 ; 22 + 16#02A# => opSubC & "0001" & "00000001", -- SUB s1, 01 ; 21 + 16#02B# => opCompC & "0001" & "00100001", -- COMPARE s1, 21 + 16#02C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 4) Test arithmetic operations with registers + ----------------------------------------------------------------- + 16#02D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "ADD" and "ADDCY" + ----------------------------------------------------------------- + 16#02E# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#02F# => opLoadC & "0001" & "00110001", -- LOAD s1, 31 + 16#030# => opLoadC & "0010" & "11110000", -- LOAD s2, F0 + 16#031# => opLoadC & "0011" & "00001111", -- LOAD s3, 0F + 16#032# => opAddR & "0000" & "0001----", -- ADD s0, s1 ; 40 + 16#033# => opAddCyR & "0000" & "0010----", -- ADDCY s0, s2 ; 130 + 16#034# => opAddCyR & "0000" & "0010----", -- ADDCY s0, s2 ; 121 + 16#035# => opAddR & "0000" & "0011----", -- ADD s0, s3 ; 30 + 16#036# => opCompC & "0000" & "00110000", -- COMPARE s0, 30 + 16#037# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "SUB" and "SUBCY" + ----------------------------------------------------------------- + 16#038# => opLoadC & "0001" & "00001111", -- LOAD s1, 0F + 16#039# => opLoadC & "0000" & "00001100", -- LOAD s0, 0C + 16#03A# => opLoadC & "0010" & "11110000", -- LOAD s2, F0 + 16#03B# => opLoadC & "0011" & "00000001", -- LOAD s3, 01 + 16#03C# => opSubR & "0001" & "0000----", -- SUB s1, s0 ; 03 + 16#03D# => opSubCyR & "0001" & "0010----", -- SUBCY s1, s2 ; 113 + 16#03E# => opSubCyR & "0001" & "0010----", -- SUBCY s1, s2 ; 22 + 16#03F# => opSubR & "0001" & "0011----", -- SUB s1, s3 ; 21 + 16#040# => opCompC & "0001" & "00100001", -- COMPARE s1, 21 + 16#041# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 5) Test shifts + ----------------------------------------------------------------- + 16#042# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test shift right + ----------------------------------------------------------------- + 16#043# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F ; 0F + 16#044# => opShRot & "0000" & shRotR & shRotLd0,-- SR0 s0 ; 07 + 16#045# => opShRot & "0000" & shRotR & shRotLdM,-- SRX s0 ; 03 + 16#046# => opShRot & "0000" & shRotR & shRotLd1,-- SR1 s0 ; 81 + 16#047# => opShRot & "0000" & shRotR & shRotLdM,-- SRX s0 ; C0, C=1 + 16#048# => opShRot & "0000" & shRotR & shRotLdC,-- SRA s0 ; E0, C=0 + 16#049# => opShRot & "0000" & shRotR & shRotLdC,-- SRA s0 ; 70 + 16#04A# => opCompC & "0000" & "01110000", -- COMPARE s0, 70 + 16#04B# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test shift left + ----------------------------------------------------------------- + 16#04C# => opLoadC & "0001" & "11110000", -- LOAD s1, F0 ; FO + 16#04D# => opShRot & "0001" & shRotL & shRotLd0,-- SL0 s1 ; E0 + 16#04E# => opShRot & "0001" & shRotL & shRotLdL,-- SLX s1 ; C0 + 16#04F# => opShRot & "0001" & shRotL & shRotLd1,-- SL1 s1 ; 81 + 16#050# => opShRot & "0001" & shRotL & shRotLdL,-- SLX s1 ; 03, C=1 + 16#051# => opShRot & "0001" & shRotL & shRotLdC,-- SLA s1 ; 07, C=0 + 16#052# => opShRot & "0001" & shRotL & shRotLdC,-- SLA s1 ; 0E + 16#053# => opCompC & "0001" & "00001110", -- COMPARE s1, 0E + 16#054# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 6) Test comparison operators + ----------------------------------------------------------------- + 16#055# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "COMPARE" + ----------------------------------------------------------------- + 16#056# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#057# => opCompC & "0000" & "11110000", -- COMPARE s0, F0 ; A < B => C=1 + 16#058# => brJump & brNC & "1111111101", -- JUMP NC, 3FD + 16#059# => opCompC & "0000" & "11110000", -- COMPARE s0, F0 ; A < B => Z=0 + 16#05A# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + 16#05B# => opCompR & "0000" & "0000----", -- COMPARE s0, s0 ; A = B => Z=1 + 16#05C# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + 16#05D# => opCompC & "0000" & "00001000", -- COMPARE s0, 08 ; A > B => C=0 + 16#05E# => brJump & brC & "1111111101", -- JUMP C, 3FD + 16#05F# => opCompC & "0000" & "00001000", -- COMPARE s0, 08 ; A > B => Z=0 + 16#060# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + ----------------------------------------------------------------- + -- Test "TEST" + ----------------------------------------------------------------- + 16#061# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#062# => opTestC & "0000" & "11110000", -- TEST s0, F0 ; AND is 00 => Z=1 + 16#063# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + 16#064# => opTestC & "0000" & "11111111", -- TEST s0, FF ; AND is 0F => Z=0 + 16#065# => brJump & brZ & "1111111101", -- JUMP Z, 3FD + --=============================================================== + -- 7) Test INPUT and OUTPUT operators + ----------------------------------------------------------------- + 16#066# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "INPUT" and "OUTPUT" direct + -- + -- The testbench should invert the word written at address FC. + ----------------------------------------------------------------- + 16#067# => opLoadC & "0000" & "10101010", -- LOAD s0, AA + 16#068# => opOutputC & "0000" & "11111100", -- OUTPUT s0, FC + 16#069# => opInputC & "0001" & "11111100", -- INPUT s1, FC + 16#06A# => opCompC & "0001" & "01010101", -- COMPARE s1, 55 + 16#06B# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "INPUT" and "OUTPUT" indexed + ----------------------------------------------------------------- + 16#06C# => opLoadC & "0000" & "11001100", -- LOAD s0, CC + 16#06D# => opLoadC & "0010" & "11111100", -- LOAD s2, FC + 16#06E# => opOutputR & "0000" & "0010----", -- OUTPUT s0, (S2) + 16#06F# => opInputR & "0001" & "0010----", -- INPUT s1, (S2) + 16#070# => opCompC & "0001" & "00110011", -- COMPARE s1, 33 + 16#071# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 8) Test STORE and FETCH operators + ----------------------------------------------------------------- + 16#072# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "STORE" and "FETCH" direct + ----------------------------------------------------------------- + 16#073# => opLoadC & "0000" & "00001111", -- LOAD s0, 0F + 16#074# => opStoreC & "0000" & "00000011", -- STORE s0, 03 + 16#075# => opFetchC & "0001" & "00000011", -- FETCH s1, 03 + 16#076# => opCompC & "0001" & "00001111", -- COMPARE s1, 0F + 16#077# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + ----------------------------------------------------------------- + -- Test "STORE" and "FETCH" indexed + ----------------------------------------------------------------- + 16#078# => opLoadC & "0000" & "11110000", -- LOAD s0, F0 + 16#079# => opLoadC & "0010" & "00000100", -- LOAD s2, 04 + 16#07A# => opStoreR & "0000" & "0010----", -- STORE s0, (S2) + 16#07B# => opFetchR & "0001" & "0010----", -- FETCH s1, (S2) + 16#07C# => opCompC & "0001" & "11110000", -- COMPARE s1, F0 + 16#07D# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 9) Test JUMP instructions + ----------------------------------------------------------------- + 16#07E# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- Test "JUMP NC" + ----------------------------------------------------------------- + 16#07F# => opLoadC & "0000" & "11110000", -- LOAD s0, F0 + 16#080# => opAddC & "0000" & "00000000", -- ADD s0, 00 ; s0=F0, C=0, Z=0 + 16#081# => brJump & brNC & "0010000011", -- JUMP NC, 083 + 16#082# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP NZ" + ----------------------------------------------------------------- + -- _continue1_: + 16#083# => opAddC & "0000" & "00000000", -- ADD s0, 00 ; s0=F0, C=0, Z=0 + 16#084# => brJump & brNZ & "0010000110", -- JUMP NZ, 086 + 16#085# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP C" + ----------------------------------------------------------------- + -- _continue2_: + 16#086# => opAddC & "0000" & "11110000", -- ADD s0, F0 ; s0=E0, C=1, Z=0 + 16#087# => brJump & brC & "0010001001", -- JUMP C, 089 + 16#088# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "JUMP Z" + ----------------------------------------------------------------- + -- _continue3_: + 16#089# => opSubC & "0000" & "11100000", -- SUB s0, E0 ; s0=00, C=0, Z=1 + 16#08A# => brJump & brZ & "0010001100", -- JUMP Z, 08C + 16#08B# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _continue4_: + 16#08C# => opLoadR & "0000" & "0000----", -- NOP + --=============================================================== + -- 10) Test call instructions + ----------------------------------------------------------------- + 16#08D# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- define subroutine + ----------------------------------------------------------------- + 16#08E# => brJump & brDo & "0010010010", -- JUMP 092 + -- _subRetDo_: + 16#08F# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#090# => brRet & brDo & "----------", -- RETURN + 16#091# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "CALL" + ----------------------------------------------------------------- + -- _continue5_: + 16#092# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 + 16#093# => opLoadC & "0001" & "11110000", -- LOAD s1, F0 + 16#094# => brCall & brDo & "0010001111", -- CALL 08F ; s0=01 + ----------------------------------------------------------------- + -- Test "CALL NC" + ----------------------------------------------------------------- + 16#095# => opAddC & "0001" & "00000000", -- ADD s1, 00 ; s1=F0, C=0, Z=0 + 16#096# => brCall & brNC & "0010001111", -- CALL NC, 08F ; s0=02 + ----------------------------------------------------------------- + -- Test "CALL NZ" + ----------------------------------------------------------------- + 16#097# => opAddC & "0001" & "00000000", -- ADD s1, 00 ; s1=F0, C=0, Z=0 + 16#098# => brCall & brNZ & "0010001111", -- CALL NZ, 08F ; s0=03 + ----------------------------------------------------------------- + -- Test "CALL C" + ----------------------------------------------------------------- + 16#099# => opAddC & "0001" & "11110000", -- ADD s1, F0 ; s0=E0, C=1, Z=0 + 16#09A# => brCall & brC & "0010001111", -- CALL C, 08F ; s0=04 + ----------------------------------------------------------------- + -- Test "CALL Z" + ----------------------------------------------------------------- + 16#09B# => opSubC & "0001" & "11100000", -- SUB s1, E0 ; s0=00, C=0, Z=1 + 16#09C# => brCall & brZ & "0010001111", -- CALL Z, 08F ; s0=05 + 16#09D# => opCompC & "0000" & "00000101", -- COMPARE s0, 05 + 16#09E# => brJump & brNZ & "1111111101", -- JUMP NZ, 3FD + --=============================================================== + -- 11) Test call return instructions + ----------------------------------------------------------------- + 16#09F# => opAddC & "0111" & "00000001", -- ADD s7, 01 + ----------------------------------------------------------------- + -- define subroutines + ----------------------------------------------------------------- + 16#0A0# => brJump & brDo & "0010101101", -- JUMP 0AD + -- _subRetNC_: + 16#0A1# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A2# => brRet & brDo & "----------", -- RETURN NC + 16#0A3# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetNZ_: + 16#0A4# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A5# => brRet & brDo & "----------", -- RETURN NZ + 16#0A6# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetC_: + 16#0A7# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0A8# => brRet & brDo & "----------", -- RETURN C + 16#0A9# => brJump & brDo & "1111111101", -- JUMP 3FD + -- _subRetZ_: + 16#0AA# => opAddC & "0000" & "00000001", -- ADD s0, 01 + 16#0AB# => brRet & brDo & "----------", -- RETURN Z + 16#0AC# => brJump & brDo & "1111111101", -- JUMP 3FD + ----------------------------------------------------------------- + -- Test "RETURN NC" + ----------------------------------------------------------------- + -- _continue6_: + 16#0AD# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 ; increment will give C=0, Z=0 + 16#0AE# => brCall & brNC & "0010100001", -- CALL NC, 0A1 + ----------------------------------------------------------------- + -- Test "RETURN NZ" + ----------------------------------------------------------------- + 16#0AF# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 ; increment will give C=0, Z=0 + 16#0B0# => brCall & brNZ & "0010100100", -- CALL NZ, 0A4 + ----------------------------------------------------------------- + -- Test "RETURN C" + ----------------------------------------------------------------- + 16#0B1# => opLoadC & "0000" & "11111111", -- LOAD s0, FF ; increment will give C=1, Z=1 + 16#0B2# => brCall & brC & "0010100111", -- CALL C, 0A7 + ----------------------------------------------------------------- + -- Test "RETURN Z" + ----------------------------------------------------------------- + 16#0B3# => opLoadC & "0000" & "11111111", -- LOAD s0, FF ; increment will give C=1, Z=1 + 16#0B4# => brCall & brZ & "0010101010", -- CALL Z, 0AA + --=============================================================== + -- End of tests + -- + -- The testbench should react if value 1 is written to address 00. + ----------------------------------------------------------------- + 16#0B5# => opLoadC & "0000" & "00000001", -- LOAD s0, 01 + 16#0B6# => opOutputC & "0000" & "00000000", -- OUTPUT s0, 00 + 16#0B7# => brJump & brDo & "1111111111", -- JUMP 3FF + --=============================================================== + -- Assert error + -- + -- The testbench should react if value 0 is written to address 00. + ----------------------------------------------------------------- + -- _error_: + 16#3FD# => opLoadC & "0000" & "00000000", -- LOAD s0, 00 + 16#3FE# => opOutputC & "0000" & "00000000", -- OUTPUT s0, 00 + --=============================================================== + -- End of instruction memory + ----------------------------------------------------------------- + -- _endOfMemory_: + 16#3FF# => brJump & brDo & "1111111111", -- JUMP 3FF + others => (others => '0') + ); + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if en = '1' then + dataOut <= memoryArray(to_integer(address)); + end if; + end if; + end process; + +END ARCHITECTURE mapped; diff --git a/Libs/NanoBlaze/hdl/scratchpad_RTL.vhd b/Libs/NanoBlaze/hdl/scratchpad_RTL.vhd new file mode 100644 index 0000000..7557a00 --- /dev/null +++ b/Libs/NanoBlaze/hdl/scratchpad_RTL.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE RTL OF scratchpad IS + + subtype memoryWordType is signed(dataOut'range); + type memoryArrayType is array (0 to 2**addr'length-1) of memoryWordType; + + signal memoryArray : memoryArrayType; + +BEGIN + + process (clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(addr)) <= dataIn; + end if; + end if; + end process; + + dataOut <= memoryArray(to_integer(addr)); + +END ARCHITECTURE RTL; diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_aluBOpSelector_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_aluBOpSelector_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_aluBOpSelector_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_alu_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_alu_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_alu_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_alu_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_alu_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_alu_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_struct.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_aluandregs_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_alubopselector_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_alubopselector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_alubopselector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_branchStack_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_branchStack_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_branchStack_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_branchstack_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_branchstack_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_branchstack_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_controller_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_controller_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_controller_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_controller_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_controller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_controller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_instructionDecoder_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_instructionDecoder_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_instructionDecoder_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_instructiondecoder_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_instructiondecoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_instructiondecoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_nanoTest.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoTest.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoTest.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_struct.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoblaze_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_struct.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_nanoprocessor_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_programCounter_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_programCounter_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_programCounter_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_programcounter_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_programcounter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_programcounter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_programrom_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_programrom_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_programrom_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_registerFile_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_registerFile_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_registerFile_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_registerfile_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_registerfile_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_registerfile_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_rom_empty.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_rom_empty.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_rom_empty.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_rom_mapped.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_rom_mapped.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_rom_mapped.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_RTL.vhd._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_entity.vhg._fpf b/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/NanoBlaze/hds/.hdlsidedata/_scratchpad_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze/hds/_alu._epf b/Libs/NanoBlaze/hds/_alu._epf new file mode 100644 index 0000000..903076b --- /dev/null +++ b/Libs/NanoBlaze/hds/_alu._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom alu_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/NanoBlaze/hds/_aluandregs._epf b/Libs/NanoBlaze/hds/_aluandregs._epf new file mode 100644 index 0000000..442021e --- /dev/null +++ b/Libs/NanoBlaze/hds/_aluandregs._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom alu@and@regs/struct.bd diff --git a/Libs/NanoBlaze/hds/_alubopselector._epf b/Libs/NanoBlaze/hds/_alubopselector._epf new file mode 100644 index 0000000..8fd9406 --- /dev/null +++ b/Libs/NanoBlaze/hds/_alubopselector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom aluBOpSelector_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_branchstack._epf b/Libs/NanoBlaze/hds/_branchstack._epf new file mode 100644 index 0000000..4f1ef8e --- /dev/null +++ b/Libs/NanoBlaze/hds/_branchstack._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom branchStack_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_controller._epf b/Libs/NanoBlaze/hds/_controller._epf new file mode 100644 index 0000000..4d80bb2 --- /dev/null +++ b/Libs/NanoBlaze/hds/_controller._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom controller_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_instructiondecoder._epf b/Libs/NanoBlaze/hds/_instructiondecoder._epf new file mode 100644 index 0000000..bc9ab74 --- /dev/null +++ b/Libs/NanoBlaze/hds/_instructiondecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom instructionDecoder_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_nanoblaze._epf b/Libs/NanoBlaze/hds/_nanoblaze._epf new file mode 100644 index 0000000..943becd --- /dev/null +++ b/Libs/NanoBlaze/hds/_nanoblaze._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom nano@blaze/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/NanoBlaze/hds/_nanoprocessor._epf b/Libs/NanoBlaze/hds/_nanoprocessor._epf new file mode 100644 index 0000000..1028fa8 --- /dev/null +++ b/Libs/NanoBlaze/hds/_nanoprocessor._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom nano@processor/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/Libs/NanoBlaze/hds/_programcounter._epf b/Libs/NanoBlaze/hds/_programcounter._epf new file mode 100644 index 0000000..bb4bfe1 --- /dev/null +++ b/Libs/NanoBlaze/hds/_programcounter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom programCounter_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_programrom._epf b/Libs/NanoBlaze/hds/_programrom._epf new file mode 100644 index 0000000..04f9d0f --- /dev/null +++ b/Libs/NanoBlaze/hds/_programrom._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rom_mapped.vhd +DEFAULT_ARCHITECTURE atom mapped diff --git a/Libs/NanoBlaze/hds/_registerfile._epf b/Libs/NanoBlaze/hds/_registerfile._epf new file mode 100644 index 0000000..a085908 --- /dev/null +++ b/Libs/NanoBlaze/hds/_registerfile._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom registerFile_RTL.vhd diff --git a/Libs/NanoBlaze/hds/_scratchpad._epf b/Libs/NanoBlaze/hds/_scratchpad._epf new file mode 100644 index 0000000..faf93aa --- /dev/null +++ b/Libs/NanoBlaze/hds/_scratchpad._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom scratchpad_RTL.vhd diff --git a/Libs/NanoBlaze/hds/alu/symbol.sb b/Libs/NanoBlaze/hds/alu/symbol.sb new file mode 100644 index 0000000..59d74d6 --- /dev/null +++ b/Libs/NanoBlaze/hds/alu/symbol.sb @@ -0,0 +1,1715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 172,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 1 +suid 3,0 +) +) +uid 140,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 8,0 +) +) +uid 150,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 11,0 +) +) +uid 156,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 13,0 +) +) +uid 160,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 18,0 +) +) +uid 335,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "aluOut" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 6 +suid 19,0 +) +) +uid 337,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 20,0 +) +) +uid 393,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 185,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 187,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 188,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 189,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 190,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 141,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 151,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 157,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 161,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 336,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 338,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 394,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 191,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 192,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 193,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 194,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 195,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 196,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 197,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 198,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 199,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 186,0 +vaOverrides [ +] +) +] +) +uid 171,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 201,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +uid 250,0 +) +*54 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 252,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 213,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &41 +pos 2 +dimension 20 +) +uid 215,0 +optionalChildren [ +*57 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 216,0 +) +*58 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 217,0 +) +*59 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 218,0 +) +*60 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 251,0 +) +*61 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 253,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 219,0 +optionalChildren [ +*62 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 220,0 +) +*63 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 221,0 +) +*64 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 222,0 +) +*65 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 223,0 +) +*66 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 224,0 +) +*67 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 225,0 +) +*68 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 226,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 214,0 +vaOverrides [ +] +) +] +) +uid 200,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu" +) +(vvPair +variable "date" +value "02.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "02" +) +(vvPair +variable "entity_name" +value "alu" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3471" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PicoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Board/PicoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "alu" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:23:43" +) +(vvPair +variable "unit" +value "alu" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 170,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,12250,35375,13000" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "34000,13000,35700,14000" +st "opA" +blo "34000,13800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15000,27000,15800" +st "opA : IN signed ( dataBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 1 +suid 3,0 +) +) +) +*71 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43801,18625,44551,19375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "41401,18500,42801,19500" +st "cIn" +ju 2 +blo "42801,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14200,16500,15000" +st "cIn : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*72 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33450,18625,34200,19375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +) +xt "35200,18500,37200,19500" +st "cOut" +blo "35200,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17400,16500,18200" +st "cOut : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*73 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44867,16625,45617,17375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +va (VaSet +) +xt "41800,16500,45000,17500" +st "aluCode" +ju 2 +blo "45000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13400,34000,14200" +st "aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 13,0 +) +) +) +*74 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,12250,43375,13000" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +) +xt "42000,13000,43700,14000" +st "opB" +blo "42000,13800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15800,27000,16600" +st "opB : IN signed ( dataBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 18,0 +) +) +) +*75 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,23000,39375,23750" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 333,0 +va (VaSet +) +xt "38000,22000,40600,23000" +st "aluOut" +blo "38000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16600,27000,17400" +st "aluOut : OUT signed ( dataBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "aluOut" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 6 +suid 19,0 +) +) +) +*76 (CptPort +uid 388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 389,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32384,16625,33134,17375" +) +tg (CPTG +uid 390,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 391,0 +va (VaSet +) +xt "34134,16500,36034,17500" +st "zero" +blo "34134,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 392,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18200,15500,19000" +st "zero : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 20,0 +) +) +) +] +shape (Alu +uid 362,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,13000,47000,23000" +) +oxt "15000,6000,31000,32000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "40950,23000,44950,24000" +st "PicoBlaze" +blo "40950,23800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "40950,24000,42450,25000" +st "alu" +blo "40950,24800" +) +) +gi *77 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,26000,55000,29200" +st "Generic Declarations + +aluCodeBitNb positive 5 +dataBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*78 (Grouping +uid 16,0 +optionalChildren [ +*79 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,42900,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,44200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,32300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,56800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37150,44500,43850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,32300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,32900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,43000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *89 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*91 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,26,1281,865" +viewArea "-3000,-1000,72402,50475" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *92 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *93 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,11400,3400,12400" +st "Declarations" +blo "-2000,12200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,12400,700,13400" +st "Ports:" +blo "-2000,13200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,19000,400,20000" +st "User:" +blo "-2000,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,11400,3800,12400" +st "Internal User:" +blo "-2000,12200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20000,0,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,11400,-2000,11400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 394,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/alu@and@regs/struct.bd b/Libs/NanoBlaze/hds/alu@and@regs/struct.bd new file mode 100644 index 0000000..669bf27 --- /dev/null +++ b/Libs/NanoBlaze/hds/alu@and@regs/struct.bd @@ -0,0 +1,6384 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_bSel" +duLibraryName "NanoBlaze" +duName "aluBOpSelector" +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +] +mwi 0 +uid 1828,0 +) +(Instance +name "I_regs" +duLibraryName "NanoBlaze" +duName "registerFile" +elements [ +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "registerBitNb" +) +] +mwi 0 +uid 1870,0 +) +(Instance +name "I_ALU" +duLibraryName "NanoBlaze" +duName "alu" +elements [ +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "registerBitNb" +) +] +mwi 0 +uid 1908,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\aluAndRegs" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "aluAndRegs" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:44" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "aluAndRegs" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\aluAndRegs\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:38:44" +) +(vvPair +variable "unit" +value "aluAndRegs" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "67000,54625,68500,55375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "68500,55000,69000,55000" +pts [ +"68500,55000" +"69000,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "61500,54300,66000,55700" +st "addrA" +ju 2 +blo "66000,55500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,8400,20500,9600" +st "addrA : unsigned( registerAddressBitNb-1 DOWNTO 0 )" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "67000,56625,68500,57375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "68500,57000,69000,57000" +pts [ +"68500,57000" +"69000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "61500,56300,66000,57700" +st "addrB" +ju 2 +blo "66000,57500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,9600,20500,10800" +st "addrB : unsigned( registerAddressBitNb-1 DOWNTO 0 )" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 90 +xt "53500,54625,55000,55375" +) +(Line +uid 40,0 +sl 0 +ro 90 +xt "53000,55000,53500,55000" +pts [ +"53500,55000" +"53000,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "56000,54300,62000,55700" +st "aluCode" +blo "56000,55500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,10800,21000,12000" +st "aluCode : std_ulogic_vector( aluCodeBitNb-1 DOWNTO 0 )" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 90 +xt "53500,56625,55000,57375" +) +(Line +uid 54,0 +sl 0 +ro 90 +xt "53000,57000,53500,57000" +pts [ +"53500,57000" +"53000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "56000,56300,58700,57700" +st "cIn" +blo "56000,57500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,12000,3500,13200" +st "cIn : std_ulogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "67000,62625,68500,63375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "68500,63000,69000,63000" +pts [ +"68500,63000" +"69000,63000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "62200,62300,66000,63700" +st "clock" +ju 2 +blo "66000,63500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,13200,3500,14400" +st "clock : std_ulogic" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "19000,56625,20500,57375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "20500,57000,21000,57000" +pts [ +"21000,57000" +"20500,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "14300,56300,18000,57700" +st "cOut" +ju 2 +blo "18000,57500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "cOut" +t "std_ulogic" +o 15 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,25200,3500,26400" +st "cOut : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "43000,24625,44500,25375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "44500,25000,45000,25000" +pts [ +"44500,25000" +"45000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35400,24300,42000,25700" +st "instrData" +ju 2 +blo "42000,25500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,14400,16000,15600" +st "instrData : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "43000,26625,44500,27375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "44500,27000,45000,27000" +pts [ +"44500,27000" +"45000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "32700,26300,42000,27700" +st "instrDataSel" +ju 2 +blo "42000,27500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,15600,3500,16800" +st "instrDataSel : std_ulogic" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "121500,32625,123000,33375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "121000,33000,121500,33000" +pts [ +"121000,33000" +"121500,33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "124000,32300,130300,33700" +st "portAddr" +blo "124000,33500" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 133,0 +decl (Decl +n "portAddr" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 16 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,26400,17500,27600" +st "portAddr : unsigned(portAddressBitNb-1 DOWNTO 0)" +) +) +*19 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 90 +xt "77500,24625,79000,25375" +) +(Line +uid 138,0 +sl 0 +ro 90 +xt "77000,25000,77500,25000" +pts [ +"77500,25000" +"77000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,24300,84700,25700" +st "portIn" +blo "80000,25500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 147,0 +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,16800,16000,18000" +st "portIn : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*21 (PortIoIn +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 90 +xt "77500,26625,79000,27375" +) +(Line +uid 152,0 +sl 0 +ro 90 +xt "77000,27000,77500,27000" +pts [ +"77500,27000" +"77000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,26300,86600,27700" +st "portInSel" +blo "80000,27500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 161,0 +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,18000,3500,19200" +st "portInSel : std_ulogic" +) +) +*23 (PortIoOut +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "121500,46625,123000,47375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "121000,47000,121500,47000" +pts [ +"121000,47000" +"121500,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "124000,46300,129700,47700" +st "portOut" +blo "124000,47500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 175,0 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 17 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,27600,16000,28800" +st "portOut : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*25 (PortIoIn +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 90 +xt "77500,38625,79000,39375" +) +(Line +uid 180,0 +sl 0 +ro 90 +xt "77000,39000,77500,39000" +pts [ +"77500,39000" +"77000,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,38300,90500,39700" +st "registerFileSel" +blo "80000,39500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 189,0 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,20400,3500,21600" +st "registerFileSel : std_ulogic" +) +) +*27 (PortIoIn +uid 191,0 +shape (CompositeShape +uid 192,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 193,0 +sl 0 +ro 270 +xt "67000,64625,68500,65375" +) +(Line +uid 194,0 +sl 0 +ro 270 +xt "68500,65000,69000,65000" +pts [ +"68500,65000" +"69000,65000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 195,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "61900,64300,66000,65700" +st "reset" +ju 2 +blo "66000,65500" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 203,0 +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 14,0 +) +declText (MLText +uid 204,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,21600,3500,22800" +st "reset : std_ulogic" +) +) +*29 (PortIoIn +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 90 +xt "77500,32625,79000,33375" +) +(Line +uid 208,0 +sl 0 +ro 90 +xt "77000,33000,77500,33000" +pts [ +"77500,33000" +"77000,33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,32300,90400,33700" +st "scratchpadSel" +blo "80000,33500" +tm "WireNameMgr" +) +) +) +*30 (Net +uid 217,0 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,22800,3500,24000" +st "scratchpadSel : std_ulogic" +) +) +*31 (PortIoIn +uid 233,0 +shape (CompositeShape +uid 234,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 235,0 +sl 0 +ro 90 +xt "77500,30625,79000,31375" +) +(Line +uid 236,0 +sl 0 +ro 90 +xt "77000,31000,77500,31000" +pts [ +"77500,31000" +"77000,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 237,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 238,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,30300,85200,31700" +st "spadIn" +blo "80000,31500" +tm "WireNameMgr" +) +) +) +*32 (Net +uid 245,0 +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 17,0 +) +declText (MLText +uid 246,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,24000,16000,25200" +st "spadIn : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*33 (PortIoOut +uid 247,0 +shape (CompositeShape +uid 248,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 249,0 +sl 0 +ro 270 +xt "121500,48625,123000,49375" +) +(Line +uid 250,0 +sl 0 +ro 270 +xt "121000,49000,121500,49000" +pts [ +"121000,49000" +"121500,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 251,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 252,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "124000,48300,130200,49700" +st "spadOut" +blo "124000,49500" +tm "WireNameMgr" +) +) +) +*34 (Net +uid 259,0 +decl (Decl +n "spadOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 19 +suid 18,0 +) +declText (MLText +uid 260,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,30000,16000,31200" +st "spadOut : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*35 (PortIoOut +uid 261,0 +shape (CompositeShape +uid 262,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 263,0 +sl 0 +ro 90 +xt "19000,54625,20500,55375" +) +(Line +uid 264,0 +sl 0 +ro 90 +xt "20500,55000,21000,55000" +pts [ +"21000,55000" +"20500,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 265,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 266,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "14400,54300,18000,55700" +st "zero" +ju 2 +blo "18000,55500" +tm "WireNameMgr" +) +) +) +*36 (Net +uid 273,0 +decl (Decl +n "zero" +t "std_ulogic" +o 20 +suid 19,0 +) +declText (MLText +uid 274,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,31200,3500,32400" +st "zero : std_ulogic" +) +) +*37 (Grouping +uid 313,0 +optionalChildren [ +*38 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "96000,98000,113000,99000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "96200,98500,96200,98500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*39 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,94000,117000,95000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,94500,113200,94500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*40 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "96000,96000,113000,97000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "96200,96500,96200,96500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*41 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,96000,96000,97000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,96500,92200,96500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*42 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,95000,133000,99000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,95200,127300,96400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*43 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "117000,94000,133000,95000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "117200,94500,117200,94500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*44 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,94000,113000,96000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "97350,94400,107650,95600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*45 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,97000,96000,98000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,97500,92200,97500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*46 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,98000,96000,99000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,98500,92200,98500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*47 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "96000,97000,113000,98000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "96200,97500,96200,97500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "92000,94000,133000,99000" +) +oxt "14000,66000,55000,71000" +) +*48 (PortIoIn +uid 699,0 +shape (CompositeShape +uid 700,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 701,0 +sl 0 +ro 270 +xt "67000,58625,68500,59375" +) +(Line +uid 702,0 +sl 0 +ro 270 +xt "68500,59000,69000,59000" +pts [ +"68500,59000" +"69000,59000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 703,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 704,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "59700,58500,66000,59900" +st "regWrite" +ju 2 +blo "66000,59700" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 711,0 +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 20,0 +) +declText (MLText +uid 712,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,19200,3500,20400" +st "regWrite : std_ulogic" +) +) +*50 (Net +uid 879,0 +decl (Decl +n "opA" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 22 +suid 21,0 +) +declText (MLText +uid 880,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,34600,18500,35800" +st "SIGNAL opA : signed(registerBitNb-1 DOWNTO 0)" +) +) +*51 (Net +uid 887,0 +decl (Decl +n "opB" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 23 +suid 22,0 +) +declText (MLText +uid 888,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,35800,18500,37000" +st "SIGNAL opB : signed(registerBitNb-1 DOWNTO 0)" +) +) +*52 (Net +uid 970,0 +decl (Decl +n "aluOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 21 +suid 23,0 +) +declText (MLText +uid 971,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,33400,18500,34600" +st "SIGNAL aluOut : signed(registerBitNb-1 DOWNTO 0)" +) +) +*53 (Net +uid 1269,0 +decl (Decl +n "registerFileIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 24 +suid 25,0 +) +declText (MLText +uid 1270,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,37000,18500,38200" +st "SIGNAL registerFileIn : signed(registerBitNb-1 DOWNTO 0)" +) +) +*54 (HdlText +uid 1431,0 +optionalChildren [ +*55 (EmbeddedText +uid 1437,0 +commentText (CommentText +uid 1438,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1439,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,44000,113000,52000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1440,0 +va (VaSet +) +xt "101200,44200,111300,46600" +st " +portOut <= opA; +spadOut <= opA; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 12000 +) +) +) +] +shape (Rectangle +uid 1432,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,43000,113000,53000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1433,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +uid 1434,0 +va (VaSet +font "Arial,8,1" +) +xt "101150,53000,102850,54000" +st "eb1" +blo "101150,53800" +tm "HdlTextNameMgr" +) +*57 (Text +uid 1435,0 +va (VaSet +font "Arial,8,1" +) +xt "101150,54000,101950,55000" +st "1" +blo "101150,54800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1436,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,51250,102750,52750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*58 (HdlText +uid 1441,0 +optionalChildren [ +*59 (EmbeddedText +uid 1447,0 +commentText (CommentText +uid 1448,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1449,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,30000,113000,38000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1450,0 +va (VaSet +) +xt "101200,30200,112600,37400" +st " +portAddr <= resize(unsigned(registerFileIn), portAddressBitNb); +scratchpadAddr <= resize(unsigned(registerFileIn), scratchpadAddressBitNb); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 12000 +) +) +) +] +shape (Rectangle +uid 1442,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "101000,29000,113000,39000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1443,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 1444,0 +va (VaSet +font "Arial,8,1" +) +xt "101150,39000,102850,40000" +st "eb2" +blo "101150,39800" +tm "HdlTextNameMgr" +) +*61 (Text +uid 1445,0 +va (VaSet +font "Arial,8,1" +) +xt "101150,40000,101950,41000" +st "2" +blo "101150,40800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1446,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "101250,37250,102750,38750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*62 (PortIoOut +uid 1494,0 +shape (CompositeShape +uid 1495,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1496,0 +sl 0 +ro 270 +xt "121500,34625,123000,35375" +) +(Line +uid 1497,0 +sl 0 +ro 270 +xt "121000,35000,121500,35000" +pts [ +"121000,35000" +"121500,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1498,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1499,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "122000,35300,133400,36700" +st "scratchpadAddr" +blo "122000,36500" +tm "WireNameMgr" +) +) +) +*63 (Net +uid 1506,0 +decl (Decl +n "scratchpadAddr" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 18 +suid 26,0 +) +declText (MLText +uid 1507,0 +va (VaSet +font "Courier New,9,0" +) +xt "-12000,28800,20500,30000" +st "scratchpadAddr : unsigned(scratchpadAddressBitNb-1 DOWNTO 0)" +) +) +*64 (SaComponent +uid 1828,0 +optionalChildren [ +*65 (CptPort +uid 1792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1793,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,24625,53000,25375" +) +tg (CPTG +uid 1794,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1795,0 +va (VaSet +) +xt "54000,24500,57500,25500" +st "instrData" +blo "54000,25300" +) +) +thePort (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 1,0 +) +) +) +*66 (CptPort +uid 1796,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1797,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,26625,53000,27375" +) +tg (CPTG +uid 1798,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1799,0 +va (VaSet +) +xt "54000,26500,59000,27500" +st "instrDataSel" +blo "54000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*67 (CptPort +uid 1800,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1801,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,30625,53000,31375" +) +tg (CPTG +uid 1802,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1803,0 +va (VaSet +) +xt "54000,30500,55700,31500" +st "opB" +blo "54000,31300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 22 +suid 3,0 +) +) +) +*68 (CptPort +uid 1804,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1805,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,24625,69750,25375" +) +tg (CPTG +uid 1806,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1807,0 +va (VaSet +) +xt "65700,24500,68000,25500" +st "portIn" +ju 2 +blo "68000,25300" +) +) +thePort (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 4,0 +) +) +) +*69 (CptPort +uid 1808,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1809,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,26625,69750,27375" +) +tg (CPTG +uid 1810,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1811,0 +va (VaSet +) +xt "64600,26500,68000,27500" +st "portInSel" +ju 2 +blo "68000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 5,0 +) +) +) +*70 (CptPort +uid 1812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1813,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,36625,69750,37375" +) +tg (CPTG +uid 1814,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1815,0 +va (VaSet +) +xt "62600,36500,68000,37500" +st "registerFileIn" +ju 2 +blo "68000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "registerFileIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 23 +suid 6,0 +) +) +) +*71 (CptPort +uid 1816,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1817,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,38625,69750,39375" +) +tg (CPTG +uid 1818,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1819,0 +va (VaSet +) +xt "62100,38500,68000,39500" +st "registerFileSel" +ju 2 +blo "68000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 7,0 +) +) +) +*72 (CptPort +uid 1820,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1821,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,32625,69750,33375" +) +tg (CPTG +uid 1822,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1823,0 +va (VaSet +) +xt "62400,32500,68000,33500" +st "scratchpadSel" +ju 2 +blo "68000,33300" +) +) +thePort (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +) +*73 (CptPort +uid 1824,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1825,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,30625,69750,31375" +) +tg (CPTG +uid 1826,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1827,0 +va (VaSet +) +xt "65400,30500,68000,31500" +st "spadIn" +ju 2 +blo "68000,31300" +) +) +thePort (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 1829,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,21000,69000,43000" +) +oxt "39000,13000,55000,35000" +ttg (MlTextGroup +uid 1830,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 1831,0 +va (VaSet +font "Arial,8,1" +) +xt "53700,43000,58100,44000" +st "NanoBlaze" +blo "53700,43800" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 1832,0 +va (VaSet +font "Arial,8,1" +) +xt "53700,44000,60300,45000" +st "aluBOpSelector" +blo "53700,44800" +tm "CptNameMgr" +) +*76 (Text +uid 1833,0 +va (VaSet +font "Arial,8,1" +) +xt "53700,45000,56300,46000" +st "I_bSel" +blo "53700,45800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1834,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1835,0 +text (MLText +uid 1836,0 +va (VaSet +font "Courier New,8,0" +) +xt "53000,46200,78500,47000" +st "registerBitNb = registerBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +] +) +viewicon (ZoomableIcon +uid 1837,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,41250,54750,42750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*77 (SaComponent +uid 1870,0 +optionalChildren [ +*78 (CptPort +uid 1838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1839,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,54625,77000,55375" +) +tg (CPTG +uid 1840,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1841,0 +va (VaSet +) +xt "78000,54500,80400,55500" +st "addrA" +blo "78000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +) +*79 (CptPort +uid 1842,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1843,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,56625,77000,57375" +) +tg (CPTG +uid 1844,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1845,0 +va (VaSet +) +xt "78000,56500,80400,57500" +st "addrB" +blo "78000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2,0 +) +) +) +*80 (CptPort +uid 1846,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1847,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,67000,85375,67750" +) +tg (CPTG +uid 1848,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1849,0 +va (VaSet +) +xt "84000,65000,88500,66000" +st "registersIn" +blo "84000,65800" +) +) +thePort (LogicalPort +decl (Decl +n "registersIn" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 3,0 +) +) +) +*81 (CptPort +uid 1850,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1851,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,62625,77000,63375" +) +tg (CPTG +uid 1852,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1853,0 +va (VaSet +) +xt "78000,62500,80100,63500" +st "clock" +blo "78000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*82 (CptPort +uid 1854,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1855,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80625,50250,81375,51000" +) +tg (CPTG +uid 1856,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1857,0 +va (VaSet +) +xt "80000,52000,81700,53000" +st "opA" +ju 2 +blo "81700,52800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 21 +suid 5,0 +) +) +) +*83 (CptPort +uid 1858,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1859,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,50250,89375,51000" +) +tg (CPTG +uid 1860,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1861,0 +va (VaSet +) +xt "88000,52000,89700,53000" +st "opB" +ju 2 +blo "89700,52800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 6,0 +) +) +) +*84 (CptPort +uid 1862,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1863,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,58625,77000,59375" +) +tg (CPTG +uid 1864,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1865,0 +va (VaSet +) +xt "78000,58500,81400,59500" +st "regWrite" +blo "78000,59300" +) +) +thePort (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 7,0 +) +) +) +*85 (CptPort +uid 1866,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1867,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76250,64625,77000,65375" +) +tg (CPTG +uid 1868,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1869,0 +va (VaSet +) +xt "78000,64500,80100,65500" +st "reset" +blo "78000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1871,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "77000,51000,93000,67000" +) +oxt "39000,13000,55000,29000" +ttg (MlTextGroup +uid 1872,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 1873,0 +va (VaSet +font "Arial,8,1" +) +xt "77550,67000,81950,68000" +st "NanoBlaze" +blo "77550,67800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 1874,0 +va (VaSet +font "Arial,8,1" +) +xt "77550,68000,82450,69000" +st "registerFile" +blo "77550,68800" +tm "CptNameMgr" +) +*88 (Text +uid 1875,0 +va (VaSet +font "Arial,8,1" +) +xt "77550,69000,80150,70000" +st "I_regs" +blo "77550,69800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1876,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1877,0 +text (MLText +uid 1878,0 +va (VaSet +font "Courier New,8,0" +) +xt "77000,70200,109500,71800" +st "registerAddressBitNb = registerAddressBitNb ( positive ) +dataBitNb = registerBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "registerBitNb" +) +] +) +viewicon (ZoomableIcon +uid 1879,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "77250,65250,78750,66750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*89 (SaComponent +uid 1908,0 +optionalChildren [ +*90 (CptPort +uid 1880,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1881,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,50250,33375,51000" +) +tg (CPTG +uid 1882,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1883,0 +va (VaSet +) +xt "32000,51000,33700,52000" +st "opA" +blo "32000,51800" +) +) +thePort (LogicalPort +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 1 +suid 3,0 +) +) +) +*91 (CptPort +uid 1884,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1885,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41801,56625,42551,57375" +) +tg (CPTG +uid 1886,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1887,0 +va (VaSet +) +xt "39401,56500,40801,57500" +st "cIn" +ju 2 +blo "40801,57300" +) +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*92 (CptPort +uid 1888,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1889,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31450,56625,32200,57375" +) +tg (CPTG +uid 1890,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1891,0 +va (VaSet +) +xt "33200,56500,35200,57500" +st "cOut" +blo "33200,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*93 (CptPort +uid 1892,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1893,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42867,54625,43617,55375" +) +tg (CPTG +uid 1894,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1895,0 +va (VaSet +) +xt "39800,54500,43000,55500" +st "aluCode" +ju 2 +blo "43000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 13,0 +) +) +) +*94 (CptPort +uid 1896,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1897,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,50250,41375,51000" +) +tg (CPTG +uid 1898,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1899,0 +va (VaSet +) +xt "40000,51000,41700,52000" +st "opB" +blo "40000,51800" +) +) +thePort (LogicalPort +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 18,0 +) +) +) +*95 (CptPort +uid 1900,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1901,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,61000,37375,61750" +) +tg (CPTG +uid 1902,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1903,0 +va (VaSet +) +xt "36000,60000,38600,61000" +st "aluOut" +blo "36000,60800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "aluOut" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 6 +suid 19,0 +) +) +) +*96 (CptPort +uid 1904,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1905,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30384,54625,31134,55375" +) +tg (CPTG +uid 1906,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1907,0 +va (VaSet +) +xt "32134,54500,34034,55500" +st "zero" +blo "32134,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 20,0 +) +) +) +] +shape (Alu +uid 1909,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,51000,45000,61000" +) +oxt "31000,13000,47000,23000" +ttg (MlTextGroup +uid 1910,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 1911,0 +va (VaSet +font "Arial,8,1" +) +xt "38950,61000,43350,62000" +st "NanoBlaze" +blo "38950,61800" +tm "BdLibraryNameMgr" +) +*98 (Text +uid 1912,0 +va (VaSet +font "Arial,8,1" +) +xt "38950,62000,40450,63000" +st "alu" +blo "38950,62800" +tm "CptNameMgr" +) +*99 (Text +uid 1913,0 +va (VaSet +font "Arial,8,1" +) +xt "38950,63000,41550,64000" +st "I_ALU" +blo "38950,63800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1914,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1915,0 +text (MLText +uid 1916,0 +va (VaSet +font "Courier New,8,0" +) +xt "39000,64000,64000,65600" +st "aluCodeBitNb = aluCodeBitNb ( positive ) +dataBitNb = registerBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "registerBitNb" +) +] +) +viewicon (ZoomableIcon +uid 1917,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,59250,30750,60750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*100 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69000,55000,76250,55000" +pts [ +"69000,55000" +"76250,55000" +] +) +start &1 +end &78 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,53600,74500,55000" +st "addrA" +blo "70000,54800" +tm "WireNameMgr" +) +) +on &2 +) +*101 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69000,57000,76250,57000" +pts [ +"69000,57000" +"76250,57000" +] +) +start &3 +end &79 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,55600,74500,57000" +st "addrB" +blo "70000,56800" +tm "WireNameMgr" +) +) +on &4 +) +*102 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43617,55000,53000,55000" +pts [ +"53000,55000" +"43617,55000" +] +) +start &5 +end &93 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,53600,54000,55000" +st "aluCode" +blo "48000,54800" +tm "WireNameMgr" +) +) +on &6 +) +*103 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "42551,57000,53000,57000" +pts [ +"53000,57000" +"42551,57000" +] +) +start &7 +end &91 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "Verdana,12,0" +) +xt "51000,55600,53700,57000" +st "cIn" +blo "51000,56800" +tm "WireNameMgr" +) +) +on &8 +) +*104 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "69000,63000,76250,63000" +pts [ +"69000,63000" +"76250,63000" +] +) +start &9 +end &81 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,61600,74800,63000" +st "clock" +blo "71000,62800" +tm "WireNameMgr" +) +) +on &10 +) +*105 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "21000,57000,31450,57000" +pts [ +"21000,57000" +"31450,57000" +] +) +start &11 +end &92 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,55600,24700,57000" +st "cOut" +blo "21000,56800" +tm "WireNameMgr" +) +) +on &12 +) +*106 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,25000,52250,25000" +pts [ +"45000,25000" +"52250,25000" +] +) +start &13 +end &65 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,23600,51600,25000" +st "instrData" +blo "45000,24800" +tm "WireNameMgr" +) +) +on &14 +) +*107 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "45000,27000,52250,27000" +pts [ +"45000,27000" +"52250,27000" +] +) +start &15 +end &66 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,25600,53300,27000" +st "instrDataSel" +blo "44000,26800" +tm "WireNameMgr" +) +) +on &16 +) +*108 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,33000,121000,33000" +pts [ +"121000,33000" +"113000,33000" +] +) +start &17 +end &58 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "Verdana,12,0" +) +xt "116000,31600,122300,33000" +st "portAddr" +blo "116000,32800" +tm "WireNameMgr" +) +) +on &18 +) +*109 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,25000,77000,25000" +pts [ +"77000,25000" +"69750,25000" +] +) +start &19 +end &68 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,23600,78700,25000" +st "portIn" +blo "74000,24800" +tm "WireNameMgr" +) +) +on &20 +) +*110 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "69750,27000,77000,27000" +pts [ +"77000,27000" +"69750,27000" +] +) +start &21 +end &69 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,25600,78600,27000" +st "portInSel" +blo "72000,26800" +tm "WireNameMgr" +) +) +on &22 +) +*111 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,47000,121000,47000" +pts [ +"121000,47000" +"117000,47000" +"113000,47000" +] +) +start &23 +end &54 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,45600,122700,47000" +st "portOut" +blo "117000,46800" +tm "WireNameMgr" +) +) +on &24 +) +*112 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "69750,39000,77000,39000" +pts [ +"77000,39000" +"69750,39000" +] +) +start &25 +end &71 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,37600,80500,39000" +st "registerFileSel" +blo "70000,38800" +tm "WireNameMgr" +) +) +on &26 +) +*113 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "69000,65000,76250,65000" +pts [ +"69000,65000" +"76250,65000" +] +) +start &27 +end &85 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,63600,74100,65000" +st "reset" +blo "70000,64800" +tm "WireNameMgr" +) +) +on &28 +) +*114 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "69750,33000,77000,33000" +pts [ +"77000,33000" +"69750,33000" +] +) +start &29 +end &72 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +font "Verdana,12,0" +) +xt "70000,31600,80400,33000" +st "scratchpadSel" +blo "70000,32800" +tm "WireNameMgr" +) +) +on &30 +) +*115 (Wire +uid 239,0 +shape (OrthoPolyLine +uid 240,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,31000,77000,31000" +pts [ +"77000,31000" +"69750,31000" +] +) +start &31 +end &73 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 243,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 244,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,29600,78200,31000" +st "spadIn" +blo "73000,30800" +tm "WireNameMgr" +) +) +on &32 +) +*116 (Wire +uid 253,0 +shape (OrthoPolyLine +uid 254,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,49000,121000,49000" +pts [ +"121000,49000" +"117000,49000" +"113000,49000" +] +) +start &33 +end &54 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 257,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 258,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,47600,123200,49000" +st "spadOut" +blo "117000,48800" +tm "WireNameMgr" +) +) +on &34 +) +*117 (Wire +uid 267,0 +shape (OrthoPolyLine +uid 268,0 +va (VaSet +vasetType 3 +) +xt "21000,55000,30384,55000" +pts [ +"21000,55000" +"30384,55000" +] +) +start &35 +end &96 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 271,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 272,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,53600,24600,55000" +st "zero" +blo "21000,54800" +tm "WireNameMgr" +) +) +on &36 +) +*118 (Wire +uid 705,0 +shape (OrthoPolyLine +uid 706,0 +va (VaSet +vasetType 3 +) +xt "69000,59000,76250,59000" +pts [ +"69000,59000" +"76250,59000" +] +) +start &48 +end &84 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 709,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 710,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,57600,75300,59000" +st "regWrite" +blo "69000,58800" +tm "WireNameMgr" +) +) +on &49 +) +*119 (Wire +uid 881,0 +optionalChildren [ +*120 (BdJunction +uid 1429,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1430,0 +va (VaSet +vasetType 1 +) +xt "80600,46600,81400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 882,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,47000,81000,50250" +pts [ +"33000,50250" +"33000,47000" +"81000,47000" +"81000,50250" +] +) +start &90 +end &82 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 886,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "31600,46250,33000,49450" +st "opA" +blo "32800,49450" +tm "WireNameMgr" +) +) +on &50 +) +*121 (Wire +uid 889,0 +shape (OrthoPolyLine +uid 890,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,31000,52250,50250" +pts [ +"41000,50250" +"41000,31000" +"52250,31000" +] +) +start &94 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 894,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "39600,46250,41000,49450" +st "opB" +blo "40800,49450" +tm "WireNameMgr" +) +) +on &51 +) +*122 (Wire +uid 972,0 +shape (OrthoPolyLine +uid 973,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,61750,85000,71000" +pts [ +"37000,61750" +"37000,71000" +"85000,71000" +"85000,67750" +] +) +start &95 +end &80 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 976,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 977,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "35600,63750,37000,68750" +st "aluOut" +blo "36800,68750" +tm "WireNameMgr" +) +) +on &52 +) +*123 (Wire +uid 1263,0 +optionalChildren [ +*124 (BdJunction +uid 1457,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1458,0 +va (VaSet +vasetType 1 +) +xt "88600,36600,89400,37400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1264,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,37000,89000,50250" +pts [ +"89000,50250" +"89000,37000" +"69750,37000" +] +) +start &83 +end &70 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1267,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1268,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,35600,81900,37000" +st "registerFileIn" +blo "72000,36800" +tm "WireNameMgr" +) +) +on &53 +) +*125 (Wire +uid 1423,0 +shape (OrthoPolyLine +uid 1424,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "81000,47000,101000,47000" +pts [ +"81000,47000" +"101000,47000" +] +) +start &120 +end &54 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1427,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1428,0 +va (VaSet +font "Verdana,12,0" +) +xt "97000,45600,100200,47000" +st "opA" +blo "97000,46800" +tm "WireNameMgr" +) +) +on &50 +) +*126 (Wire +uid 1451,0 +shape (OrthoPolyLine +uid 1452,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,35000,101000,37000" +pts [ +"89000,37000" +"89000,35000" +"101000,35000" +] +) +start &124 +end &58 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1455,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1456,0 +va (VaSet +font "Verdana,12,0" +) +xt "89000,33600,98900,35000" +st "registerFileIn" +blo "89000,34800" +tm "WireNameMgr" +) +) +on &53 +) +*127 (Wire +uid 1500,0 +shape (OrthoPolyLine +uid 1501,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,35000,121000,35000" +pts [ +"113000,35000" +"121000,35000" +] +) +start &58 +end &62 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1505,0 +va (VaSet +font "Verdana,12,0" +) +xt "113000,33600,124400,35000" +st "scratchpadAddr" +blo "113000,34800" +tm "WireNameMgr" +) +) +on &63 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 346,0 +va (VaSet +font "arial,8,1" +) +xt "-14000,0,-8600,1000" +st "Package List" +blo "-14000,800" +) +*130 (MLText +uid 347,0 +va (VaSet +) +xt "-14000,1000,3500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*132 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*133 (MLText +uid 351,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*134 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*135 (MLText +uid 353,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*136 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*137 (MLText +uid 355,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "148,33,1411,899" +viewArea "-16102,-2119,135916,103304" +cachedDiagramExtent "-14000,0,133400,99000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-14000,0" +lastUid 2057,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "Verdana,12,0" +) +xt "750,2900,7250,4300" +st "" +blo "750,4100" +tm "BdLibraryNameMgr" +) +*139 (Text +va (VaSet +font "Verdana,12,0" +) +xt "750,4300,6550,5700" +st "" +blo "750,5500" +tm "BlkNameMgr" +) +*140 (Text +va (VaSet +font "Verdana,12,0" +) +xt "750,5700,4050,7100" +st "U_0" +blo "750,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "750,12900,750,12900" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1450,0,9450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-950,3200,3450,4400" +st "Library" +blo "-950,4200" +) +*142 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-950,4400,8950,5600" +st "MWComponent" +blo "-950,5400" +) +*143 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-950,5600,1850,6800" +st "U_0" +blo "-950,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7950,1200,-7950,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-650,3200,3750,4400" +st "Library" +blo "-650,4200" +tm "BdLibraryNameMgr" +) +*145 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-650,4400,8650,5600" +st "SaComponent" +blo "-650,5400" +tm "CptNameMgr" +) +*146 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-650,5600,2150,6800" +st "U_0" +blo "-650,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7650,1200,-7650,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1150,3200,3250,4400" +st "Library" +blo "-1150,4200" +) +*148 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1150,4400,9150,5600" +st "VhdlComponent" +blo "-1150,5400" +) +*149 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1150,5600,1650,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2350,0,10350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1850,3200,2550,4400" +st "Library" +blo "-1850,4200" +) +*151 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1850,4400,9850,5600" +st "VerilogComponent" +blo "-1850,5400" +) +*152 (Text +va (VaSet +font "Verdana,10,0" +) +xt "-1850,5600,950,6800" +st "U_0" +blo "-1850,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8850,1200,-8850,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*153 (Text +va (VaSet +font "Arial,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*154 (Text +va (VaSet +font "Arial,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*155 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*156 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +va (VaSet +font "Arial,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*158 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-14000,6400,-8600,7400" +st "Declarations" +blo "-14000,7200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-14000,7400,-11300,8400" +st "Ports:" +blo "-14000,8200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-14000,6400,-10200,7400" +st "Pre User:" +blo "-14000,7200" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-14000,6400,-14000,6400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "-14000,32400,-6900,33400" +st "Diagram Signals:" +blo "-14000,33200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-14000,6400,-9300,7400" +st "Post User:" +blo "-14000,7200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-14000,6400,-14000,6400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *159 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*160 (RefLabelRowHdr +) +*161 (TitleRowHdr +) +*162 (FilterRowHdr +) +*163 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*164 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*165 (GroupColHdr +tm "GroupColHdrMgr" +) +*166 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*167 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*168 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*169 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*170 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*171 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*172 (LeafLogPort +port (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +uid 275,0 +) +*173 (LeafLogPort +port (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2,0 +) +) +uid 277,0 +) +*174 (LeafLogPort +port (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 3 +suid 3,0 +) +) +uid 279,0 +) +*175 (LeafLogPort +port (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 281,0 +) +*176 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 283,0 +) +*177 (LeafLogPort +port (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 7,0 +) +) +uid 285,0 +) +*178 (LeafLogPort +port (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 287,0 +) +*179 (LeafLogPort +port (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 10,0 +) +) +uid 289,0 +) +*180 (LeafLogPort +port (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 291,0 +) +*181 (LeafLogPort +port (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 13,0 +) +) +uid 293,0 +) +*182 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 14,0 +) +) +uid 295,0 +) +*183 (LeafLogPort +port (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 15,0 +) +) +uid 297,0 +) +*184 (LeafLogPort +port (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 17,0 +) +) +uid 299,0 +) +*185 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 15 +suid 6,0 +) +) +uid 301,0 +) +*186 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "portAddr" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 16 +suid 9,0 +) +) +uid 303,0 +) +*187 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 17 +suid 12,0 +) +) +uid 305,0 +) +*188 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "spadOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 19 +suid 18,0 +) +) +uid 309,0 +) +*189 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 311,0 +) +*190 (LeafLogPort +port (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 20,0 +) +) +uid 698,0 +) +*191 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "opA" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 22 +suid 21,0 +) +) +uid 895,0 +) +*192 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "opB" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 23 +suid 22,0 +) +) +uid 897,0 +) +*193 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aluOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 21 +suid 23,0 +) +) +uid 978,0 +) +*194 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "registerFileIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 24 +suid 25,0 +) +) +uid 1271,0 +) +*195 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "scratchpadAddr" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 18 +suid 26,0 +) +) +uid 1493,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*196 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *197 (MRCItem +litem &159 +pos 24 +dimension 20 +) +uid 373,0 +optionalChildren [ +*198 (MRCItem +litem &160 +pos 0 +dimension 20 +uid 374,0 +) +*199 (MRCItem +litem &161 +pos 1 +dimension 23 +uid 375,0 +) +*200 (MRCItem +litem &162 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*201 (MRCItem +litem &172 +pos 0 +dimension 20 +uid 276,0 +) +*202 (MRCItem +litem &173 +pos 1 +dimension 20 +uid 278,0 +) +*203 (MRCItem +litem &174 +pos 2 +dimension 20 +uid 280,0 +) +*204 (MRCItem +litem &175 +pos 3 +dimension 20 +uid 282,0 +) +*205 (MRCItem +litem &176 +pos 4 +dimension 20 +uid 284,0 +) +*206 (MRCItem +litem &177 +pos 5 +dimension 20 +uid 286,0 +) +*207 (MRCItem +litem &178 +pos 6 +dimension 20 +uid 288,0 +) +*208 (MRCItem +litem &179 +pos 7 +dimension 20 +uid 290,0 +) +*209 (MRCItem +litem &180 +pos 8 +dimension 20 +uid 292,0 +) +*210 (MRCItem +litem &181 +pos 9 +dimension 20 +uid 294,0 +) +*211 (MRCItem +litem &182 +pos 10 +dimension 20 +uid 296,0 +) +*212 (MRCItem +litem &183 +pos 11 +dimension 20 +uid 298,0 +) +*213 (MRCItem +litem &184 +pos 12 +dimension 20 +uid 300,0 +) +*214 (MRCItem +litem &185 +pos 13 +dimension 20 +uid 302,0 +) +*215 (MRCItem +litem &186 +pos 14 +dimension 20 +uid 304,0 +) +*216 (MRCItem +litem &187 +pos 15 +dimension 20 +uid 306,0 +) +*217 (MRCItem +litem &188 +pos 16 +dimension 20 +uid 310,0 +) +*218 (MRCItem +litem &189 +pos 17 +dimension 20 +uid 312,0 +) +*219 (MRCItem +litem &190 +pos 18 +dimension 20 +uid 697,0 +) +*220 (MRCItem +litem &191 +pos 20 +dimension 20 +uid 896,0 +) +*221 (MRCItem +litem &192 +pos 21 +dimension 20 +uid 898,0 +) +*222 (MRCItem +litem &193 +pos 22 +dimension 20 +uid 979,0 +) +*223 (MRCItem +litem &194 +pos 23 +dimension 20 +uid 1272,0 +) +*224 (MRCItem +litem &195 +pos 19 +dimension 20 +uid 1492,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*225 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 378,0 +) +*226 (MRCItem +litem &165 +pos 1 +dimension 50 +uid 379,0 +) +*227 (MRCItem +litem &166 +pos 2 +dimension 100 +uid 380,0 +) +*228 (MRCItem +litem &167 +pos 3 +dimension 50 +uid 381,0 +) +*229 (MRCItem +litem &168 +pos 4 +dimension 100 +uid 382,0 +) +*230 (MRCItem +litem &169 +pos 5 +dimension 100 +uid 383,0 +) +*231 (MRCItem +litem &170 +pos 6 +dimension 50 +uid 384,0 +) +*232 (MRCItem +litem &171 +pos 7 +dimension 80 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *233 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*234 (RefLabelRowHdr +) +*235 (TitleRowHdr +) +*236 (FilterRowHdr +) +*237 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*238 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*239 (GroupColHdr +tm "GroupColHdrMgr" +) +*240 (NameColHdr +tm "GenericNameColHdrMgr" +) +*241 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*242 (InitColHdr +tm "GenericValueColHdrMgr" +) +*243 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*244 (EolColHdr +tm "GenericEolColHdrMgr" +) +*245 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 570,0 +) +*246 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 572,0 +) +*247 (LogGeneric +generic (GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +uid 574,0 +) +*248 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +uid 1579,0 +) +*249 (LogGeneric +generic (GiElement +name "portAddressBitNb" +type "positive" +value "8" +) +uid 1651,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*250 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *251 (MRCItem +litem &233 +pos 5 +dimension 20 +) +uid 401,0 +optionalChildren [ +*252 (MRCItem +litem &234 +pos 0 +dimension 20 +uid 402,0 +) +*253 (MRCItem +litem &235 +pos 1 +dimension 23 +uid 403,0 +) +*254 (MRCItem +litem &236 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*255 (MRCItem +litem &245 +pos 0 +dimension 20 +uid 569,0 +) +*256 (MRCItem +litem &246 +pos 1 +dimension 20 +uid 571,0 +) +*257 (MRCItem +litem &247 +pos 2 +dimension 20 +uid 573,0 +) +*258 (MRCItem +litem &248 +pos 4 +dimension 20 +uid 1578,0 +) +*259 (MRCItem +litem &249 +pos 3 +dimension 20 +uid 1650,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*260 (MRCItem +litem &237 +pos 0 +dimension 20 +uid 406,0 +) +*261 (MRCItem +litem &239 +pos 1 +dimension 50 +uid 407,0 +) +*262 (MRCItem +litem &240 +pos 2 +dimension 100 +uid 408,0 +) +*263 (MRCItem +litem &241 +pos 3 +dimension 100 +uid 409,0 +) +*264 (MRCItem +litem &242 +pos 4 +dimension 50 +uid 410,0 +) +*265 (MRCItem +litem &243 +pos 5 +dimension 50 +uid 411,0 +) +*266 (MRCItem +litem &244 +pos 6 +dimension 80 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/NanoBlaze/hds/alu@and@regs/symbol.sb b/Libs/NanoBlaze/hds/alu@and@regs/symbol.sb new file mode 100644 index 0000000..fa515f9 --- /dev/null +++ b/Libs/NanoBlaze/hds/alu@and@regs/symbol.sb @@ -0,0 +1,2652 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 3 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "portAddr" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 16 +suid 5,0 +) +) +uid 84,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 9,0 +) +) +uid 415,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 10,0 +) +) +uid 417,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +uid 419,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 12,0 +) +) +uid 451,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 13,0 +) +) +uid 453,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 14,0 +) +) +uid 455,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 15,0 +) +) +uid 457,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 15 +suid 16,0 +) +) +uid 459,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 20 +suid 17,0 +) +) +uid 461,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 17 +suid 18,0 +) +) +uid 468,0 +) +*29 (LogPort +port (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 19,0 +) +) +uid 499,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spadOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 19 +suid 20,0 +) +) +uid 540,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 21,0 +) +) +uid 542,0 +) +*32 (LogPort +port (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 23,0 +) +) +uid 626,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "scratchpadAddr" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 18 +suid 24,0 +) +) +uid 679,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*34 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *35 (MRCItem +litem &1 +pos 20 +dimension 20 +) +uid 103,0 +optionalChildren [ +*36 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*37 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*38 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*39 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 77,0 +) +*40 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 79,0 +) +*41 (MRCItem +litem &16 +pos 8 +dimension 20 +uid 81,0 +) +*42 (MRCItem +litem &17 +pos 10 +dimension 20 +uid 83,0 +) +*43 (MRCItem +litem &18 +pos 14 +dimension 20 +uid 85,0 +) +*44 (MRCItem +litem &19 +pos 0 +dimension 20 +uid 416,0 +) +*45 (MRCItem +litem &20 +pos 1 +dimension 20 +uid 418,0 +) +*46 (MRCItem +litem &21 +pos 5 +dimension 20 +uid 420,0 +) +*47 (MRCItem +litem &22 +pos 6 +dimension 20 +uid 452,0 +) +*48 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 454,0 +) +*49 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 456,0 +) +*50 (MRCItem +litem &25 +pos 3 +dimension 20 +uid 458,0 +) +*51 (MRCItem +litem &26 +pos 13 +dimension 20 +uid 460,0 +) +*52 (MRCItem +litem &27 +pos 17 +dimension 20 +uid 462,0 +) +*53 (MRCItem +litem &28 +pos 15 +dimension 20 +uid 469,0 +) +*54 (MRCItem +litem &29 +pos 7 +dimension 20 +uid 500,0 +) +*55 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 541,0 +) +*56 (MRCItem +litem &31 +pos 12 +dimension 20 +uid 543,0 +) +*57 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 627,0 +) +*58 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 680,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*59 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*60 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*61 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*62 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*63 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*64 (MRCItem +litem &11 +pos 5 +dimension 250 +uid 113,0 +) +*65 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*66 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *67 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "GenericNameColHdrMgr" +) +*75 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*76 (InitColHdr +tm "GenericValueColHdrMgr" +) +*77 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*78 (EolColHdr +tm "GenericEolColHdrMgr" +) +*79 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 592,0 +) +*80 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 594,0 +) +*81 (LogGeneric +generic (GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +uid 596,0 +) +*82 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +uid 727,0 +) +*83 (LogGeneric +generic (GiElement +name "portAddressBitNb" +type "positive" +value "8" +) +uid 775,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &67 +pos 5 +dimension 20 +) +uid 131,0 +optionalChildren [ +*86 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 132,0 +) +*87 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 133,0 +) +*88 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 593,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 595,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 597,0 +) +*92 (MRCItem +litem &82 +pos 4 +dimension 20 +uid 728,0 +) +*93 (MRCItem +litem &83 +pos 3 +dimension 20 +uid 776,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*94 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 136,0 +) +*95 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 137,0 +) +*96 (MRCItem +litem &74 +pos 2 +dimension 233 +uid 138,0 +) +*97 (MRCItem +litem &75 +pos 3 +dimension 100 +uid 139,0 +) +*98 (MRCItem +litem &76 +pos 4 +dimension 50 +uid 140,0 +) +*99 (MRCItem +litem &77 +pos 5 +dimension 50 +uid 141,0 +) +*100 (MRCItem +litem &78 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\aluAndRegs" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "aluAndRegs" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "aluAndRegs" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\alu@and@regs\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\aluAndRegs\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:38:43" +) +(vvPair +variable "unit" +value "aluAndRegs" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*101 (SymbolBody +uid 8,0 +optionalChildren [ +*102 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,36625,41000,37375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42000,36500,44100,37500" +st "clock" +blo "42000,37300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,20500,12000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*103 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,12250,45375,13000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "43300,14000,46500,15000" +st "aluCode" +blo "43300,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,38000,10400" +st "aluCode : IN std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 3 +suid 2,0 +) +) +) +*104 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,20625,41000,21375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "42000,20500,45400,21500" +st "portInSel" +blo "42000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14400,20500,15200" +st "portInSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 3,0 +) +) +) +*105 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,38625,41000,39375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "42000,38500,44100,39500" +st "reset" +blo "42000,39300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16800,20500,17600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 4,0 +) +) +) +*106 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,18625,65750,19375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "60700,18500,64000,19500" +st "portAddr" +ju 2 +blo "64000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20000,34500,20800" +st "portAddr : OUT unsigned (portAddressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "portAddr" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 16 +suid 5,0 +) +) +) +*107 (CptPort +uid 400,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 401,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,12250,49375,13000" +) +tg (CPTG +uid 402,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 403,0 +va (VaSet +) +xt "48200,14000,50600,15000" +st "addrA" +blo "48200,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8000,37500,8800" +st "addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 9,0 +) +) +) +*108 (CptPort +uid 405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 406,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,12250,53375,13000" +) +tg (CPTG +uid 407,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 408,0 +va (VaSet +) +xt "52400,14000,54800,15000" +st "addrB" +blo "52400,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 409,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,37500,9600" +st "addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 10,0 +) +) +) +*109 (CptPort +uid 410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 411,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,12250,61375,13000" +) +tg (CPTG +uid 412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 413,0 +va (VaSet +) +xt "59300,14000,62800,15000" +st "instrData" +blo "59300,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 414,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,33000,12800" +st "instrData : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +) +*110 (CptPort +uid 421,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 422,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,18625,41000,19375" +) +tg (CPTG +uid 423,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 424,0 +va (VaSet +) +xt "42000,18500,47000,19500" +st "instrDataSel" +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 425,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12800,20500,13600" +st "instrDataSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 12,0 +) +) +) +*111 (CptPort +uid 426,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 427,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,16625,41000,17375" +) +tg (CPTG +uid 428,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 429,0 +va (VaSet +) +xt "42000,16500,47900,17500" +st "registerFileSel" +blo "42000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 430,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16000,20500,16800" +st "registerFileSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 13,0 +) +) +) +*112 (CptPort +uid 431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,22625,41000,23375" +) +tg (CPTG +uid 433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 434,0 +va (VaSet +) +xt "42000,22500,47600,23500" +st "scratchpadSel" +blo "42000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 435,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17600,20500,18400" +st "scratchpadSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 14,0 +) +) +) +*113 (CptPort +uid 436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,28625,41000,29375" +) +tg (CPTG +uid 438,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 439,0 +va (VaSet +) +xt "42000,28500,43400,29500" +st "cIn" +blo "42000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 440,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,20500,11200" +st "cIn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 15,0 +) +) +) +*114 (CptPort +uid 441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 493,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,30625,41000,31375" +) +tg (CPTG +uid 443,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 444,0 +va (VaSet +) +xt "42000,30500,44000,31500" +st "cOut" +blo "42000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 445,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,19200,20500,20000" +st "cOut : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 15 +suid 16,0 +) +) +) +*115 (CptPort +uid 446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 447,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,32625,41000,33375" +) +tg (CPTG +uid 448,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 449,0 +va (VaSet +) +xt "42000,32500,43900,33500" +st "zero" +blo "42000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 450,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,23200,19500,24000" +st "zero : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 20 +suid 17,0 +) +) +) +*116 (CptPort +uid 463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,20625,65750,21375" +) +tg (CPTG +uid 465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 466,0 +va (VaSet +) +xt "61100,20500,64000,21500" +st "portOut" +ju 2 +blo "64000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20800,33000,21600" +st "portOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 17 +suid 18,0 +) +) +) +*117 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 524,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,22625,65750,23375" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 497,0 +va (VaSet +) +xt "61700,22500,64000,23500" +st "portIn" +ju 2 +blo "64000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13600,33000,14400" +st "portIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 19,0 +) +) +) +*118 (CptPort +uid 525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 526,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,41000,57375,41750" +) +tg (CPTG +uid 527,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 528,0 +va (VaSet +) +xt "56000,39000,59200,40000" +st "spadOut" +blo "56000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 529,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,22400,33000,23200" +st "spadOut : OUT signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 19 +suid 20,0 +) +) +) +*119 (CptPort +uid 530,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 531,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,41000,61375,41750" +) +tg (CPTG +uid 532,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 533,0 +va (VaSet +) +xt "60000,39000,62600,40000" +st "spadIn" +blo "60000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 534,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18400,33000,19200" +st "spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 21,0 +) +) +) +*120 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,24625,41000,25375" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 624,0 +va (VaSet +) +xt "42000,24500,45400,25500" +st "regWrite" +blo "42000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 625,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15200,20500,16000" +st "regWrite : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 23,0 +) +) +) +*121 (CptPort +uid 674,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 675,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,26625,65750,27375" +) +tg (CPTG +uid 676,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 677,0 +va (VaSet +) +xt "57900,26500,64000,27500" +st "scratchpadAddr" +ju 2 +blo "64000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 678,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,21600,37500,22400" +st "scratchpadAddr : OUT unsigned (scratchpadAddressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadAddr" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 18 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,13000,65000,41000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,41000,46000,42000" +st "NanoBlaze" +blo "41600,41800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,42000,46900,43000" +st "aluAndRegs" +blo "41600,42800" +) +) +gi *122 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,44200,60000,49800" +st "Generic Declarations + +registerBitNb positive 8 +registerAddressBitNb positive 4 +aluCodeBitNb positive 5 +portAddressBitNb positive 8 +scratchpadAddressBitNb natural 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "8" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*123 (Grouping +uid 16,0 +optionalChildren [ +*124 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,75000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65500,58200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,61000,79000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,61500,75200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*126 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,75000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63500,58200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*127 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,63000,58000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,63500,54200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*128 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,62000,95000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,62200,89300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*129 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,95000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61500,79200,61500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*130 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,75000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "59350,61400,69650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*131 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,64000,58000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,64500,54200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*132 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,65000,58000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,65500,54200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*133 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,75000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64500,58200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "54000,61000,95000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *134 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*136 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,15500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,43,1287,900" +viewArea "-3400,-1400,96992,65810" +cachedDiagramExtent "-2000,0,95000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *137 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *138 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,24000,400,25000" +st "User:" +blo "-2000,24800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,25000,0,25000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 845,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/alu@b@op@selector/symbol.sb b/Libs/NanoBlaze/hds/alu@b@op@selector/symbol.sb new file mode 100644 index 0000000..b56adbf --- /dev/null +++ b/Libs/NanoBlaze/hds/alu@b@op@selector/symbol.sb @@ -0,0 +1,1809 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 1,0 +) +) +uid 96,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 98,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 22 +suid 3,0 +) +) +uid 100,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 4,0 +) +) +uid 102,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 5,0 +) +) +uid 104,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "registerFileIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 23 +suid 6,0 +) +) +uid 106,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 7,0 +) +) +uid 108,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +uid 110,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 9,0 +) +) +uid 112,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 97,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 99,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 101,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 103,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 105,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 107,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 109,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 111,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *59 (MRCItem +litem &45 +pos 1 +dimension 20 +) +uid 159,0 +optionalChildren [ +*60 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 160,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 161,0 +) +*62 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*63 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 195,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*64 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 164,0 +) +*65 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 165,0 +) +*66 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 166,0 +) +*67 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 167,0 +) +*68 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 168,0 +) +*69 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 169,0 +) +*70 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu@b@op@selector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu@b@op@selector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu@b@op@selector" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\aluBOpSelector" +) +(vvPair +variable "date" +value "09.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "entity_name" +value "aluBOpSelector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3472" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PicoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Board/PicoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "aluBOpSelector" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\alu@b@op@selector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\aluBOpSelector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:27:58" +) +(vvPair +variable "unit" +value "aluBOpSelector" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 114,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "40000,16500,43500,17500" +st "instrData" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,33000,9600" +st "instrData : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 1,0 +) +) +) +*73 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "40000,18500,45000,19500" +st "instrDataSel" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,20500,10400" +st "instrDataSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*74 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,22625,39000,23375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "40000,22500,41700,23500" +st "opB" +blo "40000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15200,31000,16000" +st "opB : OUT signed (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 22 +suid 3,0 +) +) +) +*75 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,16625,55750,17375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "51700,16500,54000,17500" +st "portIn" +ju 2 +blo "54000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,33000,11200" +st "portIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 4,0 +) +) +) +*76 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,18625,55750,19375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "50600,18500,54000,19500" +st "portInSel" +ju 2 +blo "54000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,20500,12000" +st "portInSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 5,0 +) +) +) +*77 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,28625,55750,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "48600,28500,54000,29500" +st "registerFileIn" +ju 2 +blo "54000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,33000,12800" +st "registerFileIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "registerFileIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 23 +suid 6,0 +) +) +) +*78 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,30625,55750,31375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "48100,30500,54000,31500" +st "registerFileSel" +ju 2 +blo "54000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12800,20500,13600" +st "registerFileSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 7,0 +) +) +) +*79 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,24625,55750,25375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "48400,24500,54000,25500" +st "scratchpadSel" +ju 2 +blo "54000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13600,20500,14400" +st "scratchpadSel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +) +*80 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,22625,55750,23375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "51400,22500,54000,23500" +st "spadIn" +ju 2 +blo "54000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14400,33000,15200" +st "spadIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,13000,55000,35000" +) +oxt "15000,6000,31000,28000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "39700,35000,43700,36000" +st "PicoBlaze" +blo "39700,35800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "39700,36000,46300,37000" +st "aluBOpSelector" +blo "39700,36800" +) +) +gi *81 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "39000,38200,53500,40600" +st "Generic Declarations + +registerBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*82 (Grouping +uid 16,0 +optionalChildren [ +*83 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,42900,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,44200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,32300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,56800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37150,44500,43850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,32300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,32900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,46800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *93 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*95 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1,53,1275,892" +viewArea "-3064,-1045,72676,51004" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PicoBlaze" +entityName "aluAndRegs" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *96 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *97 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6800,3400,7800" +st "Declarations" +blo "-2000,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7800,700,8800" +st "Ports:" +blo "-2000,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,16000,400,17000" +st "User:" +blo "-2000,16800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6800,3800,7800" +st "Internal User:" +blo "-2000,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17000,0,17000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6800,-2000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 195,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/branch@stack/symbol.sb b/Libs/NanoBlaze/hds/branch@stack/symbol.sb new file mode 100644 index 0000000..4e77723 --- /dev/null +++ b/Libs/NanoBlaze/hds/branch@stack/symbol.sb @@ -0,0 +1,1665 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 31,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 17,0 +) +) +uid 461,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 3 +suid 18,0 +) +) +uid 468,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 6 +suid 24,0 +) +) +uid 658,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 761,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "prevPC" +t "std_ulogic" +o 2 +suid 29,0 +) +) +uid 763,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "storePC" +t "std_ulogic" +o 5 +suid 31,0 +) +) +uid 767,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 103,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*25 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 462,0 +) +*26 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 469,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 659,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 762,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 764,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 768,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 660,0 +) +*52 (LogGeneric +generic (GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +uid 817,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 133,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 661,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 818,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 136,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 137,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 138,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 139,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 140,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 141,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branch@stack\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branch@stack\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branch@stack" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branchStack" +) +(vvPair +variable "date" +value "16.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "16" +) +(vvPair +variable "entity_name" +value "branchStack" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "nanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/NanoBlaze/NanoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "branchStack" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branch@stack\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\branchStack\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:00:18" +) +(vvPair +variable "unit" +value "branchStack" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,36625,41000,37375" +) +tg (CPTG +uid 448,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 449,0 +va (VaSet +) +xt "42000,36500,44100,37500" +st "clock" +blo "42000,37300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 450,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8000,22000,8800" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 17,0 +) +) +) +*69 (CptPort +uid 463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 815,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,30625,65750,31375" +) +tg (CPTG +uid 465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 466,0 +va (VaSet +) +xt "58900,30500,64000,31500" +st "progCounter" +ju 2 +blo "64000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,38000,10400" +st "progCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 3 +suid 18,0 +) +) +) +*70 (CptPort +uid 653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 816,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,41000,53375,41750" +) +tg (CPTG +uid 655,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 656,0 +va (VaSet +) +xt "50000,39000,57300,40000" +st "storedProgCounter" +blo "50000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 657,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,37000,12800" +st "storedProgCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 6 +suid 24,0 +) +) +) +*71 (CptPort +uid 741,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 742,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,38625,41000,39375" +) +tg (CPTG +uid 743,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 744,0 +va (VaSet +) +xt "42000,38500,44100,39500" +st "reset" +blo "42000,39300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 745,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,22000,11200" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +*72 (CptPort +uid 746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 747,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,30625,41000,31375" +) +tg (CPTG +uid 748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 749,0 +va (VaSet +) +xt "42000,30500,44900,31500" +st "prevPC" +blo "42000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 750,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,22000,9600" +st "prevPC : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "prevPC" +t "std_ulogic" +o 2 +suid 29,0 +) +) +) +*73 (CptPort +uid 756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,32625,41000,33375" +) +tg (CPTG +uid 758,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 759,0 +va (VaSet +) +xt "42000,32500,45200,33500" +st "storePC" +blo "42000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 760,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,22000,12000" +st "storePC : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "storePC" +t "std_ulogic" +o 5 +suid 31,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,27000,65000,41000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,41000,45900,42000" +st "nanoBlaze" +blo "41600,41800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,42000,47000,43000" +st "branchStack" +blo "41600,42800" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,44200,59000,47400" +st "Generic Declarations + +programCounterBitNb positive 10 +stackPointerBitNb positive 5 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,75000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65000,66900,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,61000,79000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,61000,78200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,75000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63000,68200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,63000,58000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,63000,56300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,62000,95000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,62200,84400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,95000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61000,80800,62000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,75000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "61150,61500,67850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,64000,58000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,64000,56300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,65000,58000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,65000,56900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,75000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64000,69700,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "54000,61000,95000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,51,1283,908" +viewArea "-3400,-1400,97680,69185" +cachedDiagramExtent "-2000,0,95000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,12800,400,13800" +st "User:" +blo "-2000,13600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13800,0,13800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 841,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/controller/symbol.sb b/Libs/NanoBlaze/hds/controller/symbol.sb new file mode 100644 index 0000000..45b8377 --- /dev/null +++ b/Libs/NanoBlaze/hds/controller/symbol.sb @@ -0,0 +1,2892 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 221,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "( branchCondBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +uid 171,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 10 +suid 2,0 +) +) +uid 173,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 175,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 177,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "prevPC" +t "std_ulogic" +o 11 +suid 5,0 +) +) +uid 179,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 181,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "incPC" +t "std_ulogic" +o 12 +suid 7,0 +) +) +uid 183,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +uid 185,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 9,0 +) +) +uid 187,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 14 +suid 10,0 +) +) +uid 189,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +uid 191,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 15 +suid 12,0 +) +) +uid 193,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 16 +suid 13,0 +) +) +uid 195,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "(opCodeBitNb-1 DOWNTO 0)" +o 7 +suid 14,0 +) +) +uid 197,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "portInSel" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 199,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 18 +suid 16,0 +) +) +uid 201,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 20 +suid 17,0 +) +) +uid 203,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 18,0 +) +) +uid 205,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 21 +suid 19,0 +) +) +uid 207,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "scratchpadWrite" +t "std_ulogic" +o 22 +suid 20,0 +) +) +uid 209,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "storePC" +t "std_ulogic" +o 23 +suid 21,0 +) +) +uid 211,0 +) +*35 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 24 +suid 23,0 +) +) +uid 215,0 +) +*36 (LogPort +port (LogicalPort +decl (Decl +n "zero" +t "std_ulogic" +o 9 +suid 24,0 +) +) +uid 217,0 +) +*37 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "regWrite" +t "std_ulogic" +o 19 +suid 25,0 +) +) +uid 331,0 +) +*38 (LogPort +port (LogicalPort +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 25 +suid 26,0 +) +) +uid 432,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 234,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &1 +pos 25 +dimension 20 +) +uid 236,0 +optionalChildren [ +*41 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 237,0 +) +*42 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 238,0 +) +*43 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 239,0 +) +*44 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 172,0 +) +*45 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 174,0 +) +*46 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 176,0 +) +*47 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 178,0 +) +*48 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 180,0 +) +*49 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 182,0 +) +*50 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 184,0 +) +*51 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 186,0 +) +*52 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 188,0 +) +*53 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 190,0 +) +*54 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 192,0 +) +*55 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 194,0 +) +*56 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 196,0 +) +*57 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 198,0 +) +*58 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 200,0 +) +*59 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 202,0 +) +*60 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 204,0 +) +*61 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 206,0 +) +*62 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 208,0 +) +*63 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 210,0 +) +*64 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 212,0 +) +*65 (MRCItem +litem &35 +pos 21 +dimension 20 +uid 216,0 +) +*66 (MRCItem +litem &36 +pos 22 +dimension 20 +uid 218,0 +) +*67 (MRCItem +litem &37 +pos 23 +dimension 20 +uid 332,0 +) +*68 (MRCItem +litem &38 +pos 24 +dimension 20 +uid 433,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 240,0 +optionalChildren [ +*69 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 241,0 +) +*70 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 242,0 +) +*71 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 243,0 +) +*72 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 244,0 +) +*73 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 245,0 +) +*74 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 246,0 +) +*75 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 247,0 +) +*76 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 248,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 235,0 +vaOverrides [ +] +) +] +) +uid 220,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *77 (LEmptyRow +) +uid 250,0 +optionalChildren [ +*78 (RefLabelRowHdr +) +*79 (TitleRowHdr +) +*80 (FilterRowHdr +) +*81 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*82 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*83 (GroupColHdr +tm "GroupColHdrMgr" +) +*84 (NameColHdr +tm "GenericNameColHdrMgr" +) +*85 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*86 (InitColHdr +tm "GenericValueColHdrMgr" +) +*87 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*88 (EolColHdr +tm "GenericEolColHdrMgr" +) +*89 (LogGeneric +generic (GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +uid 299,0 +) +*90 (LogGeneric +generic (GiElement +name "branchCondBitNb" +type "positive" +value "3" +) +uid 301,0 +) +*91 (LogGeneric +generic (GiElement +name "opCodeBitNb" +type "positive" +value "5" +) +uid 356,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 262,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &77 +pos 3 +dimension 20 +) +uid 264,0 +optionalChildren [ +*94 (MRCItem +litem &78 +pos 0 +dimension 20 +uid 265,0 +) +*95 (MRCItem +litem &79 +pos 1 +dimension 23 +uid 266,0 +) +*96 (MRCItem +litem &80 +pos 2 +hidden 1 +dimension 20 +uid 267,0 +) +*97 (MRCItem +litem &89 +pos 0 +dimension 20 +uid 300,0 +) +*98 (MRCItem +litem &90 +pos 1 +dimension 20 +uid 302,0 +) +*99 (MRCItem +litem &91 +pos 2 +dimension 20 +uid 357,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 268,0 +optionalChildren [ +*100 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 269,0 +) +*101 (MRCItem +litem &83 +pos 1 +dimension 50 +uid 270,0 +) +*102 (MRCItem +litem &84 +pos 2 +dimension 100 +uid 271,0 +) +*103 (MRCItem +litem &85 +pos 3 +dimension 100 +uid 272,0 +) +*104 (MRCItem +litem &86 +pos 4 +dimension 50 +uid 273,0 +) +*105 (MRCItem +litem &87 +pos 5 +dimension 50 +uid 274,0 +) +*106 (MRCItem +litem &88 +pos 6 +dimension 80 +uid 275,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 263,0 +vaOverrides [ +] +) +] +) +uid 249,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller" +) +(vvPair +variable "date" +value "16.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "16" +) +(vvPair +variable "entity_name" +value "controller" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/NanoBlaze/NanoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "controller" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\controller\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:59:43" +) +(vvPair +variable "unit" +value "controller" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 219,0 +optionalChildren [ +*107 (SymbolBody +uid 8,0 +optionalChildren [ +*108 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,57625,62750,58375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "56100,57500,61000,58500" +st "branchCond" +ju 2 +blo "61000,58300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11800,40000,12600" +st "branchCond : IN std_ulogic_vector ( branchCondBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "( branchCondBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +) +*109 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,95625,62750,96375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "59600,95500,61000,96500" +st "cIn" +ju 2 +blo "61000,96300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,19800,21000,20600" +st "cIn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 10 +suid 2,0 +) +) +) +*110 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,122625,46000,123375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "47000,122500,49100,123500" +st "clock" +blo "47000,123300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13400,21000,14200" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*111 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,97625,62750,98375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "59000,97500,61000,98500" +st "cOut" +ju 2 +blo "61000,98300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12600,21000,13400" +st "cOut : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*112 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,9625,62750,10375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "58100,9500,61000,10500" +st "prevPC" +ju 2 +blo "61000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,25400,21000,26200" +st "prevPC : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "prevPC" +t "std_ulogic" +o 11 +suid 5,0 +) +) +) +*113 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,120625,46000,121375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "47000,120500,48200,121500" +st "en" +blo "47000,121300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14200,21000,15000" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*114 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,31625,62750,32375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "58500,31500,61000,32500" +st "incPC" +ju 2 +blo "61000,32300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20600,21000,21400" +st "incPC : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "incPC" +t "std_ulogic" +o 12 +suid 7,0 +) +) +) +*115 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,85625,62750,86375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "56000,85500,61000,86500" +st "instrDataSel" +ju 2 +blo "61000,86300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,21400,21000,22200" +st "instrDataSel : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +) +*116 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,9625,46000,10375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "47000,9500,48200,10500" +st "int" +blo "47000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15000,21000,15800" +st "int : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 9,0 +) +) +) +*117 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,11625,46000,12375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +) +xt "47000,11500,49400,12500" +st "intAck" +blo "47000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,22200,21000,23000" +st "intAck : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 14 +suid 10,0 +) +) +) +*118 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,55625,62750,56375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +) +xt "58000,55500,61000,56500" +st "intCode" +ju 2 +blo "61000,56300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15800,38500,16600" +st "intCode : IN std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +) +*119 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,33625,62750,34375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +) +xt "54500,33500,61000,34500" +st "loadInstrAddress" +ju 2 +blo "61000,34300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,23000,21000,23800" +st "loadInstrAddress : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 15 +suid 12,0 +) +) +) +*120 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,35625,62750,36375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +va (VaSet +) +xt "55500,35500,61000,36500" +st "loadStoredPC" +ju 2 +blo "61000,36300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,23800,21000,24600" +st "loadStoredPC : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 16 +suid 13,0 +) +) +) +*121 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,61625,62750,62375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "58000,61500,61000,62500" +st "opCode" +ju 2 +blo "61000,62300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16600,37000,17400" +st "opCode : IN std_ulogic_vector (opCodeBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "(opCodeBitNb-1 DOWNTO 0)" +o 7 +suid 14,0 +) +) +) +*122 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,87625,62750,88375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "57600,87500,61000,88500" +st "portInSel" +ju 2 +blo "61000,88300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,24600,21000,25400" +st "portInSel : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "portInSel" +t "std_ulogic" +o 17 +suid 15,0 +) +) +) +*123 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,73625,62750,74375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "56500,73500,61000,74500" +st "readStrobe" +ju 2 +blo "61000,74300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,26200,21000,27000" +st "readStrobe : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 18 +suid 16,0 +) +) +) +*124 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,83625,62750,84375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "55100,83500,61000,84500" +st "registerFileSel" +ju 2 +blo "61000,84300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,27800,21000,28600" +st "registerFileSel : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 20 +suid 17,0 +) +) +) +*125 (CptPort +uid 136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,124625,46000,125375" +) +tg (CPTG +uid 138,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 139,0 +va (VaSet +) +xt "47000,124500,49100,125500" +st "reset" +blo "47000,125300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 140,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17400,21000,18200" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 18,0 +) +) +) +*126 (CptPort +uid 141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,89625,62750,90375" +) +tg (CPTG +uid 143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 144,0 +va (VaSet +) +xt "55400,89500,61000,90500" +st "scratchpadSel" +ju 2 +blo "61000,90300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 145,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,28600,21000,29400" +st "scratchpadSel : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 21 +suid 19,0 +) +) +) +*127 (CptPort +uid 146,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 147,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,119625,62750,120375" +) +tg (CPTG +uid 148,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 149,0 +va (VaSet +) +xt "54700,119500,61000,120500" +st "scratchpadWrite" +ju 2 +blo "61000,120300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 150,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,29400,21000,30200" +st "scratchpadWrite : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadWrite" +t "std_ulogic" +o 22 +suid 20,0 +) +) +) +*128 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,11625,62750,12375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +) +xt "57800,11500,61000,12500" +st "storePC" +ju 2 +blo "61000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,30200,21000,31000" +st "storePC : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "storePC" +t "std_ulogic" +o 23 +suid 21,0 +) +) +) +*129 (CptPort +uid 161,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 162,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,75625,62750,76375" +) +tg (CPTG +uid 163,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 164,0 +va (VaSet +) +xt "56400,75500,61000,76500" +st "writeStrobe" +ju 2 +blo "61000,76300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 165,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,31000,20000,31800" +st "writeStrobe : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 24 +suid 23,0 +) +) +) +*130 (CptPort +uid 166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 167,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,99625,62750,100375" +) +tg (CPTG +uid 168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 169,0 +va (VaSet +) +xt "59100,99500,61000,100500" +st "zero" +ju 2 +blo "61000,100300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 170,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,19000,21000,19800" +st "zero : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "zero" +t "std_ulogic" +o 9 +suid 24,0 +) +) +) +*131 (CptPort +uid 326,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 327,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,91625,62750,92375" +) +tg (CPTG +uid 328,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 329,0 +va (VaSet +) +xt "57600,91500,61000,92500" +st "regWrite" +ju 2 +blo "61000,92300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 330,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,27000,21000,27800" +st "regWrite : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "regWrite" +t "std_ulogic" +o 19 +suid 25,0 +) +) +) +*132 (CptPort +uid 427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 428,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,63625,62750,64375" +) +tg (CPTG +uid 429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 430,0 +va (VaSet +) +xt "56100,63500,61000,64500" +st "twoRegInstr" +ju 2 +blo "61000,64300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 431,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18200,21000,19000" +st "twoRegInstr : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 25 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,6000,62000,128000" +) +oxt "15000,6000,31000,128000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "46800,128000,51200,129000" +st "NanoBlaze" +blo "46800,128800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "46800,129000,51200,130000" +st "controller" +blo "46800,129800" +) +) +gi *133 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "46000,131200,61500,135200" +st "Generic Declarations + +intCodeBitNb positive 5 +branchCondBitNb positive 3 +opCodeBitNb positive 5 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "3" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "5" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*134 (Grouping +uid 16,0 +optionalChildren [ +*135 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "60000,145000,77000,146000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "60200,145000,68900,146000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*136 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,141000,81000,142000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,141000,80200,142000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*137 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "60000,143000,77000,144000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "60200,143000,70200,144000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*138 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,143000,60000,144000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,143000,58300,144000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*139 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,142000,97000,146000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,142200,86400,143200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*140 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "81000,141000,97000,142000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "81200,141000,82800,142000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*141 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,141000,77000,143000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "63150,141500,69850,142500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*142 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,144000,60000,145000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,144000,58300,145000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*143 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,145000,60000,146000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,145000,58900,146000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*144 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "60000,144000,77000,145000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "60200,144000,71000,145000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "56000,141000,97000,146000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *145 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*147 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "64,51,1011,990" +viewArea "31900,-10856,93052,58444" +cachedDiagramExtent "-2000,0,97000,146000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +landscape 0 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PicoBlaze" +entityName "picoProcessor" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *148 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *149 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,9800,3400,10800" +st "Declarations" +blo "-2000,10600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,10800,700,11800" +st "Ports:" +blo "-2000,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,31800,400,32800" +st "User:" +blo "-2000,32600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,9800,3800,10800" +st "Internal User:" +blo "-2000,10600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,32800,0,32800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,9800,-2000,9800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 525,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/instruction@decoder/symbol.sb b/Libs/NanoBlaze/hds/instruction@decoder/symbol.sb new file mode 100644 index 0000000..e99131c --- /dev/null +++ b/Libs/NanoBlaze/hds/instruction@decoder/symbol.sb @@ -0,0 +1,2357 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 2,0 +) +) +uid 78,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "portIndexedSel" +t "std_ulogic" +o 6 +suid 5,0 +) +) +uid 84,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 9,0 +) +) +uid 415,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 10,0 +) +) +uid 417,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 11,0 +) +) +uid 419,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "( opCodeBitNb-1 DOWNTO 0 )" +o 10 +suid 16,0 +) +) +uid 459,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "portAddress" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 468,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "( instructionBitNb-1 DOWNTO 0 )" +o 1 +suid 19,0 +) +) +uid 499,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 7 +suid 24,0 +) +) +uid 658,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "(branchCondBitNb-1 DOWNTO 0)" +o 5 +suid 25,0 +) +) +uid 674,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 9 +suid 26,0 +) +) +uid 676,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spadAddress" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 12 +suid 28,0 +) +) +uid 746,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "spadIndexedSel" +t "std_ulogic" +o 13 +suid 29,0 +) +) +uid 799,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 14 +suid 30,0 +) +) +uid 900,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 103,0 +optionalChildren [ +*30 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*31 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*32 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 20 +uid 79,0 +) +*34 (MRCItem +litem &15 +pos 6 +dimension 20 +uid 85,0 +) +*35 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 416,0 +) +*36 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 418,0 +) +*37 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 420,0 +) +*38 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 460,0 +) +*39 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 469,0 +) +*40 (MRCItem +litem &21 +pos 4 +dimension 20 +uid 500,0 +) +*41 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 659,0 +) +*42 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 675,0 +) +*43 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 677,0 +) +*44 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 747,0 +) +*45 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 800,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 901,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*47 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*48 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*49 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*50 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*51 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*52 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*53 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*54 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +*67 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 592,0 +) +*68 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 594,0 +) +*69 (LogGeneric +generic (GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +uid 596,0 +) +*70 (LogGeneric +generic (GiElement +name "instructionBitNb" +type "positive" +value "18" +) +uid 651,0 +) +*71 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 660,0 +) +*72 (LogGeneric +generic (GiElement +name "opCodeBitNb" +type "positive" +value "5" +) +uid 662,0 +) +*73 (LogGeneric +generic (GiElement +name "branchCondBitNb" +type "positive" +value "3" +) +uid 678,0 +) +*74 (LogGeneric +generic (GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +uid 680,0 +) +*75 (LogGeneric +generic (GiElement +name "spadAddressBitNb" +type "natural" +value "4" +) +uid 739,0 +) +*76 (LogGeneric +generic (GiElement +name "portAddressBitNb" +type "positive" +value "8" +) +uid 824,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*77 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *78 (MRCItem +litem &55 +pos 10 +dimension 20 +) +uid 131,0 +optionalChildren [ +*79 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 132,0 +) +*80 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 133,0 +) +*81 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*82 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 593,0 +) +*83 (MRCItem +litem &68 +pos 1 +dimension 20 +uid 595,0 +) +*84 (MRCItem +litem &69 +pos 2 +dimension 20 +uid 597,0 +) +*85 (MRCItem +litem &70 +pos 3 +dimension 20 +uid 652,0 +) +*86 (MRCItem +litem &71 +pos 4 +dimension 20 +uid 661,0 +) +*87 (MRCItem +litem &72 +pos 5 +dimension 20 +uid 663,0 +) +*88 (MRCItem +litem &73 +pos 6 +dimension 20 +uid 679,0 +) +*89 (MRCItem +litem &74 +pos 7 +dimension 20 +uid 681,0 +) +*90 (MRCItem +litem &75 +pos 8 +dimension 20 +uid 740,0 +) +*91 (MRCItem +litem &76 +pos 9 +dimension 20 +uid 825,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*92 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 136,0 +) +*93 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 137,0 +) +*94 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 138,0 +) +*95 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 139,0 +) +*96 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 140,0 +) +*97 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 141,0 +) +*98 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instruction@decoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instruction@decoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instruction@decoder" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instructionDecoder" +) +(vvPair +variable "date" +value "05.03.2015" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "05" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "instructionDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SEm/NanoBlaze/work" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "instructionDecoder" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instruction@decoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\instructionDecoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:25:24" +) +(vvPair +variable "unit" +value "instructionDecoder" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 86,0 +optionalChildren [ +*99 (SymbolBody +uid 8,0 +optionalChildren [ +*100 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 705,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,41000,45375,41750" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "43000,39000,46200,40000" +st "aluCode" +blo "43000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,37500,11200" +st "aluCode : OUT std_ulogic_vector ( aluCodeBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 2,0 +) +) +) +*101 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,30625,65750,31375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "58300,30500,64000,31500" +st "portIndexedSel" +ju 2 +blo "64000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16000,20000,16800" +st "portIndexedSel : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "portIndexedSel" +t "std_ulogic" +o 6 +suid 5,0 +) +) +) +*102 (CptPort +uid 400,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 706,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,41000,49375,41750" +) +tg (CPTG +uid 402,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 403,0 +va (VaSet +) +xt "48000,39000,50400,40000" +st "addrA" +blo "48000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,37000,9600" +st "addrA : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 9,0 +) +) +) +*103 (CptPort +uid 405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 707,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,41000,53375,41750" +) +tg (CPTG +uid 407,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 408,0 +va (VaSet +) +xt "52000,39000,54400,40000" +st "addrB" +blo "52000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 409,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,37000,10400" +st "addrB : OUT unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 10,0 +) +) +) +*104 (CptPort +uid 410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 708,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,41000,61375,41750" +) +tg (CPTG +uid 412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 413,0 +va (VaSet +) +xt "59000,39000,62500,40000" +st "instrData" +blo "59000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 414,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12800,32500,13600" +st "instrData : OUT signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 11,0 +) +) +) +*105 (CptPort +uid 441,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 493,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,34625,41000,35375" +) +tg (CPTG +uid 443,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 444,0 +va (VaSet +) +xt "42000,34500,45000,35500" +st "opCode" +blo "42000,35300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 445,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,14400,37000,15200" +st "opCode : OUT std_ulogic_vector ( opCodeBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "( opCodeBitNb-1 DOWNTO 0 )" +o 10 +suid 16,0 +) +) +) +*106 (CptPort +uid 463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,32625,65750,33375" +) +tg (CPTG +uid 465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 466,0 +va (VaSet +) +xt "59100,32500,64000,33500" +st "portAddress" +ju 2 +blo "64000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15200,34000,16000" +st "portAddress : OUT unsigned (portAddressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "portAddress" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +) +*107 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 524,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,28625,65750,29375" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 497,0 +va (VaSet +) +xt "59700,28500,64000,29500" +st "instruction" +ju 2 +blo "64000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8000,39500,8800" +st "instruction : IN std_ulogic_vector ( instructionBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "( instructionBitNb-1 DOWNTO 0 )" +o 1 +suid 19,0 +) +) +) +*108 (CptPort +uid 653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 654,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,24250,53375,25000" +) +tg (CPTG +uid 655,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 656,0 +va (VaSet +) +xt "50000,26000,55100,27000" +st "instrAddress" +blo "50000,26800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 657,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,36500,12800" +st "instrAddress : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 7 +suid 24,0 +) +) +) +*109 (CptPort +uid 664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 665,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,30625,41000,31375" +) +tg (CPTG +uid 666,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 667,0 +va (VaSet +) +xt "42000,30500,46900,31500" +st "branchCond" +blo "42000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 668,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,38000,12000" +st "branchCond : OUT std_ulogic_vector (branchCondBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "(branchCondBitNb-1 DOWNTO 0)" +o 5 +suid 25,0 +) +) +) +*110 (CptPort +uid 669,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 670,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,28625,41000,29375" +) +tg (CPTG +uid 671,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 672,0 +va (VaSet +) +xt "42000,28500,45000,29500" +st "intCode" +blo "42000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13600,37500,14400" +st "intCode : OUT std_ulogic_vector ( intCodeBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 9 +suid 26,0 +) +) +) +*111 (CptPort +uid 741,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 742,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,36625,65750,37375" +) +tg (CPTG +uid 743,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 744,0 +va (VaSet +) +xt "58800,36500,64000,37500" +st "spadAddress" +ju 2 +blo "64000,37300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 745,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,16800,35000,17600" +st "spadAddress : OUT unsigned ( spadAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadAddress" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 12 +suid 28,0 +) +) +) +*112 (CptPort +uid 794,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 795,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,34625,65750,35375" +) +tg (CPTG +uid 796,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 797,0 +va (VaSet +) +xt "58000,34500,64000,35500" +st "spadIndexedSel" +ju 2 +blo "64000,35300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 798,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17600,20000,18400" +st "spadIndexedSel : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadIndexedSel" +t "std_ulogic" +o 13 +suid 29,0 +) +) +) +*113 (CptPort +uid 895,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 896,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,36625,41000,37375" +) +tg (CPTG +uid 897,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 898,0 +va (VaSet +) +xt "42000,36500,46900,37500" +st "twoRegInstr" +blo "42000,37300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 899,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18400,19000,19200" +st "twoRegInstr : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 14 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,25000,65000,41000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,41000,46000,42000" +st "NanoBlaze" +blo "41600,41800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,42000,49600,43000" +st "instructionDecoder" +blo "41600,42800" +) +) +gi *114 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,44200,59500,53800" +st "Generic Declarations + +registerBitNb positive 8 +registerAddressBitNb positive 4 +aluCodeBitNb positive 5 +instructionBitNb positive 18 +programCounterBitNb positive 10 +opCodeBitNb positive 5 +branchCondBitNb positive 3 +intCodeBitNb positive 5 +spadAddressBitNb natural 4 +portAddressBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "instructionBitNb" +type "positive" +value "18" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "3" +) +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "4" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*115 (Grouping +uid 16,0 +optionalChildren [ +*116 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,75000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65000,66900,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,61000,79000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,61000,78200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,75000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63000,68200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,63000,58000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,63000,56300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,62000,95000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,62200,84400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,95000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61000,80800,62000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,75000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "61150,61500,67850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,64000,58000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,64000,56300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*124 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,65000,58000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,65000,56900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,75000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64000,72200,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "54000,61000,95000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *126 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*128 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,43,1287,900" +viewArea "-3400,-1400,96992,65810" +cachedDiagramExtent "-2000,0,95000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *129 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *130 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,19200,400,20200" +st "User:" +blo "-2000,20000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20200,0,20200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 924,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/nano@blaze/struct.bd b/Libs/NanoBlaze/hds/nano@blaze/struct.bd new file mode 100644 index 0000000..019fe91 --- /dev/null +++ b/Libs/NanoBlaze/hds/nano@blaze/struct.bd @@ -0,0 +1,4701 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_rom" +duLibraryName "NanoBlaze" +duName "programRom" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "instructionBitNb" +) +] +mwi 0 +uid 19000,0 +) +(Instance +name "I_up" +duLibraryName "NanoBlaze" +duName "nanoProcessor" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +mwi 0 +uid 19225,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoBlaze" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoBlaze" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoBlaze" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoBlaze\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:38:43" +) +(vvPair +variable "unit" +value "nanoBlaze" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 812,0 +optionalChildren [ +*2 (CommentText +uid 814,0 +shape (Rectangle +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "114000,54000,133000,56000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 816,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "114200,54400,129600,55600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 817,0 +shape (Rectangle +uid 818,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,54000,108000,56000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 819,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "89250,54250,100750,55750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 820,0 +shape (Rectangle +uid 821,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,60000,108000,62000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 822,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,60400,103300,61600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 823,0 +shape (Rectangle +uid 824,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "108000,54000,114000,56000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 825,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "108200,54400,112900,55600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 826,0 +shape (Rectangle +uid 827,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,56000,108000,58000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 828,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,56400,102400,57600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 829,0 +shape (Rectangle +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,56000,87000,58000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 831,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,56400,85600,57600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 832,0 +shape (Rectangle +uid 833,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,58000,87000,60000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 834,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,58400,85600,59600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 835,0 +shape (Rectangle +uid 836,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "108000,56000,133000,62000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 837,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "108200,56200,122300,57400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 838,0 +shape (Rectangle +uid 839,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,58000,108000,60000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 840,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,58400,97100,59600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 841,0 +shape (Rectangle +uid 842,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,60000,87000,62000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 843,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,60400,86500,61600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 813,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "82000,54000,133000,62000" +) +oxt "13000,22000,64000,30000" +) +*12 (Net +uid 3306,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 7,0 +) +declText (MLText +uid 3307,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-1200,41000,-400" +st "reset : std_ulogic" +) +) +*13 (PortIoIn +uid 7091,0 +shape (CompositeShape +uid 7092,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 7093,0 +sl 0 +ro 270 +xt "45000,36625,46500,37375" +) +(Line +uid 7094,0 +sl 0 +ro 270 +xt "46500,37000,47000,37000" +pts [ +"46500,37000" +"47000,37000" +] +) +] +) +tg (WTG +uid 7095,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7096,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "39900,36300,44000,37700" +st "reset" +ju 2 +blo "44000,37500" +tm "WireNameMgr" +) +s (Text +uid 7097,0 +va (VaSet +font "Verdana,12,0" +) +xt "39900,37700,39900,37700" +ju 2 +blo "39900,37700" +tm "SignalTypeMgr" +) +) +) +*14 (PortIoOut +uid 16414,0 +shape (CompositeShape +uid 16415,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16416,0 +sl 0 +ro 270 +xt "79500,32625,81000,33375" +) +(Line +uid 16417,0 +sl 0 +ro 270 +xt "79000,33000,79500,33000" +pts [ +"79000,33000" +"79500,33000" +] +) +] +) +tg (WTG +uid 16418,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16419,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "82000,32500,90700,33900" +st "readStrobe" +blo "82000,33700" +tm "WireNameMgr" +) +s (Text +uid 16420,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,33900,82000,33900" +blo "82000,33900" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 16427,0 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 9 +suid 155,0 +) +declText (MLText +uid 16428,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,2000,41000,2800" +st "readStrobe : std_uLogic" +) +) +*16 (PortIoOut +uid 16429,0 +shape (CompositeShape +uid 16430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16431,0 +sl 0 +ro 270 +xt "79500,34625,81000,35375" +) +(Line +uid 16432,0 +sl 0 +ro 270 +xt "79000,35000,79500,35000" +pts [ +"79000,35000" +"79500,35000" +] +) +] +) +tg (WTG +uid 16433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "82000,34500,91000,35900" +st "writeStrobe" +blo "82000,35700" +tm "WireNameMgr" +) +s (Text +uid 16435,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,35900,82000,35900" +blo "82000,35900" +tm "SignalTypeMgr" +) +) +) +*17 (Net +uid 16442,0 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 156,0 +) +declText (MLText +uid 16443,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,2800,41000,3600" +st "writeStrobe : std_uLogic" +) +) +*18 (PortIoOut +uid 16474,0 +shape (CompositeShape +uid 16475,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16476,0 +sl 0 +ro 270 +xt "79500,26625,81000,27375" +) +(Line +uid 16477,0 +sl 0 +ro 270 +xt "79000,27000,79500,27000" +pts [ +"79000,27000" +"79500,27000" +] +) +] +) +tg (WTG +uid 16478,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16479,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "82000,26500,113400,27900" +st "dataAddress : ( addressBitNb-1 DOWNTO 0 )" +blo "82000,27700" +tm "WireNameMgr" +) +s (Text +uid 16480,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,27900,82000,27900" +blo "82000,27900" +tm "SignalTypeMgr" +) +) +) +*19 (Net +uid 16487,0 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 6 +suid 159,0 +) +declText (MLText +uid 16488,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-400,54000,400" +st "dataAddress : unsigned( addressBitNb-1 DOWNTO 0 )" +) +) +*20 (PortIoOut +uid 16489,0 +shape (CompositeShape +uid 16490,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16491,0 +sl 0 +ro 270 +xt "79500,28625,81000,29375" +) +(Line +uid 16492,0 +sl 0 +ro 270 +xt "79000,29000,79500,29000" +pts [ +"79000,29000" +"79500,29000" +] +) +] +) +tg (WTG +uid 16493,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16494,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "82000,28500,108800,29900" +st "dataOut : (registerBitNb-1 DOWNTO 0)" +blo "82000,29700" +tm "WireNameMgr" +) +s (Text +uid 16495,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,29900,82000,29900" +blo "82000,29900" +tm "SignalTypeMgr" +) +) +) +*21 (Net +uid 16502,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 7 +suid 160,0 +) +declText (MLText +uid 16503,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,400,58000,1200" +st "dataOut : std_ulogic_vector(registerBitNb-1 DOWNTO 0)" +) +) +*22 (PortIoOut +uid 16504,0 +shape (CompositeShape +uid 16505,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16506,0 +sl 0 +ro 90 +xt "45000,22625,46500,23375" +) +(Line +uid 16507,0 +sl 0 +ro 90 +xt "46500,23000,47000,23000" +pts [ +"47000,23000" +"46500,23000" +] +) +] +) +tg (WTG +uid 16508,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16509,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "39500,22300,44000,23700" +st "intAck" +ju 2 +blo "44000,23500" +tm "WireNameMgr" +) +s (Text +uid 16510,0 +va (VaSet +font "Verdana,12,0" +) +xt "39500,23700,39500,23700" +ju 2 +blo "39500,23700" +tm "SignalTypeMgr" +) +) +) +*23 (Net +uid 16517,0 +decl (Decl +n "intAck" +t "std_ulogic" +o 8 +suid 161,0 +) +declText (MLText +uid 16518,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,1200,41000,2000" +st "intAck : std_ulogic" +) +) +*24 (PortIoIn +uid 16853,0 +shape (CompositeShape +uid 16854,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16855,0 +sl 0 +ro 270 +xt "45000,34625,46500,35375" +) +(Line +uid 16856,0 +sl 0 +ro 270 +xt "46500,35000,47000,35000" +pts [ +"46500,35000" +"47000,35000" +] +) +] +) +tg (WTG +uid 16857,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16858,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40200,34500,44000,35900" +st "clock" +ju 2 +blo "44000,35700" +tm "WireNameMgr" +) +s (Text +uid 16859,0 +va (VaSet +font "Verdana,12,0" +) +xt "40200,35900,40200,35900" +ju 2 +blo "40200,35900" +tm "SignalTypeMgr" +) +) +) +*25 (Net +uid 16866,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 163,0 +) +declText (MLText +uid 16867,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-4400,41000,-3600" +st "clock : std_ulogic" +) +) +*26 (PortIoIn +uid 16868,0 +shape (CompositeShape +uid 16869,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16870,0 +sl 0 +ro 90 +xt "79500,30625,81000,31375" +) +(Line +uid 16871,0 +sl 0 +ro 90 +xt "79000,31000,79500,31000" +pts [ +"79500,31000" +"79000,31000" +] +) +] +) +tg (WTG +uid 16872,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16873,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "82000,30300,107800,31700" +st "dataIn : (registerBitNb-1 DOWNTO 0)" +blo "82000,31500" +tm "WireNameMgr" +) +s (Text +uid 16874,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,31700,82000,31700" +blo "82000,31700" +tm "SignalTypeMgr" +) +) +) +*27 (Net +uid 16881,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 164,0 +) +declText (MLText +uid 16882,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-3600,58000,-2800" +st "dataIn : std_ulogic_vector(registerBitNb-1 DOWNTO 0)" +) +) +*28 (PortIoIn +uid 16883,0 +shape (CompositeShape +uid 16884,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16885,0 +sl 0 +ro 270 +xt "45000,32625,46500,33375" +) +(Line +uid 16886,0 +sl 0 +ro 270 +xt "46500,33000,47000,33000" +pts [ +"46500,33000" +"47000,33000" +] +) +] +) +tg (WTG +uid 16887,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16888,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "41600,32500,44000,33900" +st "en" +ju 2 +blo "44000,33700" +tm "WireNameMgr" +) +s (Text +uid 16889,0 +va (VaSet +font "Verdana,12,0" +) +xt "41600,33900,41600,33900" +ju 2 +blo "41600,33900" +tm "SignalTypeMgr" +) +) +) +*29 (Net +uid 16896,0 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 165,0 +) +declText (MLText +uid 16897,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-2800,41000,-2000" +st "en : std_ulogic" +) +) +*30 (PortIoIn +uid 16898,0 +shape (CompositeShape +uid 16899,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16900,0 +sl 0 +ro 270 +xt "45000,20625,46500,21375" +) +(Line +uid 16901,0 +sl 0 +ro 270 +xt "46500,21000,47000,21000" +pts [ +"46500,21000" +"47000,21000" +] +) +] +) +tg (WTG +uid 16902,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16903,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "41600,20500,44000,21900" +st "int" +ju 2 +blo "44000,21700" +tm "WireNameMgr" +) +s (Text +uid 16904,0 +va (VaSet +font "Verdana,12,0" +) +xt "41600,21900,41600,21900" +ju 2 +blo "41600,21900" +tm "SignalTypeMgr" +) +) +) +*31 (Net +uid 16911,0 +decl (Decl +n "int" +t "std_uLogic" +o 4 +suid 166,0 +) +declText (MLText +uid 16912,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-2000,41000,-1200" +st "int : std_uLogic" +) +) +*32 (Net +uid 17771,0 +decl (Decl +n "programCounter" +t "unsigned" +b "(programCounterBitNb-1 DOWNTO 0)" +o 13 +suid 182,0 +) +declText (MLText +uid 17772,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,8400,60000,9200" +st "SIGNAL programCounter : unsigned(programCounterBitNb-1 DOWNTO 0)" +) +) +*33 (Net +uid 17779,0 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 11 +suid 183,0 +) +declText (MLText +uid 17780,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6800,63000,7600" +st "SIGNAL instruction : std_ulogic_vector(instructionBitNb-1 DOWNTO 0)" +) +) +*34 (Net +uid 18127,0 +decl (Decl +n "logic1" +t "std_ulogic" +o 12 +suid 187,0 +) +declText (MLText +uid 18128,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,7600,44500,8400" +st "SIGNAL logic1 : std_ulogic" +) +) +*35 (HdlText +uid 18129,0 +optionalChildren [ +*36 (EmbeddedText +uid 18163,0 +commentText (CommentText +uid 18164,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 18165,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "80000,6000,96000,8000" +) +oxt "0,0,18000,5000" +text (MLText +uid 18166,0 +va (VaSet +) +xt "80200,6200,88400,7400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 18130,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "80000,5000,96000,9000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 18131,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 18132,0 +va (VaSet +) +xt "80400,9000,81600,10000" +st "eb1" +blo "80400,9800" +tm "HdlTextNameMgr" +) +*38 (Text +uid 18133,0 +va (VaSet +) +xt "80400,10000,80800,11000" +st "1" +blo "80400,10800" +tm "HdlTextNumberMgr" +) +] +) +) +*39 (SaComponent +uid 19000,0 +optionalChildren [ +*40 (CptPort +uid 18980,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18981,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,20625,95000,21375" +) +tg (CPTG +uid 18982,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18983,0 +va (VaSet +) +xt "96000,20500,99100,21500" +st "address" +blo "96000,21300" +) +) +thePort (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*41 (CptPort +uid 18984,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18985,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,26625,95000,27375" +) +tg (CPTG +uid 18986,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18987,0 +va (VaSet +) +xt "96000,26500,98100,27500" +st "clock" +blo "96000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*42 (CptPort +uid 18988,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18989,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "111000,20625,111750,21375" +) +tg (CPTG +uid 18990,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 18991,0 +va (VaSet +) +xt "107000,20500,110000,21500" +st "dataOut" +ju 2 +blo "110000,21300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +) +*43 (CptPort +uid 18992,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18993,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,24625,95000,25375" +) +tg (CPTG +uid 18994,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18995,0 +va (VaSet +) +xt "96000,24500,97200,25500" +st "en" +blo "96000,25300" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*44 (CptPort +uid 18996,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 18997,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94250,28625,95000,29375" +) +tg (CPTG +uid 18998,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 18999,0 +va (VaSet +) +xt "96000,28500,98100,29500" +st "reset" +blo "96000,29300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 19001,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "95000,17000,111000,31000" +) +oxt "25000,17000,41000,31000" +ttg (MlTextGroup +uid 19002,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 19003,0 +va (VaSet +font "Arial,8,1" +) +xt "95500,31000,99900,32000" +st "NanoBlaze" +blo "95500,31800" +tm "BdLibraryNameMgr" +) +*46 (Text +uid 19004,0 +va (VaSet +font "Arial,8,1" +) +xt "95500,32000,101300,33000" +st "programRom" +blo "95500,32800" +tm "CptNameMgr" +) +*47 (Text +uid 19005,0 +va (VaSet +font "Arial,8,1" +) +xt "95500,33000,98000,34000" +st "I_rom" +blo "95500,33800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19006,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19007,0 +text (MLText +uid 19008,0 +va (VaSet +font "Courier New,8,0" +) +xt "95000,34200,123000,35800" +st "addressBitNb = programCounterBitNb ( positive ) +dataBitNb = instructionBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "instructionBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*48 (SaComponent +uid 19225,0 +optionalChildren [ +*49 (CptPort +uid 19177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,34625,55000,35375" +) +tg (CPTG +uid 19179,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19180,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,34300,59800,35700" +st "clock" +blo "56000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*50 (CptPort +uid 19181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19182,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,36625,55000,37375" +) +tg (CPTG +uid 19183,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19184,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,36300,60100,37700" +st "reset" +blo "56000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*51 (CptPort +uid 19185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,32625,71750,33375" +) +tg (CPTG +uid 19187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19188,0 +va (VaSet +font "Verdana,12,0" +) +xt "61300,32300,70000,33700" +st "readStrobe" +ju 2 +blo "70000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 2024,0 +) +) +) +*52 (CptPort +uid 19189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,34625,71750,35375" +) +tg (CPTG +uid 19191,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19192,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,34300,70000,35700" +st "writeStrobe" +ju 2 +blo "70000,35500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 2026,0 +) +) +) +*53 (CptPort +uid 19193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,32625,55000,33375" +) +tg (CPTG +uid 19195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19196,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,32300,58400,33700" +st "en" +blo "56000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +) +*54 (CptPort +uid 19197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19198,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,22625,55000,23375" +) +tg (CPTG +uid 19199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19200,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,22300,60500,23700" +st "intAck" +blo "56000,23500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 2042,0 +) +) +) +*55 (CptPort +uid 19201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,20625,55000,21375" +) +tg (CPTG +uid 19203,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19204,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,20300,58400,21700" +st "int" +blo "56000,21500" +) +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 2028,0 +) +) +) +*56 (CptPort +uid 19205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,26625,71750,27375" +) +tg (CPTG +uid 19207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19208,0 +va (VaSet +font "Verdana,12,0" +) +xt "60400,26300,70000,27700" +st "dataAddress" +ju 2 +blo "70000,27500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 2039,0 +) +) +) +*57 (CptPort +uid 19209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,28625,71750,29375" +) +tg (CPTG +uid 19211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19212,0 +va (VaSet +font "Verdana,12,0" +) +xt "64000,28300,70000,29700" +st "dataOut" +ju 2 +blo "70000,29500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 2040,0 +) +) +) +*58 (CptPort +uid 19213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19214,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,30625,71750,31375" +) +tg (CPTG +uid 19215,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19216,0 +va (VaSet +font "Verdana,12,0" +) +xt "65000,30300,70000,31700" +st "dataIn" +ju 2 +blo "70000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +) +*59 (CptPort +uid 19217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19218,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,22625,71750,23375" +) +tg (CPTG +uid 19219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19220,0 +va (VaSet +font "Verdana,12,0" +) +xt "61800,22300,70000,23700" +st "instruction" +ju 2 +blo "70000,23500" +) +) +thePort (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 2052,0 +) +) +) +*60 (CptPort +uid 19221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 19222,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "71000,20625,71750,21375" +) +tg (CPTG +uid 19223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 19224,0 +va (VaSet +font "Verdana,12,0" +) +xt "60400,20300,70000,21700" +st "progCounter" +ju 2 +blo "70000,21500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 2053,0 +) +) +) +] +shape (Rectangle +uid 19226,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,17000,71000,39000" +) +oxt "47000,10000,63000,32000" +ttg (MlTextGroup +uid 19227,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 19228,0 +va (VaSet +) +xt "55100,38700,59200,39700" +st "NanoBlaze" +blo "55100,39500" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 19229,0 +va (VaSet +) +xt "55100,39700,61000,40700" +st "nanoProcessor" +blo "55100,40500" +tm "CptNameMgr" +) +*63 (Text +uid 19230,0 +va (VaSet +) +xt "55100,40700,56900,41700" +st "I_up" +blo "55100,41500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 19231,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 19232,0 +text (MLText +uid 19233,0 +va (VaSet +font "Courier New,10,0" +) +xt "55000,41400,96400,49800" +st "addressBitNb = addressBitNb ( positive ) +registerBitNb = registerBitNb ( positive ) +registerAddressBitNb = registerAddressBitNb ( positive ) +programCounterBitNb = programCounterBitNb ( positive ) +stackPointerBitNb = stackPointerBitNb ( positive ) +instructionBitNb = instructionBitNb ( positive ) +scratchpadAddressBitNb = scratchpadAddressBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*64 (Wire +uid 6763,0 +shape (OrthoPolyLine +uid 6764,0 +va (VaSet +vasetType 3 +) +xt "47000,37000,54250,37000" +pts [ +"47000,37000" +"54250,37000" +] +) +start &13 +end &50 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 6767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6768,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,35600,51100,37000" +st "reset" +blo "47000,36800" +tm "WireNameMgr" +) +) +on &12 +) +*65 (Wire +uid 16421,0 +shape (OrthoPolyLine +uid 16422,0 +va (VaSet +vasetType 3 +) +xt "71750,33000,79000,33000" +pts [ +"71750,33000" +"79000,33000" +] +) +start &51 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16426,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,31600,80700,33000" +st "readStrobe" +blo "72000,32800" +tm "WireNameMgr" +) +) +on &15 +) +*66 (Wire +uid 16436,0 +shape (OrthoPolyLine +uid 16437,0 +va (VaSet +vasetType 3 +) +xt "71750,35000,79000,35000" +pts [ +"71750,35000" +"79000,35000" +] +) +start &52 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16440,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16441,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,33600,81000,35000" +st "writeStrobe" +blo "72000,34800" +tm "WireNameMgr" +) +) +on &17 +) +*67 (Wire +uid 16481,0 +shape (OrthoPolyLine +uid 16482,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71750,27000,79000,27000" +pts [ +"71750,27000" +"79000,27000" +] +) +start &56 +end &18 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16486,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,25600,81600,27000" +st "dataAddress" +blo "72000,26800" +tm "WireNameMgr" +) +) +on &19 +) +*68 (Wire +uid 16496,0 +shape (OrthoPolyLine +uid 16497,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71750,29000,79000,29000" +pts [ +"71750,29000" +"79000,29000" +] +) +start &57 +end &20 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16500,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16501,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,27600,80000,29000" +st "dataOut" +blo "74000,28800" +tm "WireNameMgr" +) +) +on &21 +) +*69 (Wire +uid 16511,0 +shape (OrthoPolyLine +uid 16512,0 +va (VaSet +vasetType 3 +) +xt "47000,23000,54250,23000" +pts [ +"54250,23000" +"47000,23000" +] +) +start &54 +end &22 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16515,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16516,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,21600,51500,23000" +st "intAck" +blo "47000,22800" +tm "WireNameMgr" +) +) +on &23 +) +*70 (Wire +uid 16860,0 +shape (OrthoPolyLine +uid 16861,0 +va (VaSet +vasetType 3 +) +xt "47000,35000,54250,35000" +pts [ +"47000,35000" +"54250,35000" +] +) +start &24 +end &49 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16865,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,33600,50800,35000" +st "clock" +blo "47000,34800" +tm "WireNameMgr" +) +) +on &25 +) +*71 (Wire +uid 16875,0 +shape (OrthoPolyLine +uid 16876,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71750,31000,79000,31000" +pts [ +"79000,31000" +"71750,31000" +] +) +start &26 +end &58 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16879,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16880,0 +va (VaSet +font "Verdana,12,0" +) +xt "75000,29600,80000,31000" +st "dataIn" +blo "75000,30800" +tm "WireNameMgr" +) +) +on &27 +) +*72 (Wire +uid 16890,0 +shape (OrthoPolyLine +uid 16891,0 +va (VaSet +vasetType 3 +) +xt "47000,33000,54250,33000" +pts [ +"47000,33000" +"54250,33000" +] +) +start &28 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16894,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16895,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,31600,49400,33000" +st "en" +blo "47000,32800" +tm "WireNameMgr" +) +) +on &29 +) +*73 (Wire +uid 16905,0 +shape (OrthoPolyLine +uid 16906,0 +va (VaSet +vasetType 3 +) +xt "47000,21000,54250,21000" +pts [ +"47000,21000" +"54250,21000" +] +) +start &30 +end &55 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16909,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16910,0 +va (VaSet +font "Verdana,12,0" +) +xt "47000,19600,49400,21000" +st "int" +blo "47000,20800" +tm "WireNameMgr" +) +) +on &31 +) +*74 (Wire +uid 17773,0 +shape (OrthoPolyLine +uid 17774,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71750,21000,94250,21000" +pts [ +"71750,21000" +"94250,21000" +] +) +start &60 +end &40 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17777,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17778,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,19600,85000,21000" +st "programCounter" +blo "73000,20800" +tm "WireNameMgr" +) +) +on &32 +) +*75 (Wire +uid 17781,0 +shape (OrthoPolyLine +uid 17782,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "71750,13000,115000,23000" +pts [ +"71750,23000" +"87000,23000" +"87000,13000" +"115000,13000" +"115000,21000" +"111750,21000" +] +) +start &59 +end &42 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 17785,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17786,0 +va (VaSet +font "Verdana,12,0" +) +xt "73750,21600,81950,23000" +st "instruction" +blo "73750,22800" +tm "WireNameMgr" +) +) +on &33 +) +*76 (Wire +uid 17911,0 +shape (OrthoPolyLine +uid 17912,0 +va (VaSet +vasetType 3 +) +xt "91000,29000,94250,29000" +pts [ +"91000,29000" +"94250,29000" +] +) +end &44 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 17917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17918,0 +va (VaSet +font "Verdana,12,0" +) +xt "90000,27600,94100,29000" +st "reset" +blo "90000,28800" +tm "WireNameMgr" +) +) +on &12 +) +*77 (Wire +uid 17919,0 +shape (OrthoPolyLine +uid 17920,0 +va (VaSet +vasetType 3 +) +xt "91000,27000,94250,27000" +pts [ +"91000,27000" +"94250,27000" +] +) +end &41 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17926,0 +va (VaSet +font "Verdana,12,0" +) +xt "90000,25600,93800,27000" +st "clock" +blo "90000,26800" +tm "WireNameMgr" +) +) +on &25 +) +*78 (Wire +uid 18121,0 +shape (OrthoPolyLine +uid 18122,0 +va (VaSet +vasetType 3 +) +xt "91000,25000,94250,25000" +pts [ +"94250,25000" +"91000,25000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 18125,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18126,0 +va (VaSet +font "Verdana,12,0" +) +xt "90250,23600,94650,25000" +st "logic1" +blo "90250,24800" +tm "WireNameMgr" +) +) +on &34 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 573,0 +va (VaSet +font "arial,8,1" +) +xt "24000,-12000,29400,-11000" +st "Package List" +blo "24000,-11200" +) +*81 (MLText +uid 574,0 +va (VaSet +) +xt "24000,-11000,41500,-7400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*83 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*84 (MLText +uid 48,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*85 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*86 (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*87 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*88 (MLText +uid 52,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "136,35,1420,897" +viewArea "22400,-13600,135698,65974" +cachedDiagramExtent "20000,-12000,133000,62000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA203_HPLJ2430DTN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "24000,-12000" +lastUid 19291,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +) +xt "2100,3000,6700,4200" +st "" +blo "2100,4000" +tm "BdLibraryNameMgr" +) +*90 (Text +va (VaSet +) +xt "2100,4200,6200,5400" +st "" +blo "2100,5200" +tm "BlkNameMgr" +) +*91 (Text +va (VaSet +) +xt "2100,5400,3300,6600" +st "I0" +blo "2100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2100,13000,2100,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*93 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*94 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +va (VaSet +) +xt "900,3000,3200,4000" +st "Library" +blo "900,3800" +tm "BdLibraryNameMgr" +) +*96 (Text +va (VaSet +) +xt "900,4000,6400,5000" +st "SaComponent" +blo "900,4800" +tm "CptNameMgr" +) +*97 (Text +va (VaSet +) +xt "900,5000,1500,6000" +st "I0" +blo "900,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6100,1000,-6100,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-100,0,8100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +) +xt "400,3000,2700,4000" +st "Library" +blo "400,3800" +) +*99 (Text +va (VaSet +) +xt "400,4000,6500,5000" +st "VhdlComponent" +blo "400,4800" +) +*100 (Text +va (VaSet +) +xt "400,5000,1000,6000" +st "I0" +blo "400,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6600,1000,-6600,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*102 (Text +va (VaSet +) +xt "-100,4000,7000,5000" +st "VerilogComponent" +blo "-100,4800" +) +*103 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +) +xt "3300,3700,4500,4700" +st "eb1" +blo "3300,4500" +tm "HdlTextNameMgr" +) +*105 (Text +va (VaSet +) +xt "3300,4700,3700,5700" +st "1" +blo "3300,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-350,-600,250,400" +st "G" +blo "-350,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +font "Arial,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*107 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "Arial,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*109 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,-6400,29400,-5400" +st "Declarations" +blo "24000,-5600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,-5400,26700,-4400" +st "Ports:" +blo "24000,-4600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,3600,27800,4600" +st "Pre User:" +blo "24000,4400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,9,0" +) +xt "26000,4600,49000,5800" +st "constant instructionBitNb: positive := 18;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,5800,31100,6800" +st "Diagram Signals:" +blo "24000,6600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,9200,28700,10200" +st "Post User:" +blo "24000,10000" +) +postUserText (MLText +uid 8,0 +va (VaSet +) +xt "24000,-6400,24000,-6400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 188,0 +usingSuid 1 +emptyRow *110 (LEmptyRow +) +uid 5534,0 +optionalChildren [ +*111 (RefLabelRowHdr +) +*112 (TitleRowHdr +) +*113 (FilterRowHdr +) +*114 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*115 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*116 (GroupColHdr +tm "GroupColHdrMgr" +) +*117 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*118 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*119 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*120 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*121 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*122 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*123 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 7,0 +) +) +uid 5491,0 +) +*124 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 9 +suid 155,0 +) +) +uid 16384,0 +) +*125 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 156,0 +) +) +uid 16386,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 6 +suid 159,0 +) +) +uid 16392,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 7 +suid 160,0 +) +) +uid 16394,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 8 +suid 161,0 +) +) +uid 16396,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 163,0 +) +) +uid 16913,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 164,0 +) +) +uid 16915,0 +) +*131 (LeafLogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 165,0 +) +) +uid 16917,0 +) +*132 (LeafLogPort +port (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 4 +suid 166,0 +) +) +uid 16919,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "programCounter" +t "unsigned" +b "(programCounterBitNb-1 DOWNTO 0)" +o 13 +suid 182,0 +) +) +uid 17787,0 +) +*134 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 11 +suid 183,0 +) +) +uid 17789,0 +) +*135 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_ulogic" +o 12 +suid 187,0 +) +) +uid 18167,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 5547,0 +optionalChildren [ +*136 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *137 (MRCItem +litem &110 +pos 13 +dimension 20 +) +uid 5549,0 +optionalChildren [ +*138 (MRCItem +litem &111 +pos 0 +dimension 20 +uid 5550,0 +) +*139 (MRCItem +litem &112 +pos 1 +dimension 23 +uid 5551,0 +) +*140 (MRCItem +litem &113 +pos 2 +hidden 1 +dimension 20 +uid 5552,0 +) +*141 (MRCItem +litem &123 +pos 2 +dimension 20 +uid 5492,0 +) +*142 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 16383,0 +) +*143 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 16385,0 +) +*144 (MRCItem +litem &126 +pos 3 +dimension 20 +uid 16391,0 +) +*145 (MRCItem +litem &127 +pos 4 +dimension 20 +uid 16393,0 +) +*146 (MRCItem +litem &128 +pos 5 +dimension 20 +uid 16395,0 +) +*147 (MRCItem +litem &129 +pos 6 +dimension 20 +uid 16914,0 +) +*148 (MRCItem +litem &130 +pos 7 +dimension 20 +uid 16916,0 +) +*149 (MRCItem +litem &131 +pos 8 +dimension 20 +uid 16918,0 +) +*150 (MRCItem +litem &132 +pos 9 +dimension 20 +uid 16920,0 +) +*151 (MRCItem +litem &133 +pos 10 +dimension 20 +uid 17788,0 +) +*152 (MRCItem +litem &134 +pos 11 +dimension 20 +uid 17790,0 +) +*153 (MRCItem +litem &135 +pos 12 +dimension 20 +uid 18168,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5553,0 +optionalChildren [ +*154 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 5554,0 +) +*155 (MRCItem +litem &116 +pos 1 +dimension 50 +uid 5555,0 +) +*156 (MRCItem +litem &117 +pos 2 +dimension 100 +uid 5556,0 +) +*157 (MRCItem +litem &118 +pos 3 +dimension 50 +uid 5557,0 +) +*158 (MRCItem +litem &119 +pos 4 +dimension 100 +uid 5558,0 +) +*159 (MRCItem +litem &120 +pos 5 +dimension 100 +uid 5559,0 +) +*160 (MRCItem +litem &121 +pos 6 +dimension 50 +uid 5560,0 +) +*161 (MRCItem +litem &122 +pos 7 +dimension 80 +uid 5561,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 5548,0 +vaOverrides [ +] +) +] +) +uid 5533,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *162 (LEmptyRow +) +uid 5563,0 +optionalChildren [ +*163 (RefLabelRowHdr +) +*164 (TitleRowHdr +) +*165 (FilterRowHdr +) +*166 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*167 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*168 (GroupColHdr +tm "GroupColHdrMgr" +) +*169 (NameColHdr +tm "GenericNameColHdrMgr" +) +*170 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*171 (InitColHdr +tm "GenericValueColHdrMgr" +) +*172 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*173 (EolColHdr +tm "GenericEolColHdrMgr" +) +*174 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 16169,0 +) +*175 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 16171,0 +) +*176 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 18313,0 +) +*177 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 18346,0 +) +*178 (LogGeneric +generic (GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +uid 18735,0 +) +*179 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "6" +) +uid 18868,0 +) +] +) +pdm (PhysicalDM +uid 5575,0 +optionalChildren [ +*180 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *181 (MRCItem +litem &162 +pos 6 +dimension 20 +) +uid 5577,0 +optionalChildren [ +*182 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 5578,0 +) +*183 (MRCItem +litem &164 +pos 1 +dimension 23 +uid 5579,0 +) +*184 (MRCItem +litem &165 +pos 2 +hidden 1 +dimension 20 +uid 5580,0 +) +*185 (MRCItem +litem &174 +pos 0 +dimension 20 +uid 16168,0 +) +*186 (MRCItem +litem &175 +pos 1 +dimension 20 +uid 16170,0 +) +*187 (MRCItem +litem &176 +pos 4 +dimension 20 +uid 18312,0 +) +*188 (MRCItem +litem &177 +pos 2 +dimension 20 +uid 18345,0 +) +*189 (MRCItem +litem &178 +pos 3 +dimension 20 +uid 18734,0 +) +*190 (MRCItem +litem &179 +pos 5 +dimension 20 +uid 18867,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5581,0 +optionalChildren [ +*191 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 5582,0 +) +*192 (MRCItem +litem &168 +pos 1 +dimension 50 +uid 5583,0 +) +*193 (MRCItem +litem &169 +pos 2 +dimension 100 +uid 5584,0 +) +*194 (MRCItem +litem &170 +pos 3 +dimension 100 +uid 5585,0 +) +*195 (MRCItem +litem &171 +pos 4 +dimension 50 +uid 5586,0 +) +*196 (MRCItem +litem &172 +pos 5 +dimension 50 +uid 5587,0 +) +*197 (MRCItem +litem &173 +pos 6 +dimension 80 +uid 5588,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 5576,0 +vaOverrides [ +] +) +] +) +uid 5562,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/NanoBlaze/hds/nano@blaze/symbol.sb b/Libs/NanoBlaze/hds/nano@blaze/symbol.sb new file mode 100644 index 0000000..fd4b07a --- /dev/null +++ b/Libs/NanoBlaze/hds/nano@blaze/symbol.sb @@ -0,0 +1,2081 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2050,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 12,0 +) +) +uid 322,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 324,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 9 +suid 2024,0 +) +) +uid 496,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 2026,0 +) +) +uid 500,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +uid 532,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 4 +suid 2028,0 +) +) +uid 534,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 6 +suid 2039,0 +) +) +uid 1168,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 7 +suid 2040,0 +) +) +uid 1170,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 8 +suid 2042,0 +) +) +uid 1174,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +uid 2048,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 254,0 +optionalChildren [ +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 257,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 259,0 +) +*28 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*29 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 281,0 +) +*30 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 283,0 +) +*31 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 497,0 +) +*32 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 501,0 +) +*33 (MRCItem +litem &18 +pos 8 +dimension 20 +uid 533,0 +) +*34 (MRCItem +litem &19 +pos 9 +dimension 20 +uid 535,0 +) +*35 (MRCItem +litem &20 +pos 3 +dimension 20 +uid 1169,0 +) +*36 (MRCItem +litem &21 +pos 4 +dimension 20 +uid 1171,0 +) +*37 (MRCItem +litem &22 +pos 5 +dimension 20 +uid 1175,0 +) +*38 (MRCItem +litem &23 +pos 7 +dimension 20 +uid 2049,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*39 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 263,0 +) +*40 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 267,0 +) +*41 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 269,0 +) +*42 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 271,0 +) +*43 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 273,0 +) +*44 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 275,0 +) +*45 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 277,0 +) +*46 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 1507,0 +) +*60 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 1509,0 +) +*61 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 2258,0 +) +*62 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "6" +) +uid 2283,0 +) +*63 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 2308,0 +) +*64 (LogGeneric +generic (GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +uid 2381,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &47 +pos 6 +dimension 20 +) +uid 293,0 +optionalChildren [ +*67 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 296,0 +) +*68 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 298,0 +) +*69 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*70 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 1508,0 +) +*71 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 1510,0 +) +*72 (MRCItem +litem &61 +pos 4 +dimension 20 +uid 2259,0 +) +*73 (MRCItem +litem &62 +pos 5 +dimension 20 +uid 2284,0 +) +*74 (MRCItem +litem &63 +pos 2 +dimension 20 +uid 2309,0 +) +*75 (MRCItem +litem &64 +pos 3 +dimension 20 +uid 2382,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*76 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 302,0 +) +*77 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 306,0 +) +*78 (MRCItem +litem &54 +pos 2 +dimension 199 +uid 308,0 +) +*79 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 310,0 +) +*80 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 312,0 +) +*81 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 314,0 +) +*82 (MRCItem +litem &58 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoBlaze" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoBlaze" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoBlaze" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@blaze\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoBlaze\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:38:43" +) +(vvPair +variable "unit" +value "nanoBlaze" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,27625,47000,28375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,27300,51800,28700" +st "clock" +blo "48000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,20500,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*85 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,29625,47000,30375" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 251,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,29300,52100,30700" +st "reset" +blo "48000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15000,20500,15800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*86 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,25625,63750,26375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "Verdana,12,0" +) +xt "53300,25300,62000,26700" +st "readStrobe" +ju 2 +blo "62000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18200,20500,19000" +st "readStrobe : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 9 +suid 2024,0 +) +) +) +*87 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,27625,63750,28375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,27300,62000,28700" +st "writeStrobe" +ju 2 +blo "62000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19000,19500,19800" +st "writeStrobe : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 2026,0 +) +) +) +*88 (CptPort +uid 502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,25625,47000,26375" +) +tg (CPTG +uid 504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 505,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,25300,50400,26700" +st "en" +blo "48000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 506,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,20500,14200" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +) +*89 (CptPort +uid 1163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1164,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,21625,47000,22375" +) +tg (CPTG +uid 1165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1166,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,21300,52500,22700" +st "intAck" +blo "48000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1167,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17400,20500,18200" +st "intAck : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 8 +suid 2042,0 +) +) +) +*90 (CptPort +uid 1998,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1999,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,19625,47000,20375" +) +tg (CPTG +uid 2000,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2001,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,19300,50400,20700" +st "int" +blo "48000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2002,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,20500,15000" +st "int : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 4 +suid 2028,0 +) +) +) +*91 (CptPort +uid 2003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2004,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2006,0 +va (VaSet +font "Verdana,12,0" +) +xt "52400,19300,62000,20700" +st "dataAddress" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2007,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15800,33500,16600" +st "dataAddress : OUT unsigned ( addressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 6 +suid 2039,0 +) +) +) +*92 (CptPort +uid 2008,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2009,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2010,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2011,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,21300,62000,22700" +st "dataOut" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2012,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16600,37500,17400" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 7 +suid 2040,0 +) +) +) +*93 (CptPort +uid 2043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2073,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2045,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2046,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,23300,62000,24700" +st "dataIn" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2047,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,37500,13400" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,16000,63000,32000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,31700,53100,32900" +st "NanoBlaze" +blo "47100,32700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,32900,52900,34100" +st "nanoBlaze" +blo "47100,33900" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "47000,34400,70400,44000" +st "Generic Declarations + +addressBitNb positive 8 +registerBitNb positive 8 +programCounterBitNb positive 10 +stackPointerBitNb positive 5 +registerAddressBitNb positive 4 +scratchpadAddressBitNb natural 6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "6" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*95 (Grouping +uid 136,0 +optionalChildren [ +*96 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65500,61200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61500,78200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63500,61200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63500,57200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,92300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*101 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61500,82200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "62350,61400,72650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64500,57200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65500,57200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64500,61200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*108 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1411,895" +viewArea "-1465,-1465,100023,68302" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32200,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31300,16700" +st "" +blo "29100,16500" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,19800,3700,21000" +st "User:" +blo "0,20800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21000,2000,21000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2497,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/nano@processor/struct.bd b/Libs/NanoBlaze/hds/nano@processor/struct.bd new file mode 100644 index 0000000..4ed69a3 --- /dev/null +++ b/Libs/NanoBlaze/hds/nano@processor/struct.bd @@ -0,0 +1,10945 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_PC" +duLibraryName "nanoBlaze" +duName "programCounter" +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +] +mwi 0 +uid 21353,0 +) +(Instance +name "I_ctrl" +duLibraryName "NanoBlaze" +duName "controller" +elements [ +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "branchCondBitNb" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "opCodeBitNb" +) +] +mwi 0 +uid 22103,0 +) +(Instance +name "I_BR" +duLibraryName "nanoBlaze" +duName "branchStack" +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +] +mwi 0 +uid 22136,0 +) +(Instance +name "I_alu" +duLibraryName "NanoBlaze" +duName "aluAndRegs" +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +mwi 0 +uid 22621,0 +) +(Instance +name "I_instr" +duLibraryName "NanoBlaze" +duName "instructionDecoder" +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "opCodeBitNb" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "branchCondBitNb" +) +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 22782,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +(EmbeddedInstance +name "eb4" +number "4" +) +] +frameInstances [ +(FrameInstance +name "g_scratchpad" +style 1 +insts [ +(Instance +name "I_sPad" +duLibraryName "NanoBlaze" +duName "scratchpad" +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +mwi 0 +uid 22654,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoProcessor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoProcessor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoProcessor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoProcessor\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:38:43" +) +(vvPair +variable "unit" +value "nanoProcessor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 812,0 +optionalChildren [ +*2 (CommentText +uid 814,0 +shape (Rectangle +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "156000,202000,175000,204000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 816,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "156200,202400,171600,203600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 817,0 +shape (Rectangle +uid 818,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,202000,150000,204000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 819,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "131250,202250,142750,203750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 820,0 +shape (Rectangle +uid 821,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "129000,208000,150000,210000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 822,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "129200,208400,147600,209600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 823,0 +shape (Rectangle +uid 824,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "150000,202000,156000,204000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 825,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "150200,202400,154900,203600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 826,0 +shape (Rectangle +uid 827,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "129000,204000,150000,206000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 828,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "129200,204400,144400,205600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 829,0 +shape (Rectangle +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,204000,129000,206000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 831,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,204400,127600,205600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 832,0 +shape (Rectangle +uid 833,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,206000,129000,208000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 834,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,206400,127600,207600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 835,0 +shape (Rectangle +uid 836,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "150000,204000,175000,210000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 837,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "150200,204200,164300,205400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 838,0 +shape (Rectangle +uid 839,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "129000,206000,150000,208000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 840,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "129200,206400,139100,207600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 841,0 +shape (Rectangle +uid 842,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "124000,208000,129000,210000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 843,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "124200,208400,128500,209600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 813,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "124000,202000,175000,210000" +) +oxt "13000,22000,64000,30000" +) +*12 (Net +uid 3306,0 +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +declText (MLText +uid 3307,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,3600,42500,4400" +st "reset : std_ulogic" +) +) +*13 (PortIoIn +uid 7091,0 +shape (CompositeShape +uid 7092,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 7093,0 +sl 0 +ro 270 +xt "51000,165625,52500,166375" +) +(Line +uid 7094,0 +sl 0 +ro 270 +xt "52500,166000,53000,166000" +pts [ +"52500,166000" +"53000,166000" +] +) +] +) +tg (WTG +uid 7095,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7096,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45900,165300,50000,166700" +st "reset" +ju 2 +blo "50000,166500" +tm "WireNameMgr" +) +s (Text +uid 7097,0 +va (VaSet +font "Verdana,12,0" +) +xt "45900,166700,45900,166700" +ju 2 +blo "45900,166700" +tm "SignalTypeMgr" +) +) +) +*14 (PortIoOut +uid 16414,0 +shape (CompositeShape +uid 16415,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16416,0 +sl 0 +ro 270 +xt "157500,114625,159000,115375" +) +(Line +uid 16417,0 +sl 0 +ro 270 +xt "157000,115000,157500,115000" +pts [ +"157000,115000" +"157500,115000" +] +) +] +) +tg (WTG +uid 16418,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16419,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,114500,168700,115900" +st "readStrobe" +blo "160000,115700" +tm "WireNameMgr" +) +s (Text +uid 16420,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,115900,160000,115900" +blo "160000,115900" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 16427,0 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 155,0 +) +declText (MLText +uid 16428,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,7600,42500,8400" +st "readStrobe : std_uLogic" +) +) +*16 (PortIoOut +uid 16429,0 +shape (CompositeShape +uid 16430,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16431,0 +sl 0 +ro 270 +xt "157500,116625,159000,117375" +) +(Line +uid 16432,0 +sl 0 +ro 270 +xt "157000,117000,157500,117000" +pts [ +"157000,117000" +"157500,117000" +] +) +] +) +tg (WTG +uid 16433,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16434,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,116500,169000,117900" +st "writeStrobe" +blo "160000,117700" +tm "WireNameMgr" +) +s (Text +uid 16435,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,117900,160000,117900" +blo "160000,117900" +tm "SignalTypeMgr" +) +) +) +*17 (Net +uid 16442,0 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 156,0 +) +declText (MLText +uid 16443,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,8400,42500,9200" +st "writeStrobe : std_uLogic" +) +) +*18 (PortIoOut +uid 16474,0 +shape (CompositeShape +uid 16475,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16476,0 +sl 0 +ro 270 +xt "157500,104625,159000,105375" +) +(Line +uid 16477,0 +sl 0 +ro 270 +xt "157000,105000,157500,105000" +pts [ +"157000,105000" +"157500,105000" +] +) +] +) +tg (WTG +uid 16478,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16479,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,104500,189800,105900" +st "dataAddress : (addressBitNb-1 DOWNTO 0)" +blo "160000,105700" +tm "WireNameMgr" +) +s (Text +uid 16480,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,105900,160000,105900" +blo "160000,105900" +tm "SignalTypeMgr" +) +) +) +*19 (Net +uid 16487,0 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 159,0 +) +declText (MLText +uid 16488,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,4400,54500,5200" +st "dataAddress : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 16489,0 +shape (CompositeShape +uid 16490,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16491,0 +sl 0 +ro 270 +xt "157500,128625,159000,129375" +) +(Line +uid 16492,0 +sl 0 +ro 270 +xt "157000,129000,157500,129000" +pts [ +"157000,129000" +"157500,129000" +] +) +] +) +tg (WTG +uid 16493,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16494,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,128500,186800,129900" +st "dataOut : (registerBitNb-1 DOWNTO 0)" +blo "160000,129700" +tm "WireNameMgr" +) +s (Text +uid 16495,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,129900,160000,129900" +blo "160000,129900" +tm "SignalTypeMgr" +) +) +) +*21 (Net +uid 16502,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 160,0 +) +declText (MLText +uid 16503,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,5200,59500,6000" +st "dataOut : std_ulogic_vector(registerBitNb-1 DOWNTO 0)" +) +) +*22 (PortIoOut +uid 16504,0 +shape (CompositeShape +uid 16505,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16506,0 +sl 0 +ro 90 +xt "51000,52625,52500,53375" +) +(Line +uid 16507,0 +sl 0 +ro 90 +xt "52500,53000,53000,53000" +pts [ +"53000,53000" +"52500,53000" +] +) +] +) +tg (WTG +uid 16508,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16509,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "45500,52300,50000,53700" +st "intAck" +ju 2 +blo "50000,53500" +tm "WireNameMgr" +) +s (Text +uid 16510,0 +va (VaSet +font "Verdana,12,0" +) +xt "45500,53700,45500,53700" +ju 2 +blo "45500,53700" +tm "SignalTypeMgr" +) +) +) +*23 (Net +uid 16517,0 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 161,0 +) +declText (MLText +uid 16518,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6000,42500,6800" +st "intAck : std_ulogic" +) +) +*24 (PortIoIn +uid 16853,0 +shape (CompositeShape +uid 16854,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16855,0 +sl 0 +ro 270 +xt "51000,163625,52500,164375" +) +(Line +uid 16856,0 +sl 0 +ro 270 +xt "52500,164000,53000,164000" +pts [ +"52500,164000" +"53000,164000" +] +) +] +) +tg (WTG +uid 16857,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16858,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "46200,163500,50000,164900" +st "clock" +ju 2 +blo "50000,164700" +tm "WireNameMgr" +) +s (Text +uid 16859,0 +va (VaSet +font "Verdana,12,0" +) +xt "46200,164900,46200,164900" +ju 2 +blo "46200,164900" +tm "SignalTypeMgr" +) +) +) +*25 (Net +uid 16866,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 163,0 +) +declText (MLText +uid 16867,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,-400,42500,400" +st "clock : std_ulogic" +) +) +*26 (PortIoIn +uid 16868,0 +shape (CompositeShape +uid 16869,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16870,0 +sl 0 +ro 90 +xt "157500,130625,159000,131375" +) +(Line +uid 16871,0 +sl 0 +ro 90 +xt "157000,131000,157500,131000" +pts [ +"157500,131000" +"157000,131000" +] +) +] +) +tg (WTG +uid 16872,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16873,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,130300,185800,131700" +st "dataIn : (registerBitNb-1 DOWNTO 0)" +blo "160000,131500" +tm "WireNameMgr" +) +s (Text +uid 16874,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,131700,160000,131700" +blo "160000,131700" +tm "SignalTypeMgr" +) +) +) +*27 (Net +uid 16881,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 164,0 +) +declText (MLText +uid 16882,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,400,59500,1200" +st "dataIn : std_ulogic_vector(registerBitNb-1 DOWNTO 0)" +) +) +*28 (PortIoIn +uid 16883,0 +shape (CompositeShape +uid 16884,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16885,0 +sl 0 +ro 270 +xt "51000,161625,52500,162375" +) +(Line +uid 16886,0 +sl 0 +ro 270 +xt "52500,162000,53000,162000" +pts [ +"52500,162000" +"53000,162000" +] +) +] +) +tg (WTG +uid 16887,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16888,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "47600,161500,50000,162900" +st "en" +ju 2 +blo "50000,162700" +tm "WireNameMgr" +) +s (Text +uid 16889,0 +va (VaSet +font "Verdana,12,0" +) +xt "47600,162900,47600,162900" +ju 2 +blo "47600,162900" +tm "SignalTypeMgr" +) +) +) +*29 (Net +uid 16896,0 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 165,0 +) +declText (MLText +uid 16897,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,1200,42500,2000" +st "en : std_ulogic" +) +) +*30 (PortIoIn +uid 16898,0 +shape (CompositeShape +uid 16899,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 16900,0 +sl 0 +ro 270 +xt "51000,50625,52500,51375" +) +(Line +uid 16901,0 +sl 0 +ro 270 +xt "52500,51000,53000,51000" +pts [ +"52500,51000" +"53000,51000" +] +) +] +) +tg (WTG +uid 16902,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16903,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "47600,50500,50000,51900" +st "int" +ju 2 +blo "50000,51700" +tm "WireNameMgr" +) +s (Text +uid 16904,0 +va (VaSet +font "Verdana,12,0" +) +xt "47600,51900,47600,51900" +ju 2 +blo "47600,51900" +tm "SignalTypeMgr" +) +) +) +*31 (Net +uid 16911,0 +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 166,0 +) +declText (MLText +uid 16912,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,2800,42500,3600" +st "int : std_uLogic" +) +) +*32 (Net +uid 17292,0 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 36 +suid 167,0 +) +declText (MLText +uid 17293,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,34400,46000,35200" +st "SIGNAL registerFileSel : std_ulogic" +) +) +*33 (Net +uid 17300,0 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 22 +suid 168,0 +) +declText (MLText +uid 17301,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,23200,46000,24000" +st "SIGNAL instrDataSel : std_ulogic" +) +) +*34 (Net +uid 17308,0 +decl (Decl +n "portInSel" +t "std_ulogic" +o 29 +suid 169,0 +) +declText (MLText +uid 17309,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,28800,46000,29600" +st "SIGNAL portInSel : std_ulogic" +) +) +*35 (Net +uid 17316,0 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 37 +suid 170,0 +) +declText (MLText +uid 17317,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,35200,46000,36000" +st "SIGNAL scratchpadSel : std_ulogic" +) +) +*36 (Net +uid 17324,0 +decl (Decl +n "cIn" +t "std_ulogic" +o 17 +suid 171,0 +) +declText (MLText +uid 17325,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,19200,46000,20000" +st "SIGNAL cIn : std_ulogic" +) +) +*37 (Net +uid 17332,0 +decl (Decl +n "cOut" +t "std_ulogic" +o 18 +suid 172,0 +) +declText (MLText +uid 17333,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,20000,46000,20800" +st "SIGNAL cOut : std_ulogic" +) +) +*38 (Net +uid 17340,0 +decl (Decl +n "zero" +t "std_ulogic" +o 48 +suid 173,0 +) +declText (MLText +uid 17341,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,44000,46000,44800" +st "SIGNAL zero : std_ulogic" +) +) +*39 (Net +uid 17579,0 +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 24 +suid 174,0 +) +declText (MLText +uid 17580,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,24800,63500,25600" +st "SIGNAL intCode : std_ulogic_vector( intCodeBitNb-1 DOWNTO 0 )" +) +) +*40 (Net +uid 17595,0 +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "( opCodeBitNb-1 DOWNTO 0 )" +o 27 +suid 176,0 +) +declText (MLText +uid 17596,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,27200,63000,28000" +st "SIGNAL opCode : std_ulogic_vector( opCodeBitNb-1 DOWNTO 0 )" +) +) +*41 (Net +uid 17603,0 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 15 +suid 177,0 +) +declText (MLText +uid 17604,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,17600,63500,18400" +st "SIGNAL aluCode : std_ulogic_vector( aluCodeBitNb-1 DOWNTO 0 )" +) +) +*42 (Net +uid 17609,0 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 13 +suid 178,0 +) +declText (MLText +uid 17610,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,16000,63000,16800" +st "SIGNAL addrA : unsigned( registerAddressBitNb-1 DOWNTO 0 )" +) +) +*43 (Net +uid 17615,0 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 14 +suid 179,0 +) +declText (MLText +uid 17616,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,16800,63000,17600" +st "SIGNAL addrB : unsigned( registerAddressBitNb-1 DOWNTO 0 )" +) +) +*44 (Net +uid 17621,0 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 21 +suid 180,0 +) +declText (MLText +uid 17622,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,22400,58500,23200" +st "SIGNAL instrData : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*45 (PortIoOut +uid 17844,0 +shape (CompositeShape +uid 17845,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 17846,0 +sl 0 +ro 270 +xt "157500,72625,159000,73375" +) +(Line +uid 17847,0 +sl 0 +ro 270 +xt "157000,73000,157500,73000" +pts [ +"157000,73000" +"157500,73000" +] +) +] +) +tg (WTG +uid 17848,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17849,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,72500,196700,73900" +st "progCounter : ( programCounterBitNb-1 DOWNTO 0 )" +blo "160000,73700" +tm "WireNameMgr" +) +s (Text +uid 17850,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,73900,160000,73900" +blo "160000,73900" +tm "SignalTypeMgr" +) +) +) +*46 (PortIoIn +uid 17859,0 +shape (CompositeShape +uid 17860,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 17861,0 +sl 0 +ro 90 +xt "157500,96625,159000,97375" +) +(Line +uid 17862,0 +sl 0 +ro 90 +xt "157000,97000,157500,97000" +pts [ +"157500,97000" +"157000,97000" +] +) +] +) +tg (WTG +uid 17863,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17864,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "160000,96300,190700,97700" +st "instruction : (instructionBitNb-1 DOWNTO 0)" +blo "160000,97500" +tm "WireNameMgr" +) +s (Text +uid 17865,0 +va (VaSet +font "Verdana,12,0" +) +xt "160000,97700,160000,97700" +blo "160000,97700" +tm "SignalTypeMgr" +) +) +) +*47 (Net +uid 17872,0 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 183,0 +) +declText (MLText +uid 17873,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,2000,61000,2800" +st "instruction : std_ulogic_vector(instructionBitNb-1 DOWNTO 0)" +) +) +*48 (Net +uid 18051,0 +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 20 +suid 184,0 +) +declText (MLText +uid 18052,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,21600,62500,22400" +st "SIGNAL instrAddress : unsigned( programCounterBitNb-1 DOWNTO 0 )" +) +) +*49 (Net +uid 18057,0 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 185,0 +) +declText (MLText +uid 18058,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,6800,59000,7600" +st "progCounter : unsigned( programCounterBitNb-1 DOWNTO 0 )" +) +) +*50 (Net +uid 18205,0 +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 26 +suid 186,0 +) +declText (MLText +uid 18206,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,26400,46000,27200" +st "SIGNAL loadStoredPC : std_ulogic" +) +) +*51 (Net +uid 18213,0 +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 25 +suid 187,0 +) +declText (MLText +uid 18214,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,25600,46000,26400" +st "SIGNAL loadInstrAddress : std_ulogic" +) +) +*52 (Net +uid 18221,0 +decl (Decl +n "incPC" +t "std_ulogic" +o 19 +suid 188,0 +) +declText (MLText +uid 18222,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,20800,46000,21600" +st "SIGNAL incPC : std_ulogic" +) +) +*53 (Net +uid 18274,0 +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 46 +suid 189,0 +) +declText (MLText +uid 18275,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,42400,62500,43200" +st "SIGNAL storedProgCounter : unsigned( programCounterBitNb-1 DOWNTO 0 )" +) +) +*54 (Net +uid 18288,0 +decl (Decl +n "storePC" +t "std_ulogic" +o 45 +suid 191,0 +) +declText (MLText +uid 18289,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,41600,46000,42400" +st "SIGNAL storePC : std_ulogic" +) +) +*55 (HdlText +uid 18573,0 +optionalChildren [ +*56 (EmbeddedText +uid 18602,0 +commentText (CommentText +uid 18603,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 18604,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "133000,102000,149000,110000" +) +oxt "0,0,18000,5000" +text (MLText +uid 18605,0 +va (VaSet +) +xt "133200,102200,148300,107000" +st " +dataAddress <= portInstrAddress when portIndexedSel = '0' else portRegAddress; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 18574,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "133000,101000,149000,111000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 18575,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 18576,0 +va (VaSet +) +xt "133400,111000,134600,112000" +st "eb1" +blo "133400,111800" +tm "HdlTextNameMgr" +) +*58 (Text +uid 18577,0 +va (VaSet +) +xt "133400,112000,133800,113000" +st "1" +blo "133400,112800" +tm "HdlTextNumberMgr" +) +] +) +) +*59 (Net +uid 18630,0 +decl (Decl +n "scratchpadWrite" +t "std_ulogic" +o 38 +suid 200,0 +) +declText (MLText +uid 18631,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,36000,46000,36800" +st "SIGNAL scratchpadWrite : std_ulogic" +) +) +*60 (Net +uid 18872,0 +decl (Decl +n "regWrite" +t "std_ulogic" +o 35 +suid 203,0 +) +declText (MLText +uid 18873,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,33600,46000,34400" +st "SIGNAL regWrite : std_ulogic" +) +) +*61 (HdlText +uid 18991,0 +optionalChildren [ +*62 (EmbeddedText +uid 18996,0 +commentText (CommentText +uid 18997,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 18998,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "133000,126000,149000,134000" +) +oxt "0,0,18000,5000" +text (MLText +uid 18999,0 +va (VaSet +) +xt "133200,126200,149000,131000" +st " +dataOut <= std_ulogic_vector(portOut); +portIn <= signed(dataIn); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 18992,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "133000,125000,149000,135000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 18993,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 18994,0 +va (VaSet +) +xt "133400,135000,135000,136000" +st "eb2" +blo "133400,135800" +tm "HdlTextNameMgr" +) +*64 (Text +uid 18995,0 +va (VaSet +) +xt "133400,136000,134200,137000" +st "2" +blo "133400,136800" +tm "HdlTextNumberMgr" +) +] +) +) +*65 (Net +uid 19000,0 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 32 +suid 204,0 +) +declText (MLText +uid 19001,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,31200,58500,32000" +st "SIGNAL portOut : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*66 (Net +uid 19008,0 +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 28 +suid 205,0 +) +declText (MLText +uid 19009,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,28000,58500,28800" +st "SIGNAL portIn : signed( registerBitNb-1 DOWNTO 0 )" +) +) +*67 (Net +uid 19792,0 +decl (Decl +n "spadIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 40 +suid 207,0 +) +declText (MLText +uid 19793,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,37600,57500,38400" +st "SIGNAL spadIn : signed(registerBitNb-1 DOWNTO 0)" +) +) +*68 (Net +uid 19794,0 +decl (Decl +n "spadOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 43 +suid 208,0 +) +declText (MLText +uid 19795,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,40000,57500,40800" +st "SIGNAL spadOut : signed(registerBitNb-1 DOWNTO 0)" +) +) +*69 (Net +uid 19800,0 +decl (Decl +n "portIndexedSel" +t "std_ulogic" +o 30 +suid 209,0 +) +declText (MLText +uid 19801,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,29600,46000,30400" +st "SIGNAL portIndexedSel : std_ulogic" +) +) +*70 (Net +uid 19804,0 +decl (Decl +n "portInstrAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 31 +suid 210,0 +) +declText (MLText +uid 19805,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,30400,58000,31200" +st "SIGNAL portInstrAddress : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*71 (Net +uid 19812,0 +decl (Decl +n "spadInstrAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 42 +suid 212,0 +) +declText (MLText +uid 19813,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,39200,63000,40000" +st "SIGNAL spadInstrAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0)" +) +) +*72 (Net +uid 19966,0 +decl (Decl +n "spadIndexedSel" +t "std_ulogic" +o 41 +suid 213,0 +) +declText (MLText +uid 19967,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,38400,46000,39200" +st "SIGNAL spadIndexedSel : std_ulogic" +) +) +*73 (HdlText +uid 19974,0 +optionalChildren [ +*74 (EmbeddedText +uid 19979,0 +commentText (CommentText +uid 19980,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 19981,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "133000,144000,149000,152000" +) +oxt "0,0,18000,5000" +text (MLText +uid 19982,0 +va (VaSet +) +xt "133200,144200,148700,149000" +st " +spadAddress <= spadInstrAddress when spadIndexedSel = '0' else spadRegAddress; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 19975,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "133000,143000,149000,153000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 19976,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 19977,0 +va (VaSet +) +xt "133400,153000,135000,154000" +st "eb3" +blo "133400,153800" +tm "HdlTextNameMgr" +) +*76 (Text +uid 19978,0 +va (VaSet +) +xt "133400,154000,134200,155000" +st "3" +blo "133400,154800" +tm "HdlTextNumberMgr" +) +] +) +) +*77 (Net +uid 20003,0 +decl (Decl +n "spadRegAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 44 +suid 216,0 +) +declText (MLText +uid 20004,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,40800,63000,41600" +st "SIGNAL spadRegAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0)" +) +) +*78 (Net +uid 20017,0 +decl (Decl +n "portRegAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 33 +suid 218,0 +) +declText (MLText +uid 20018,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,32000,58000,32800" +st "SIGNAL portRegAddress : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*79 (Net +uid 20262,0 +decl (Decl +n "spadAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 39 +suid 219,0 +) +declText (MLText +uid 20263,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,36800,63000,37600" +st "SIGNAL spadAddress : unsigned(scratchpadAddressBitNb-1 DOWNTO 0)" +) +) +*80 (SaComponent +uid 21353,0 +optionalChildren [ +*81 (CptPort +uid 21321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,80625,93000,81375" +) +tg (CPTG +uid 21323,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21324,0 +va (VaSet +) +xt "94000,80500,96100,81500" +st "clock" +blo "94000,81300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 17,0 +) +) +) +*82 (CptPort +uid 21325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,72625,117750,73375" +) +tg (CPTG +uid 21327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21328,0 +va (VaSet +) +xt "110900,72500,116000,73500" +st "progCounter" +ju 2 +blo "116000,73300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 2 +suid 18,0 +) +) +) +*83 (CptPort +uid 21329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21330,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,68250,105375,69000" +) +tg (CPTG +uid 21331,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 21332,0 +va (VaSet +) +xt "99800,70000,107100,71000" +st "storedProgCounter" +ju 2 +blo "107100,70800" +) +) +thePort (LogicalPort +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 1 +suid 24,0 +) +) +) +*84 (CptPort +uid 21333,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21334,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,85000,105375,85750" +) +tg (CPTG +uid 21335,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21336,0 +va (VaSet +) +xt "101000,83000,106100,84000" +st "instrAddress" +blo "101000,83800" +) +) +thePort (LogicalPort +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 4 +suid 27,0 +) +) +) +*85 (CptPort +uid 21337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,82625,93000,83375" +) +tg (CPTG +uid 21339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21340,0 +va (VaSet +) +xt "94000,82500,96100,83500" +st "reset" +blo "94000,83300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*86 (CptPort +uid 21341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,72625,93000,73375" +) +tg (CPTG +uid 21343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21344,0 +va (VaSet +) +xt "94000,72500,96500,73500" +st "incPC" +blo "94000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "incPC" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*87 (CptPort +uid 21345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,74625,93000,75375" +) +tg (CPTG +uid 21347,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21348,0 +va (VaSet +) +xt "94000,74500,100500,75500" +st "loadInstrAddress" +blo "94000,75300" +) +) +thePort (LogicalPort +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*88 (CptPort +uid 21349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 21350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,76625,93000,77375" +) +tg (CPTG +uid 21351,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 21352,0 +va (VaSet +) +xt "94000,76500,99500,77500" +st "loadStoredPC" +blo "94000,77300" +) +) +thePort (LogicalPort +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +] +shape (Rectangle +uid 21354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,69000,117000,85000" +) +oxt "41000,25000,65000,41000" +ttg (MlTextGroup +uid 21355,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 21356,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,85000,97900,86000" +st "nanoBlaze" +blo "93600,85800" +tm "BdLibraryNameMgr" +) +*90 (Text +uid 21357,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,86000,100700,87000" +st "programCounter" +blo "93600,86800" +tm "CptNameMgr" +) +*91 (Text +uid 21358,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,87000,95700,88000" +st "I_PC" +blo "93600,87800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 21359,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 21360,0 +text (MLText +uid 21361,0 +va (VaSet +font "Courier New,8,0" +) +xt "93000,88200,124500,89000" +st "programCounterBitNb = programCounterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*92 (Net +uid 21553,0 +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "(branchCondBitNb-1 DOWNTO 0)" +o 16 +suid 220,0 +) +declText (MLText +uid 21554,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,18400,64000,19200" +st "SIGNAL branchCond : std_ulogic_vector(branchCondBitNb-1 DOWNTO 0)" +) +) +*93 (Net +uid 21555,0 +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 47 +suid 221,0 +) +declText (MLText +uid 21556,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,43200,46000,44000" +st "SIGNAL twoRegInstr : std_ulogic" +) +) +*94 (SaComponent +uid 22103,0 +optionalChildren [ +*95 (CptPort +uid 22003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22004,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,98625,77750,99375" +) +tg (CPTG +uid 22005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22006,0 +va (VaSet +) +xt "71100,98500,76000,99500" +st "branchCond" +ju 2 +blo "76000,99300" +) +) +thePort (LogicalPort +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "( branchCondBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +) +*96 (CptPort +uid 22007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22008,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,136625,77750,137375" +) +tg (CPTG +uid 22009,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22010,0 +va (VaSet +) +xt "74600,136500,76000,137500" +st "cIn" +ju 2 +blo "76000,137300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cIn" +t "std_ulogic" +o 10 +suid 2,0 +) +) +) +*97 (CptPort +uid 22011,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22012,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,163625,61000,164375" +) +tg (CPTG +uid 22013,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22014,0 +va (VaSet +) +xt "62000,163500,64100,164500" +st "clock" +blo "62000,164300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*98 (CptPort +uid 22015,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22016,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,138625,77750,139375" +) +tg (CPTG +uid 22017,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22018,0 +va (VaSet +) +xt "74000,138500,76000,139500" +st "cOut" +ju 2 +blo "76000,139300" +) +) +thePort (LogicalPort +decl (Decl +n "cOut" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*99 (CptPort +uid 22019,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22020,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,50625,77750,51375" +) +tg (CPTG +uid 22021,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22022,0 +va (VaSet +) +xt "73100,50500,76000,51500" +st "prevPC" +ju 2 +blo "76000,51300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "prevPC" +t "std_ulogic" +o 11 +suid 5,0 +) +) +) +*100 (CptPort +uid 22023,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22024,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,161625,61000,162375" +) +tg (CPTG +uid 22025,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22026,0 +va (VaSet +) +xt "62000,161500,63200,162500" +st "en" +blo "62000,162300" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*101 (CptPort +uid 22027,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22028,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,72625,77750,73375" +) +tg (CPTG +uid 22029,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22030,0 +va (VaSet +) +xt "73500,72500,76000,73500" +st "incPC" +ju 2 +blo "76000,73300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "incPC" +t "std_ulogic" +o 12 +suid 7,0 +) +) +) +*102 (CptPort +uid 22031,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22032,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,126625,77750,127375" +) +tg (CPTG +uid 22033,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22034,0 +va (VaSet +) +xt "71000,126500,76000,127500" +st "instrDataSel" +ju 2 +blo "76000,127300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 13 +suid 8,0 +) +) +) +*103 (CptPort +uid 22035,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22036,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,50625,61000,51375" +) +tg (CPTG +uid 22037,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22038,0 +va (VaSet +) +xt "62000,50500,63200,51500" +st "int" +blo "62000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 9,0 +) +) +) +*104 (CptPort +uid 22039,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22040,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,52625,61000,53375" +) +tg (CPTG +uid 22041,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22042,0 +va (VaSet +) +xt "62000,52500,64400,53500" +st "intAck" +blo "62000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 14 +suid 10,0 +) +) +) +*105 (CptPort +uid 22043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22044,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,96625,77750,97375" +) +tg (CPTG +uid 22045,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22046,0 +va (VaSet +) +xt "73000,96500,76000,97500" +st "intCode" +ju 2 +blo "76000,97300" +) +) +thePort (LogicalPort +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +) +*106 (CptPort +uid 22047,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22048,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,74625,77750,75375" +) +tg (CPTG +uid 22049,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22050,0 +va (VaSet +) +xt "69500,74500,76000,75500" +st "loadInstrAddress" +ju 2 +blo "76000,75300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 15 +suid 12,0 +) +) +) +*107 (CptPort +uid 22051,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22052,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,76625,77750,77375" +) +tg (CPTG +uid 22053,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22054,0 +va (VaSet +) +xt "70500,76500,76000,77500" +st "loadStoredPC" +ju 2 +blo "76000,77300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 16 +suid 13,0 +) +) +) +*108 (CptPort +uid 22055,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22056,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,102625,77750,103375" +) +tg (CPTG +uid 22057,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22058,0 +va (VaSet +) +xt "73000,102500,76000,103500" +st "opCode" +ju 2 +blo "76000,103300" +) +) +thePort (LogicalPort +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "(opCodeBitNb-1 DOWNTO 0)" +o 7 +suid 14,0 +) +) +) +*109 (CptPort +uid 22059,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22060,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,128625,77750,129375" +) +tg (CPTG +uid 22061,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22062,0 +va (VaSet +) +xt "72600,128500,76000,129500" +st "portInSel" +ju 2 +blo "76000,129300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "portInSel" +t "std_ulogic" +o 17 +suid 15,0 +) +) +) +*110 (CptPort +uid 22063,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22064,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,114625,77750,115375" +) +tg (CPTG +uid 22065,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22066,0 +va (VaSet +) +xt "71500,114500,76000,115500" +st "readStrobe" +ju 2 +blo "76000,115300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 18 +suid 16,0 +) +) +) +*111 (CptPort +uid 22067,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22068,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,124625,77750,125375" +) +tg (CPTG +uid 22069,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22070,0 +va (VaSet +) +xt "70100,124500,76000,125500" +st "registerFileSel" +ju 2 +blo "76000,125300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 20 +suid 17,0 +) +) +) +*112 (CptPort +uid 22071,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22072,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60250,165625,61000,166375" +) +tg (CPTG +uid 22073,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22074,0 +va (VaSet +) +xt "62000,165500,64100,166500" +st "reset" +blo "62000,166300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 18,0 +) +) +) +*113 (CptPort +uid 22075,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22076,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,130625,77750,131375" +) +tg (CPTG +uid 22077,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22078,0 +va (VaSet +) +xt "70400,130500,76000,131500" +st "scratchpadSel" +ju 2 +blo "76000,131300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 21 +suid 19,0 +) +) +) +*114 (CptPort +uid 22079,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22080,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,160625,77750,161375" +) +tg (CPTG +uid 22081,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22082,0 +va (VaSet +) +xt "69700,160500,76000,161500" +st "scratchpadWrite" +ju 2 +blo "76000,161300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadWrite" +t "std_ulogic" +o 22 +suid 20,0 +) +) +) +*115 (CptPort +uid 22083,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22084,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,52625,77750,53375" +) +tg (CPTG +uid 22085,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22086,0 +va (VaSet +) +xt "72800,52500,76000,53500" +st "storePC" +ju 2 +blo "76000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "storePC" +t "std_ulogic" +o 23 +suid 21,0 +) +) +) +*116 (CptPort +uid 22087,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22088,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,116625,77750,117375" +) +tg (CPTG +uid 22089,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22090,0 +va (VaSet +) +xt "71400,116500,76000,117500" +st "writeStrobe" +ju 2 +blo "76000,117300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 24 +suid 23,0 +) +) +) +*117 (CptPort +uid 22091,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22092,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,140625,77750,141375" +) +tg (CPTG +uid 22093,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22094,0 +va (VaSet +) +xt "74100,140500,76000,141500" +st "zero" +ju 2 +blo "76000,141300" +) +) +thePort (LogicalPort +decl (Decl +n "zero" +t "std_ulogic" +o 9 +suid 24,0 +) +) +) +*118 (CptPort +uid 22095,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22096,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,132625,77750,133375" +) +tg (CPTG +uid 22097,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22098,0 +va (VaSet +) +xt "72600,132500,76000,133500" +st "regWrite" +ju 2 +blo "76000,133300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "regWrite" +t "std_ulogic" +o 19 +suid 25,0 +) +) +) +*119 (CptPort +uid 22099,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22100,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77000,104625,77750,105375" +) +tg (CPTG +uid 22101,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22102,0 +va (VaSet +) +xt "71100,104500,76000,105500" +st "twoRegInstr" +ju 2 +blo "76000,105300" +) +) +thePort (LogicalPort +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 25 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 22104,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "61000,47000,77000,169000" +) +oxt "46000,6000,62000,128000" +ttg (MlTextGroup +uid 22105,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 22106,0 +va (VaSet +font "Arial,8,1" +) +xt "61800,169000,66200,170000" +st "NanoBlaze" +blo "61800,169800" +tm "BdLibraryNameMgr" +) +*121 (Text +uid 22107,0 +va (VaSet +font "Arial,8,1" +) +xt "61800,170000,66200,171000" +st "controller" +blo "61800,170800" +tm "CptNameMgr" +) +*122 (Text +uid 22108,0 +va (VaSet +font "Arial,8,1" +) +xt "61800,171000,64000,172000" +st "I_ctrl" +blo "61800,171800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 22109,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 22110,0 +text (MLText +uid 22111,0 +va (VaSet +font "Courier New,8,0" +) +xt "61000,172200,88500,174600" +st "intCodeBitNb = 5 ( positive ) +branchCondBitNb = branchCondBitNb ( positive ) +opCodeBitNb = opCodeBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "branchCondBitNb" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "opCodeBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*123 (SaComponent +uid 22136,0 +optionalChildren [ +*124 (CptPort +uid 22112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,56625,93000,57375" +) +tg (CPTG +uid 22114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22115,0 +va (VaSet +) +xt "94000,56500,96100,57500" +st "clock" +blo "94000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 17,0 +) +) +) +*125 (CptPort +uid 22116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22117,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,50625,117750,51375" +) +tg (CPTG +uid 22118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22119,0 +va (VaSet +) +xt "110900,50500,116000,51500" +st "progCounter" +ju 2 +blo "116000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 3 +suid 18,0 +) +) +) +*126 (CptPort +uid 22120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22121,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,61000,105375,61750" +) +tg (CPTG +uid 22122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22123,0 +va (VaSet +) +xt "102000,59000,109300,60000" +st "storedProgCounter" +blo "102000,59800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 6 +suid 24,0 +) +) +) +*127 (CptPort +uid 22124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22125,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,58625,93000,59375" +) +tg (CPTG +uid 22126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22127,0 +va (VaSet +) +xt "94000,58500,96100,59500" +st "reset" +blo "94000,59300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +*128 (CptPort +uid 22128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,50625,93000,51375" +) +tg (CPTG +uid 22130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22131,0 +va (VaSet +) +xt "94000,50500,96900,51500" +st "prevPC" +blo "94000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "prevPC" +t "std_ulogic" +o 2 +suid 29,0 +) +) +) +*129 (CptPort +uid 22132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,52625,93000,53375" +) +tg (CPTG +uid 22134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22135,0 +va (VaSet +) +xt "94000,52500,97200,53500" +st "storePC" +blo "94000,53300" +) +) +thePort (LogicalPort +decl (Decl +n "storePC" +t "std_ulogic" +o 5 +suid 31,0 +) +) +) +] +shape (Rectangle +uid 22137,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,47000,117000,61000" +) +oxt "41000,27000,65000,41000" +ttg (MlTextGroup +uid 22138,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +uid 22139,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,61000,97900,62000" +st "nanoBlaze" +blo "93600,61800" +tm "BdLibraryNameMgr" +) +*131 (Text +uid 22140,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,62000,99000,63000" +st "branchStack" +blo "93600,62800" +tm "CptNameMgr" +) +*132 (Text +uid 22141,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,63000,95800,64000" +st "I_BR" +blo "93600,63800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 22142,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 22143,0 +text (MLText +uid 22144,0 +va (VaSet +font "Courier New,8,0" +) +xt "93000,64200,124500,65800" +st "programCounterBitNb = programCounterBitNb ( positive ) +stackPointerBitNb = stackPointerBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*133 (Net +uid 22145,0 +decl (Decl +n "prevPC" +t "std_ulogic" +o 34 +suid 222,0 +) +declText (MLText +uid 22146,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,32800,46000,33600" +st "SIGNAL prevPC : std_ulogic" +) +) +*134 (Frame +uid 22468,0 +shape (RectFrame +uid 22469,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "87000,155000,119000,174000" +) +title (TextAssociate +uid 22470,0 +ps "TopLeftStrategy" +text (MLText +uid 22471,0 +va (VaSet +) +xt "87350,153500,119850,154700" +st "g_scratchpad: IF scratchpadAddressBitNb > 0 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 22472,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 22473,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "87500,155200,88500,156800" +) +num (Text +uid 22474,0 +va (VaSet +) +xt "87800,155500,88200,156500" +st "1" +blo "87800,156300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 22475,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +uid 22476,0 +va (VaSet +font "Arial,8,1" +) +xt "113000,174000,120900,175000" +st "Frame Declarations" +blo "113000,174800" +) +*136 (MLText +uid 22477,0 +va (VaSet +) +xt "113000,175000,113000,175000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*137 (SaComponent +uid 22621,0 +optionalChildren [ +*138 (CptPort +uid 22541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,144625,93000,145375" +) +tg (CPTG +uid 22543,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22544,0 +va (VaSet +) +xt "94000,144500,96100,145500" +st "clock" +blo "94000,145300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*139 (CptPort +uid 22545,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22546,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96625,120250,97375,121000" +) +tg (CPTG +uid 22547,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22548,0 +va (VaSet +) +xt "95300,122000,98500,123000" +st "aluCode" +blo "95300,122800" +) +) +thePort (LogicalPort +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 3 +suid 2,0 +) +) +) +*140 (CptPort +uid 22549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22550,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,128625,93000,129375" +) +tg (CPTG +uid 22551,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22552,0 +va (VaSet +) +xt "94000,128500,97400,129500" +st "portInSel" +blo "94000,129300" +) +) +thePort (LogicalPort +decl (Decl +n "portInSel" +t "std_ulogic" +o 9 +suid 3,0 +) +) +) +*141 (CptPort +uid 22553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,146625,93000,147375" +) +tg (CPTG +uid 22555,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22556,0 +va (VaSet +) +xt "94000,146500,96100,147500" +st "reset" +blo "94000,147300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 4,0 +) +) +) +*142 (CptPort +uid 22557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,126625,117750,127375" +) +tg (CPTG +uid 22559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22560,0 +va (VaSet +) +xt "112700,126500,116000,127500" +st "portAddr" +ju 2 +blo "116000,127300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "portAddr" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 16 +suid 5,0 +) +) +) +*143 (CptPort +uid 22561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22562,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100625,120250,101375,121000" +) +tg (CPTG +uid 22563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22564,0 +va (VaSet +) +xt "100200,122000,102600,123000" +st "addrA" +blo "100200,122800" +) +) +thePort (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 9,0 +) +) +) +*144 (CptPort +uid 22565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22566,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,120250,105375,121000" +) +tg (CPTG +uid 22567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22568,0 +va (VaSet +) +xt "104400,122000,106800,123000" +st "addrB" +blo "104400,122800" +) +) +thePort (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 10,0 +) +) +) +*145 (CptPort +uid 22569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22570,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112625,120250,113375,121000" +) +tg (CPTG +uid 22571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22572,0 +va (VaSet +) +xt "111300,122000,114800,123000" +st "instrData" +blo "111300,122800" +) +) +thePort (LogicalPort +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 11,0 +) +) +) +*146 (CptPort +uid 22573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,126625,93000,127375" +) +tg (CPTG +uid 22575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22576,0 +va (VaSet +) +xt "94000,126500,99000,127500" +st "instrDataSel" +blo "94000,127300" +) +) +thePort (LogicalPort +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 7 +suid 12,0 +) +) +) +*147 (CptPort +uid 22577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22578,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,124625,93000,125375" +) +tg (CPTG +uid 22579,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22580,0 +va (VaSet +) +xt "94000,124500,99900,125500" +st "registerFileSel" +blo "94000,125300" +) +) +thePort (LogicalPort +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 11 +suid 13,0 +) +) +) +*148 (CptPort +uid 22581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22582,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,130625,93000,131375" +) +tg (CPTG +uid 22583,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22584,0 +va (VaSet +) +xt "94000,130500,99600,131500" +st "scratchpadSel" +blo "94000,131300" +) +) +thePort (LogicalPort +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 13 +suid 14,0 +) +) +) +*149 (CptPort +uid 22585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22586,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,136625,93000,137375" +) +tg (CPTG +uid 22587,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22588,0 +va (VaSet +) +xt "94000,136500,95400,137500" +st "cIn" +blo "94000,137300" +) +) +thePort (LogicalPort +decl (Decl +n "cIn" +t "std_ulogic" +o 4 +suid 15,0 +) +) +) +*150 (CptPort +uid 22589,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22590,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,138625,93000,139375" +) +tg (CPTG +uid 22591,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22592,0 +va (VaSet +) +xt "94000,138500,96000,139500" +st "cOut" +blo "94000,139300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "cOut" +t "std_ulogic" +o 15 +suid 16,0 +) +) +) +*151 (CptPort +uid 22593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22594,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,140625,93000,141375" +) +tg (CPTG +uid 22595,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22596,0 +va (VaSet +) +xt "94000,140500,95900,141500" +st "zero" +blo "94000,141300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 20 +suid 17,0 +) +) +) +*152 (CptPort +uid 22597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,128625,117750,129375" +) +tg (CPTG +uid 22599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22600,0 +va (VaSet +) +xt "113100,128500,116000,129500" +st "portOut" +ju 2 +blo "116000,129300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 17 +suid 18,0 +) +) +) +*153 (CptPort +uid 22601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22602,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,130625,117750,131375" +) +tg (CPTG +uid 22603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22604,0 +va (VaSet +) +xt "113700,130500,116000,131500" +st "portIn" +ju 2 +blo "116000,131300" +) +) +thePort (LogicalPort +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 19,0 +) +) +) +*154 (CptPort +uid 22605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22606,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108625,149000,109375,149750" +) +tg (CPTG +uid 22607,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22608,0 +va (VaSet +) +xt "108000,147000,111200,148000" +st "spadOut" +blo "108000,147800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 19 +suid 20,0 +) +) +) +*155 (CptPort +uid 22609,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22610,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112625,149000,113375,149750" +) +tg (CPTG +uid 22611,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22612,0 +va (VaSet +) +xt "112000,147000,114600,148000" +st "spadIn" +blo "112000,147800" +) +) +thePort (LogicalPort +decl (Decl +n "spadIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 14 +suid 21,0 +) +) +) +*156 (CptPort +uid 22613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22614,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,132625,93000,133375" +) +tg (CPTG +uid 22615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22616,0 +va (VaSet +) +xt "94000,132500,97400,133500" +st "regWrite" +blo "94000,133300" +) +) +thePort (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 23,0 +) +) +) +*157 (CptPort +uid 22617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22618,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,134625,117750,135375" +) +tg (CPTG +uid 22619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22620,0 +va (VaSet +) +xt "109900,134500,116000,135500" +st "scratchpadAddr" +ju 2 +blo "116000,135300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "scratchpadAddr" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 18 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 22622,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,121000,117000,149000" +) +oxt "41000,13000,65000,41000" +ttg (MlTextGroup +uid 22623,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*158 (Text +uid 22624,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,149000,98000,150000" +st "NanoBlaze" +blo "93600,149800" +tm "BdLibraryNameMgr" +) +*159 (Text +uid 22625,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,150000,98900,151000" +st "aluAndRegs" +blo "93600,150800" +tm "CptNameMgr" +) +*160 (Text +uid 22626,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,151000,95700,152000" +st "I_alu" +blo "93600,151800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 22627,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 22628,0 +text (MLText +uid 22629,0 +va (VaSet +font "Courier New,8,0" +) +xt "93000,152200,127500,156200" +st "registerBitNb = registerBitNb ( positive ) +registerAddressBitNb = registerAddressBitNb ( positive ) +aluCodeBitNb = aluCodeBitNb ( positive ) +portAddressBitNb = addressBitNb ( positive ) +scratchpadAddressBitNb = scratchpadAddressBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*161 (SaComponent +uid 22654,0 +optionalChildren [ +*162 (CptPort +uid 22630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22631,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,164625,93000,165375" +) +tg (CPTG +uid 22632,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22633,0 +va (VaSet +) +xt "94000,164500,96100,165500" +st "clock" +blo "94000,165300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*163 (CptPort +uid 22634,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22635,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,166625,93000,167375" +) +tg (CPTG +uid 22636,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22637,0 +va (VaSet +) +xt "94000,166500,96100,167500" +st "reset" +blo "94000,167300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*164 (CptPort +uid 22638,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22639,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112625,156250,113375,157000" +) +tg (CPTG +uid 22640,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22641,0 +va (VaSet +) +xt "112000,158000,115000,159000" +st "dataOut" +blo "112000,158800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 20,0 +) +) +) +*165 (CptPort +uid 22642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22643,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "108625,156250,109375,157000" +) +tg (CPTG +uid 22644,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22645,0 +va (VaSet +) +xt "108000,158000,110400,159000" +st "dataIn" +blo "108000,158800" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 5 +suid 21,0 +) +) +) +*166 (CptPort +uid 22646,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22647,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,160625,117750,161375" +) +tg (CPTG +uid 22648,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22649,0 +va (VaSet +) +xt "114100,160500,116000,161500" +st "addr" +ju 2 +blo "116000,161300" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 22,0 +) +) +) +*167 (CptPort +uid 22650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22651,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,160625,93000,161375" +) +tg (CPTG +uid 22652,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22653,0 +va (VaSet +) +xt "94000,160500,96000,161500" +st "write" +blo "94000,161300" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 3 +suid 23,0 +) +) +) +] +shape (Rectangle +uid 22655,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,157000,117000,169000" +) +oxt "38000,25000,62000,37000" +ttg (MlTextGroup +uid 22656,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +uid 22657,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,169000,98000,170000" +st "NanoBlaze" +blo "93600,169800" +tm "BdLibraryNameMgr" +) +*169 (Text +uid 22658,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,170000,98500,171000" +st "scratchpad" +blo "93600,170800" +tm "CptNameMgr" +) +*170 (Text +uid 22659,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,171000,96400,172000" +st "I_sPad" +blo "93600,171800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 22660,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 22661,0 +text (MLText +uid 22662,0 +va (VaSet +font "Courier New,8,0" +) +xt "93000,172200,124500,173800" +st "registerBitNb = registerBitNb ( positive ) +spadAddressBitNb = scratchpadAddressBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*171 (SaComponent +uid 22782,0 +optionalChildren [ +*172 (CptPort +uid 22726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22727,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "96625,109000,97375,109750" +) +tg (CPTG +uid 22728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22729,0 +va (VaSet +) +xt "95000,107000,98200,108000" +st "aluCode" +blo "95000,107800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 4 +suid 2,0 +) +) +) +*173 (CptPort +uid 22730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22731,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,98625,117750,99375" +) +tg (CPTG +uid 22732,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22733,0 +va (VaSet +) +xt "110300,98500,116000,99500" +st "portIndexedSel" +ju 2 +blo "116000,99300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "portIndexedSel" +t "std_ulogic" +o 6 +suid 5,0 +) +) +) +*174 (CptPort +uid 22734,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22735,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "100625,109000,101375,109750" +) +tg (CPTG +uid 22736,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22737,0 +va (VaSet +) +xt "100000,107000,102400,108000" +st "addrA" +blo "100000,107800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 9,0 +) +) +) +*175 (CptPort +uid 22738,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22739,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,109000,105375,109750" +) +tg (CPTG +uid 22740,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22741,0 +va (VaSet +) +xt "104000,107000,106400,108000" +st "addrB" +blo "104000,107800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 3 +suid 10,0 +) +) +) +*176 (CptPort +uid 22742,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22743,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "112625,109000,113375,109750" +) +tg (CPTG +uid 22744,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22745,0 +va (VaSet +) +xt "111000,107000,114500,108000" +st "instrData" +blo "111000,107800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 8 +suid 11,0 +) +) +) +*177 (CptPort +uid 22746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22747,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,102625,93000,103375" +) +tg (CPTG +uid 22748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22749,0 +va (VaSet +) +xt "94000,102500,97000,103500" +st "opCode" +blo "94000,103300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "( opCodeBitNb-1 DOWNTO 0 )" +o 10 +suid 16,0 +) +) +) +*178 (CptPort +uid 22750,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22751,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,100625,117750,101375" +) +tg (CPTG +uid 22752,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22753,0 +va (VaSet +) +xt "111100,100500,116000,101500" +st "portAddress" +ju 2 +blo "116000,101300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "portAddress" +t "unsigned" +b "(portAddressBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +) +*179 (CptPort +uid 22754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22755,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,96625,117750,97375" +) +tg (CPTG +uid 22756,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22757,0 +va (VaSet +) +xt "111700,96500,116000,97500" +st "instruction" +ju 2 +blo "116000,97300" +) +) +thePort (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "( instructionBitNb-1 DOWNTO 0 )" +o 1 +suid 19,0 +) +) +) +*180 (CptPort +uid 22758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22759,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "104625,92250,105375,93000" +) +tg (CPTG +uid 22760,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22761,0 +va (VaSet +) +xt "102000,94000,107100,95000" +st "instrAddress" +blo "102000,94800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 7 +suid 24,0 +) +) +) +*181 (CptPort +uid 22762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22763,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,98625,93000,99375" +) +tg (CPTG +uid 22764,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22765,0 +va (VaSet +) +xt "94000,98500,98900,99500" +st "branchCond" +blo "94000,99300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "(branchCondBitNb-1 DOWNTO 0)" +o 5 +suid 25,0 +) +) +) +*182 (CptPort +uid 22766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22767,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,96625,93000,97375" +) +tg (CPTG +uid 22768,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22769,0 +va (VaSet +) +xt "94000,96500,97000,97500" +st "intCode" +blo "94000,97300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 9 +suid 26,0 +) +) +) +*183 (CptPort +uid 22770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,104625,117750,105375" +) +tg (CPTG +uid 22772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22773,0 +va (VaSet +) +xt "110800,104500,116000,105500" +st "spadAddress" +ju 2 +blo "116000,105300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadAddress" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 12 +suid 28,0 +) +) +) +*184 (CptPort +uid 22774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "117000,102625,117750,103375" +) +tg (CPTG +uid 22776,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 22777,0 +va (VaSet +) +xt "110000,102500,116000,103500" +st "spadIndexedSel" +ju 2 +blo "116000,103300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "spadIndexedSel" +t "std_ulogic" +o 13 +suid 29,0 +) +) +) +*185 (CptPort +uid 22778,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 22779,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92250,104625,93000,105375" +) +tg (CPTG +uid 22780,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 22781,0 +va (VaSet +) +xt "94000,104500,98900,105500" +st "twoRegInstr" +blo "94000,105300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 14 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 22783,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,93000,117000,109000" +) +oxt "41000,25000,65000,41000" +ttg (MlTextGroup +uid 22784,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*186 (Text +uid 22785,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,109000,98000,110000" +st "NanoBlaze" +blo "93600,109800" +tm "BdLibraryNameMgr" +) +*187 (Text +uid 22786,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,110000,101600,111000" +st "instructionDecoder" +blo "93600,110800" +tm "CptNameMgr" +) +*188 (Text +uid 22787,0 +va (VaSet +font "Arial,8,1" +) +xt "93600,111000,96300,112000" +st "I_instr" +blo "93600,111800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 22788,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 22789,0 +text (MLText +uid 22790,0 +va (VaSet +font "Courier New,8,0" +) +xt "93000,112200,126500,120200" +st "registerBitNb = registerBitNb ( positive ) +registerAddressBitNb = registerAddressBitNb ( positive ) +aluCodeBitNb = aluCodeBitNb ( positive ) +instructionBitNb = instructionBitNb ( positive ) +programCounterBitNb = programCounterBitNb ( positive ) +opCodeBitNb = opCodeBitNb ( positive ) +branchCondBitNb = branchCondBitNb ( positive ) +intCodeBitNb = 5 ( positive ) +spadAddressBitNb = scratchpadAddressBitNb ( natural ) +portAddressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "registerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "aluCodeBitNb" +type "positive" +value "aluCodeBitNb" +) +(GiElement +name "instructionBitNb" +type "positive" +value "instructionBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "opCodeBitNb" +type "positive" +value "opCodeBitNb" +) +(GiElement +name "branchCondBitNb" +type "positive" +value "branchCondBitNb" +) +(GiElement +name "intCodeBitNb" +type "positive" +value "5" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "scratchpadAddressBitNb" +) +(GiElement +name "portAddressBitNb" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*189 (HdlText +uid 22854,0 +optionalChildren [ +*190 (EmbeddedText +uid 22859,0 +commentText (CommentText +uid 22860,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 22861,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "132000,-5000,156000,9000" +) +oxt "0,0,18000,5000" +text (MLText +uid 22862,0 +va (VaSet +) +xt "132200,-4800,156100,8400" +st " +-- pragma translate_off + +process(instruction) + + constant bitsPerHexDigit : positive := 4; + + function pad(inString : string; outLength : positive) return string is + variable outString : string(1 to outLength); + begin + outString := (others => ' '); + outString(inString'range) := inString; + return outString; + end function pad; + + function hexDigitNb(bitNb : positive) return positive is + begin + return (bitNb-1)/bitsPerHexDigit+1; + end function hexDigitNb; + + function to01(nineValued : unsigned) return unsigned is + variable twoValued : unsigned(nineValued'range); + begin + twoValued := (others => '0'); + for index in nineValued'range loop + if (nineValued(index) = '1') or (nineValued(index) = 'H') then + twoValued(index) := '1'; + end if; + end loop; + return twoValued; + end function to01; + + variable opCode : unsigned(1+opCodeBitNb-1 downto 0); + variable destRegister : unsigned(registerAddressBitNb-1 downto 0); + variable destRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceRegister : unsigned(registerAddressBitNb-1 downto 0); + variable sourceRegisterString : string(1 to 1+hexDigitNb(registerAddressBitNb)); + variable sourceConstant : unsigned(registerBitNb-1 downto 0); + variable sourceConstantString : string(1 to hexDigitNb(registerBitNb)); + variable branchAddress : unsigned(programCounterBitNb-1 downto 0); + variable branchAddressString : string(1 to hexDigitNb(programCounterBitNb)); + variable branchKind : unsigned(1 downto 0); + variable shRotCin : unsigned(2 downto 0); + variable shRotDir: std_ulogic; + + function toHexDigit(binary : unsigned(bitsPerHexDigit-1 downto 0)) return character is + begin + if binary <= 9 then + return character'val(character'pos('0') + to_integer(to01(binary))); + else + return character'val(character'pos('A') + to_integer(to01(binary)) - 10); + end if; + end function toHexDigit; + + function toHexString(binary : unsigned) return string is + variable hexString : string(1 to hexDigitNb(binary'length)); + begin + for index in hexString'high-1 downto 0 loop + hexString(hexString'high-index) := toHexDigit( + resize(shift_right(binary, bitsPerHexDigit*index), bitsPerHexDigit) + ); + end loop; + return hexString; + end function toHexString; + +begin + + opCode := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length), + opCode'length + ); + destRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length), + destRegister'length + ); + destRegisterString := 's' & toHexDigit(destRegister); + sourceRegister := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-destRegister'length-sourceRegister'length), + sourceRegister'length + ); + sourceRegisterString := 's' & toHexDigit(sourceRegister); + sourceConstant := resize(unsigned(instruction), sourceConstant'length); + sourceConstantString := toHexString(sourceConstant); + branchKind := resize( + shift_right(unsigned(instruction), instruction'length-opCode'length-branchKind'length), + branchKind'length + ); + branchAddress := resize(unsigned(instruction), branchAddress'length); + branchAddressString := toHexString(branchAddress); + shRotCin := resize(shift_right(unsigned(instruction), 1), shRotCin'length); + shRotDir := instruction(0); + + case opCode is + when \"000000\" => instrString <= pad(\"LOAD \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"000001\" => instrString <= pad(\"LOAD \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"000100\" => instrString <= pad(\"INPUT \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"000101\" => instrString <= pad(\"INPUT \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"000110\" => instrString <= pad(\"FETCH \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"000111\" => instrString <= pad(\"FETCH \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"001010\" => instrString <= pad(\"AND \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"001011\" => instrString <= pad(\"AND \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"001100\" => instrString <= pad(\"OR \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"001101\" => instrString <= pad(\"OR \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"001110\" => instrString <= pad(\"XOR \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"001111\" => instrString <= pad(\"XOR \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"010010\" => instrString <= pad(\"TEST \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"010011\" => instrString <= pad(\"TEST \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"010100\" => instrString <= pad(\"COMP \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"010101\" => instrString <= pad(\"COMP \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"011000\" => instrString <= pad(\"ADD \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"011001\" => instrString <= pad(\"ADD \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"011010\" => instrString <= pad(\"ADDCY \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"011011\" => instrString <= pad(\"ADDCY \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"011100\" => instrString <= pad(\"SUB \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"011101\" => instrString <= pad(\"SUB \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"011110\" => instrString <= pad(\"SUBCY \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"011111\" => instrString <= pad(\"SUBCY \" & destRegisterString & \" \" & sourceRegisterString, instrString'length); + when \"100000\" => + case shRotCin is + when \"000\" => instrString <= pad(\"SLA \" & destRegisterString, instrString'length); + when \"001\" => instrString <= pad(\"RL \" & destRegisterString, instrString'length); + when \"010\" => instrString <= pad(\"SLX \" & destRegisterString, instrString'length); + when \"011\" => + case shRotDir is + when '0' => instrString <= pad(\"SL0 \" & destRegisterString, instrString'length); + when '1' => instrString <= pad(\"SL1 \" & destRegisterString, instrString'length); + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when \"100\" => instrString <= pad(\"SRA \" & destRegisterString, instrString'length); + when \"101\" => instrString <= pad(\"SRX \" & destRegisterString, instrString'length); + when \"110\" => instrString <= pad(\"RR \" & destRegisterString, instrString'length); + when \"111\" => + case shRotDir is + when '0' => instrString <= pad(\"SR0 \" & destRegisterString, instrString'length); + when '1' => instrString <= pad(\"SR1 \" & destRegisterString, instrString'length); + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when \"101100\" => instrString <= pad(\"OUTPUT \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"101101\" => instrString <= pad(\"OUTPUT \" & destRegisterString & \" (\" & sourceRegisterString & \")\", instrString'length); + when \"101110\" => instrString <= pad(\"STORE \" & destRegisterString & \" \" & sourceConstantString, instrString'length); + when \"101111\" => instrString <= pad(\"STORE \" & destRegisterString & \" (\" & sourceRegisterString & \")\", instrString'length); + when \"101010\" => instrString <= pad(\"RET\", instrString'length); + when \"101011\" => + case branchKind is + when \"00\" => instrString <= pad(\"RET Z\", instrString'length); + when \"01\" => instrString <= pad(\"RET NZ\", instrString'length); + when \"10\" => instrString <= pad(\"RET C\", instrString'length); + when \"11\" => instrString <= pad(\"RET NC\", instrString'length); + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when \"110000\" => instrString <= pad(\"CALL \" & branchAddressString, instrString'length); + when \"110001\" => + case branchKind is + when \"00\" => instrString <= pad(\"CALL Z \" & branchAddressString, instrString'length); + when \"01\" => instrString <= pad(\"CALL NZ \" & branchAddressString, instrString'length); + when \"10\" => instrString <= pad(\"CALL C \" & branchAddressString, instrString'length); + when \"11\" => instrString <= pad(\"CALL NC \" & branchAddressString, instrString'length); + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when \"110100\" => instrString <= pad(\"JUMP \" & branchAddressString, instrString'length); + when \"110101\" => + case branchKind is + when \"00\" => instrString <= pad(\"JUMP Z \" & branchAddressString, instrString'length); + when \"01\" => instrString <= pad(\"JUMP NZ \" & branchAddressString, instrString'length); + when \"10\" => instrString <= pad(\"JUMP C \" & branchAddressString, instrString'length); + when \"11\" => instrString <= pad(\"JUMP NC \" & branchAddressString, instrString'length); + when others => instrString <= pad(\"--------\", instrString'length); + end case; + when others => instrString <= pad(\"--------\", instrString'length); + end case; + +end process; + +-- pragma translate_on + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 24000 +) +) +) +] +shape (Rectangle +uid 22855,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "132000,-6000,156000,10000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 22856,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*191 (Text +uid 22857,0 +va (VaSet +) +xt "132400,10000,134000,11000" +st "eb4" +blo "132400,10800" +tm "HdlTextNameMgr" +) +*192 (Text +uid 22858,0 +va (VaSet +) +xt "132400,11000,133200,12000" +st "4" +blo "132400,11800" +tm "HdlTextNumberMgr" +) +] +) +) +*193 (Net +uid 22879,0 +decl (Decl +n "instrString" +t "string" +b "(1 TO 16)" +o 23 +suid 223,0 +) +declText (MLText +uid 22880,0 +va (VaSet +font "Courier New,8,0" +) +xt "26000,24000,49000,24800" +st "SIGNAL instrString : string(1 TO 16)" +) +) +*194 (Wire +uid 6763,0 +shape (OrthoPolyLine +uid 6764,0 +va (VaSet +vasetType 3 +) +xt "53000,166000,60250,166000" +pts [ +"53000,166000" +"60250,166000" +] +) +start &13 +end &112 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 6767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6768,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,164600,57100,166000" +st "reset" +blo "53000,165800" +tm "WireNameMgr" +) +) +on &12 +) +*195 (Wire +uid 16421,0 +shape (OrthoPolyLine +uid 16422,0 +va (VaSet +vasetType 3 +) +xt "77750,115000,157000,115000" +pts [ +"77750,115000" +"157000,115000" +] +) +start &110 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16426,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,113600,158700,115000" +st "readStrobe" +blo "150000,114800" +tm "WireNameMgr" +) +) +on &15 +) +*196 (Wire +uid 16436,0 +shape (OrthoPolyLine +uid 16437,0 +va (VaSet +vasetType 3 +) +xt "77750,117000,157000,117000" +pts [ +"77750,117000" +"157000,117000" +] +) +start &116 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16440,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16441,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,115600,159000,117000" +st "writeStrobe" +blo "150000,116800" +tm "WireNameMgr" +) +) +on &17 +) +*197 (Wire +uid 16481,0 +shape (OrthoPolyLine +uid 16482,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "149000,105000,157000,105000" +pts [ +"149000,105000" +"157000,105000" +] +) +start &55 +end &18 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16486,0 +va (VaSet +font "Verdana,12,0" +) +xt "150000,103600,159600,105000" +st "dataAddress" +blo "150000,104800" +tm "WireNameMgr" +) +) +on &19 +) +*198 (Wire +uid 16496,0 +shape (OrthoPolyLine +uid 16497,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "149000,129000,157000,129000" +pts [ +"149000,129000" +"157000,129000" +] +) +start &61 +end &20 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16500,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16501,0 +va (VaSet +font "Verdana,12,0" +) +xt "152000,127600,158000,129000" +st "dataOut" +blo "152000,128800" +tm "WireNameMgr" +) +) +on &21 +) +*199 (Wire +uid 16511,0 +shape (OrthoPolyLine +uid 16512,0 +va (VaSet +vasetType 3 +) +xt "53000,53000,60250,53000" +pts [ +"60250,53000" +"53000,53000" +] +) +start &104 +end &22 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16515,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16516,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,51600,57500,53000" +st "intAck" +blo "53000,52800" +tm "WireNameMgr" +) +) +on &23 +) +*200 (Wire +uid 16860,0 +shape (OrthoPolyLine +uid 16861,0 +va (VaSet +vasetType 3 +) +xt "53000,164000,60250,164000" +pts [ +"53000,164000" +"60250,164000" +] +) +start &24 +end &97 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16864,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16865,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,162600,56800,164000" +st "clock" +blo "53000,163800" +tm "WireNameMgr" +) +) +on &25 +) +*201 (Wire +uid 16875,0 +shape (OrthoPolyLine +uid 16876,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "149000,131000,157000,131000" +pts [ +"157000,131000" +"149000,131000" +] +) +start &26 +end &61 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16879,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16880,0 +va (VaSet +font "Verdana,12,0" +) +xt "153000,129600,158000,131000" +st "dataIn" +blo "153000,130800" +tm "WireNameMgr" +) +) +on &27 +) +*202 (Wire +uid 16890,0 +shape (OrthoPolyLine +uid 16891,0 +va (VaSet +vasetType 3 +) +xt "53000,162000,60250,162000" +pts [ +"53000,162000" +"60250,162000" +] +) +start &28 +end &100 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16894,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16895,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,160600,55400,162000" +st "en" +blo "53000,161800" +tm "WireNameMgr" +) +) +on &29 +) +*203 (Wire +uid 16905,0 +shape (OrthoPolyLine +uid 16906,0 +va (VaSet +vasetType 3 +) +xt "53000,51000,60250,51000" +pts [ +"53000,51000" +"60250,51000" +] +) +start &30 +end &103 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 16909,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 16910,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,49600,55400,51000" +st "int" +blo "53000,50800" +tm "WireNameMgr" +) +) +on &31 +) +*204 (Wire +uid 17294,0 +shape (OrthoPolyLine +uid 17295,0 +va (VaSet +vasetType 3 +) +xt "77750,125000,92250,125000" +pts [ +"92250,125000" +"77750,125000" +] +) +start &147 +end &111 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17299,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,123600,90500,125000" +st "registerFileSel" +blo "80000,124800" +tm "WireNameMgr" +) +) +on &32 +) +*205 (Wire +uid 17302,0 +shape (OrthoPolyLine +uid 17303,0 +va (VaSet +vasetType 3 +) +xt "77750,127000,92250,127000" +pts [ +"92250,127000" +"77750,127000" +] +) +start &146 +end &102 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17306,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17307,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,125600,89300,127000" +st "instrDataSel" +blo "80000,126800" +tm "WireNameMgr" +) +) +on &33 +) +*206 (Wire +uid 17310,0 +shape (OrthoPolyLine +uid 17311,0 +va (VaSet +vasetType 3 +) +xt "77750,129000,92250,129000" +pts [ +"92250,129000" +"77750,129000" +] +) +start &140 +end &109 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17315,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,127600,86600,129000" +st "portInSel" +blo "80000,128800" +tm "WireNameMgr" +) +) +on &34 +) +*207 (Wire +uid 17318,0 +shape (OrthoPolyLine +uid 17319,0 +va (VaSet +vasetType 3 +) +xt "77750,131000,92250,131000" +pts [ +"92250,131000" +"77750,131000" +] +) +start &148 +end &113 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17323,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,129600,90400,131000" +st "scratchpadSel" +blo "80000,130800" +tm "WireNameMgr" +) +) +on &35 +) +*208 (Wire +uid 17326,0 +shape (OrthoPolyLine +uid 17327,0 +va (VaSet +vasetType 3 +) +xt "77750,137000,92250,137000" +pts [ +"92250,137000" +"77750,137000" +] +) +start &149 +end &96 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17331,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,135600,82700,137000" +st "cIn" +blo "80000,136800" +tm "WireNameMgr" +) +) +on &36 +) +*209 (Wire +uid 17334,0 +shape (OrthoPolyLine +uid 17335,0 +va (VaSet +vasetType 3 +) +xt "77750,139000,92250,139000" +pts [ +"92250,139000" +"77750,139000" +] +) +start &150 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17338,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17339,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,137600,83700,139000" +st "cOut" +blo "80000,138800" +tm "WireNameMgr" +) +) +on &37 +) +*210 (Wire +uid 17342,0 +shape (OrthoPolyLine +uid 17343,0 +va (VaSet +vasetType 3 +) +xt "77750,141000,92250,141000" +pts [ +"92250,141000" +"77750,141000" +] +) +start &151 +end &117 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 17346,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17347,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,139600,83600,141000" +st "zero" +blo "80000,140800" +tm "WireNameMgr" +) +) +on &38 +) +*211 (Wire +uid 17348,0 +shape (OrthoPolyLine +uid 17349,0 +va (VaSet +vasetType 3 +) +xt "89000,147000,92250,147000" +pts [ +"89000,147000" +"92250,147000" +] +) +end &141 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 17354,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17355,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,145600,92100,147000" +st "reset" +blo "88000,146800" +tm "WireNameMgr" +) +) +on &12 +) +*212 (Wire +uid 17356,0 +shape (OrthoPolyLine +uid 17357,0 +va (VaSet +vasetType 3 +) +xt "89000,145000,92250,145000" +pts [ +"89000,145000" +"92250,145000" +] +) +end &138 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17362,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17363,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,143600,91800,145000" +st "clock" +blo "88000,144800" +tm "WireNameMgr" +) +) +on &25 +) +*213 (Wire +uid 17581,0 +shape (OrthoPolyLine +uid 17582,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,97000,92250,97000" +pts [ +"92250,97000" +"77750,97000" +] +) +start &182 +end &105 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17585,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17586,0 +va (VaSet +font "Verdana,12,0" +) +xt "85250,95600,90950,97000" +st "intCode" +blo "85250,96800" +tm "WireNameMgr" +) +) +on &39 +) +*214 (Wire +uid 17589,0 +shape (OrthoPolyLine +uid 17590,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,99000,92250,99000" +pts [ +"92250,99000" +"77750,99000" +] +) +start &181 +end &95 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17593,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17594,0 +va (VaSet +font "Verdana,12,0" +) +xt "82250,97600,91450,99000" +st "branchCond" +blo "82250,98800" +tm "WireNameMgr" +) +) +on &92 +) +*215 (Wire +uid 17597,0 +shape (OrthoPolyLine +uid 17598,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77750,103000,92250,103000" +pts [ +"92250,103000" +"77750,103000" +] +) +start &177 +end &108 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17601,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17602,0 +va (VaSet +font "Verdana,12,0" +) +xt "85250,101600,90950,103000" +st "opCode" +blo "85250,102800" +tm "WireNameMgr" +) +) +on &40 +) +*216 (Wire +uid 17605,0 +shape (OrthoPolyLine +uid 17606,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "97000,109750,97000,120250" +pts [ +"97000,109750" +"97000,120250" +] +) +start &172 +end &139 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17607,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17608,0 +va (VaSet +font "Verdana,12,0" +) +xt "92000,118600,98000,120000" +st "aluCode" +blo "92000,119800" +tm "WireNameMgr" +) +) +on &41 +) +*217 (Wire +uid 17611,0 +shape (OrthoPolyLine +uid 17612,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "101000,109750,101000,120250" +pts [ +"101000,109750" +"101000,120250" +] +) +start &174 +end &143 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17613,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17614,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,110600,105500,112000" +st "addrA" +blo "101000,111800" +tm "WireNameMgr" +) +) +on &42 +) +*218 (Wire +uid 17617,0 +shape (OrthoPolyLine +uid 17618,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "105000,109750,105000,120250" +pts [ +"105000,109750" +"105000,120250" +] +) +start &175 +end &144 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17619,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17620,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,110600,109500,112000" +st "addrB" +blo "105000,111800" +tm "WireNameMgr" +) +) +on &43 +) +*219 (Wire +uid 17623,0 +shape (OrthoPolyLine +uid 17624,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,109750,113000,120250" +pts [ +"113000,109750" +"113000,120250" +] +) +start &176 +end &145 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 17625,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17626,0 +va (VaSet +font "Verdana,12,0" +) +xt "114000,110600,120600,112000" +st "instrData" +blo "114000,111800" +tm "WireNameMgr" +) +) +on &44 +) +*220 (Wire +uid 17851,0 +optionalChildren [ +*221 (BdJunction +uid 18272,0 +ps "OnConnectorStrategy" +shape (Circle +uid 18273,0 +va (VaSet +vasetType 1 +) +xt "124600,72600,125400,73400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 17852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,73000,157000,73000" +pts [ +"117750,73000" +"157000,73000" +] +) +start &82 +end &45 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17856,0 +va (VaSet +font "Verdana,12,0" +) +xt "149000,71600,158600,73000" +st "progCounter" +blo "149000,72800" +tm "WireNameMgr" +) +) +on &49 +) +*222 (Wire +uid 17866,0 +shape (OrthoPolyLine +uid 17867,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,97000,157000,97000" +pts [ +"157000,97000" +"117750,97000" +] +) +start &46 +end &179 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 17870,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 17871,0 +va (VaSet +font "Verdana,12,0" +) +xt "151000,95600,159200,97000" +st "instruction" +blo "151000,96800" +tm "WireNameMgr" +) +) +on &47 +) +*223 (Wire +uid 18053,0 +shape (OrthoPolyLine +uid 18054,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "105000,85750,105000,92250" +pts [ +"105000,92250" +"105000,85750" +] +) +start &180 +end &84 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18056,0 +va (VaSet +font "Verdana,12,0" +) +xt "106000,90600,115500,92000" +st "instrAddress" +blo "106000,91800" +tm "WireNameMgr" +) +) +on &48 +) +*224 (Wire +uid 18189,0 +shape (OrthoPolyLine +uid 18190,0 +va (VaSet +vasetType 3 +) +xt "89000,83000,92250,83000" +pts [ +"89000,83000" +"92250,83000" +] +) +end &85 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 18195,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18196,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,81600,92100,83000" +st "reset" +blo "88000,82800" +tm "WireNameMgr" +) +) +on &12 +) +*225 (Wire +uid 18197,0 +shape (OrthoPolyLine +uid 18198,0 +va (VaSet +vasetType 3 +) +xt "89000,81000,92250,81000" +pts [ +"89000,81000" +"92250,81000" +] +) +end &81 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18203,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18204,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,79600,91800,81000" +st "clock" +blo "88000,80800" +tm "WireNameMgr" +) +) +on &25 +) +*226 (Wire +uid 18207,0 +shape (OrthoPolyLine +uid 18208,0 +va (VaSet +vasetType 3 +) +xt "77750,77000,92250,77000" +pts [ +"92250,77000" +"77750,77000" +] +) +start &88 +end &107 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18211,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18212,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,75600,90200,77000" +st "loadStoredPC" +blo "80000,76800" +tm "WireNameMgr" +) +) +on &50 +) +*227 (Wire +uid 18215,0 +shape (OrthoPolyLine +uid 18216,0 +va (VaSet +vasetType 3 +) +xt "77750,75000,92250,75000" +pts [ +"92250,75000" +"77750,75000" +] +) +start &87 +end &106 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18219,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18220,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,73600,91400,75000" +st "loadInstrAddress" +blo "79000,74800" +tm "WireNameMgr" +) +) +on &51 +) +*228 (Wire +uid 18223,0 +shape (OrthoPolyLine +uid 18224,0 +va (VaSet +vasetType 3 +) +xt "77750,73000,92250,73000" +pts [ +"92250,73000" +"77750,73000" +] +) +start &86 +end &101 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18227,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18228,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,71600,84200,73000" +st "incPC" +blo "80000,72800" +tm "WireNameMgr" +) +) +on &52 +) +*229 (Wire +uid 18268,0 +shape (OrthoPolyLine +uid 18269,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,51000,125000,73000" +pts [ +"125000,73000" +"125000,51000" +"117750,51000" +] +) +start &221 +end &125 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18270,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18271,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,49600,129350,51000" +st "progCounter" +blo "119750,50800" +tm "WireNameMgr" +) +) +on &49 +) +*230 (Wire +uid 18276,0 +shape (OrthoPolyLine +uid 18277,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "105000,61750,105000,68250" +pts [ +"105000,61750" +"105000,68250" +] +) +start &126 +end &83 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18278,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18279,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,62600,118700,64000" +st "storedProgCounter" +blo "105000,63800" +tm "WireNameMgr" +) +) +on &53 +) +*231 (Wire +uid 18290,0 +shape (OrthoPolyLine +uid 18291,0 +va (VaSet +vasetType 3 +) +xt "77750,53000,92250,53000" +pts [ +"92250,53000" +"77750,53000" +] +) +start &129 +end &115 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18294,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18295,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,51600,85800,53000" +st "storePC" +blo "80000,52800" +tm "WireNameMgr" +) +) +on &54 +) +*232 (Wire +uid 18296,0 +shape (OrthoPolyLine +uid 18297,0 +va (VaSet +vasetType 3 +) +xt "89000,59000,92250,59000" +pts [ +"89000,59000" +"92250,59000" +] +) +end &127 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 18302,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18303,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,57600,92100,59000" +st "reset" +blo "88000,58800" +tm "WireNameMgr" +) +) +on &12 +) +*233 (Wire +uid 18304,0 +shape (OrthoPolyLine +uid 18305,0 +va (VaSet +vasetType 3 +) +xt "89000,57000,92250,57000" +pts [ +"89000,57000" +"92250,57000" +] +) +end &124 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18310,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18311,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,55600,91800,57000" +st "clock" +blo "88000,56800" +tm "WireNameMgr" +) +) +on &25 +) +*234 (Wire +uid 18565,0 +shape (OrthoPolyLine +uid 18566,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,101000,133000,105000" +pts [ +"117750,101000" +"129000,101000" +"129000,105000" +"133000,105000" +] +) +start &178 +end &55 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18570,0 +va (VaSet +font "Verdana,12,0" +) +xt "120000,99600,132300,101000" +st "portInstrAddress" +blo "120000,100800" +tm "WireNameMgr" +) +) +on &70 +) +*235 (Wire +uid 18580,0 +shape (OrthoPolyLine +uid 18581,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,107000,133000,127000" +pts [ +"117750,127000" +"129000,127000" +"129000,107000" +"133000,107000" +] +) +start &142 +end &55 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18585,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,125600,131450,127000" +st "portRegAddress" +blo "119750,126800" +tm "WireNameMgr" +) +) +on &78 +) +*236 (Wire +uid 18596,0 +shape (OrthoPolyLine +uid 18597,0 +va (VaSet +vasetType 3 +) +xt "117750,99000,141000,101000" +pts [ +"117750,99000" +"141000,99000" +"141000,101000" +] +) +start &173 +end &55 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18601,0 +va (VaSet +font "Verdana,12,0" +) +xt "132000,97600,143300,99000" +st "portIndexedSel" +blo "132000,98800" +tm "WireNameMgr" +) +) +on &69 +) +*237 (Wire +uid 18610,0 +shape (OrthoPolyLine +uid 18611,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,105000,133000,147000" +pts [ +"117750,105000" +"123000,105000" +"123000,147000" +"133000,147000" +] +) +start &183 +end &73 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18612,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18613,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,145600,135800,147000" +st "spadInstrAddress" +blo "123000,146800" +tm "WireNameMgr" +) +) +on &71 +) +*238 (Wire +uid 18614,0 +shape (OrthoPolyLine +uid 18615,0 +va (VaSet +vasetType 3 +) +xt "89000,167000,92250,167000" +pts [ +"89000,167000" +"92250,167000" +] +) +end &163 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 18620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18621,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,165600,92100,167000" +st "reset" +blo "88000,166800" +tm "WireNameMgr" +) +) +on &12 +) +*239 (Wire +uid 18622,0 +shape (OrthoPolyLine +uid 18623,0 +va (VaSet +vasetType 3 +) +xt "89000,165000,92250,165000" +pts [ +"89000,165000" +"92250,165000" +] +) +end &162 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 18628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18629,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,163600,91800,165000" +st "clock" +blo "88000,164800" +tm "WireNameMgr" +) +) +on &25 +) +*240 (Wire +uid 18632,0 +shape (OrthoPolyLine +uid 18633,0 +va (VaSet +vasetType 3 +) +xt "77750,161000,92250,161000" +pts [ +"92250,161000" +"77750,161000" +] +) +start &167 +end &114 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18636,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18637,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,159600,90900,161000" +st "scratchpadWrite" +blo "79000,160800" +tm "WireNameMgr" +) +) +on &59 +) +*241 (Wire +uid 18640,0 +shape (OrthoPolyLine +uid 18641,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "113000,149750,113000,156250" +pts [ +"113000,156250" +"113000,149750" +] +) +start &164 +end &155 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18642,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18643,0 +va (VaSet +font "Verdana,12,0" +) +xt "113000,154600,118200,156000" +st "spadIn" +blo "113000,155800" +tm "WireNameMgr" +) +) +on &67 +) +*242 (Wire +uid 18646,0 +shape (OrthoPolyLine +uid 18647,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "109000,149750,109000,156250" +pts [ +"109000,156250" +"109000,149750" +] +) +start &165 +end &154 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 18648,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18649,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,154600,111200,156000" +st "spadOut" +blo "105000,155800" +tm "WireNameMgr" +) +) +on &68 +) +*243 (Wire +uid 18874,0 +shape (OrthoPolyLine +uid 18875,0 +va (VaSet +vasetType 3 +) +xt "77750,133000,92250,133000" +pts [ +"92250,133000" +"77750,133000" +] +) +start &156 +end &118 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 18878,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 18879,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,131600,86300,133000" +st "regWrite" +blo "80000,132800" +tm "WireNameMgr" +) +) +on &60 +) +*244 (Wire +uid 19002,0 +shape (OrthoPolyLine +uid 19003,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,129000,133000,129000" +pts [ +"117750,129000" +"133000,129000" +] +) +start &152 +end &61 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 19006,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19007,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,127600,125450,129000" +st "portOut" +blo "119750,128800" +tm "WireNameMgr" +) +) +on &65 +) +*245 (Wire +uid 19010,0 +shape (OrthoPolyLine +uid 19011,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,131000,133000,131000" +pts [ +"117750,131000" +"133000,131000" +] +) +start &153 +end &61 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 19014,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19015,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,129600,124450,131000" +st "portIn" +blo "119750,130800" +tm "WireNameMgr" +) +) +on &66 +) +*246 (Wire +uid 19968,0 +shape (OrthoPolyLine +uid 19969,0 +va (VaSet +vasetType 3 +) +xt "117750,103000,141000,143000" +pts [ +"117750,103000" +"125000,103000" +"125000,141000" +"141000,141000" +"141000,143000" +] +) +start &184 +end &73 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 19972,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 19973,0 +va (VaSet +font "Verdana,12,0" +) +xt "131000,139600,142800,141000" +st "spadIndexedSel" +blo "131000,140800" +tm "WireNameMgr" +) +) +on &72 +) +*247 (Wire +uid 19997,0 +shape (OrthoPolyLine +uid 19998,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,135000,133000,149000" +pts [ +"117750,135000" +"121000,135000" +"121000,149000" +"133000,149000" +] +) +start &157 +end &73 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 20001,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20002,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,147600,135200,149000" +st "spadRegAddress" +blo "123000,148800" +tm "WireNameMgr" +) +) +on &77 +) +*248 (Wire +uid 20009,0 +shape (OrthoPolyLine +uid 20010,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "117750,147000,153000,161000" +pts [ +"117750,161000" +"153000,161000" +"153000,147000" +"149000,147000" +] +) +start &166 +end &73 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 20013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20014,0 +va (VaSet +font "Verdana,12,0" +) +xt "119750,159600,129550,161000" +st "spadAddress" +blo "119750,160800" +tm "WireNameMgr" +) +) +on &79 +) +*249 (Wire +uid 21557,0 +shape (OrthoPolyLine +uid 21558,0 +va (VaSet +vasetType 3 +) +xt "77750,105000,92250,105000" +pts [ +"92250,105000" +"77750,105000" +] +) +start &185 +end &119 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 21561,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 21562,0 +va (VaSet +font "Verdana,12,0" +) +xt "82250,103600,91650,105000" +st "twoRegInstr" +blo "82250,104800" +tm "WireNameMgr" +) +) +on &93 +) +*250 (Wire +uid 22147,0 +shape (OrthoPolyLine +uid 22148,0 +va (VaSet +vasetType 3 +) +xt "77750,51000,92250,51000" +pts [ +"77750,51000" +"92250,51000" +] +) +start &99 +end &128 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 22149,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22150,0 +va (VaSet +font "Verdana,12,0" +) +xt "80000,49600,85300,51000" +st "prevPC" +blo "80000,50800" +tm "WireNameMgr" +) +) +on &133 +) +*251 (Wire +uid 22871,0 +shape (OrthoPolyLine +uid 22872,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "156000,-2000,164000,-2000" +pts [ +"164000,-2000" +"156000,-2000" +] +) +end &189 +sat 16 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 22877,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22878,0 +va (VaSet +font "Verdana,12,0" +) +xt "158000,-3400,166100,-2000" +st "instrString" +blo "158000,-2200" +tm "WireNameMgr" +) +) +on &193 +) +*252 (Wire +uid 22899,0 +shape (OrthoPolyLine +uid 22900,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "124000,-2000,132000,-2000" +pts [ +"132000,-2000" +"124000,-2000" +] +) +start &189 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 22905,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 22906,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,-3400,131200,-2000" +st "instruction" +blo "123000,-2200" +tm "WireNameMgr" +) +) +on &47 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *253 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*254 (Text +uid 573,0 +va (VaSet +font "arial,8,1" +) +xt "24000,-12000,29400,-11000" +st "Package List" +blo "24000,-11200" +) +*255 (MLText +uid 574,0 +va (VaSet +) +xt "24000,-11000,41500,-7400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*256 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*257 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*258 (MLText +uid 48,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*259 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*260 (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*261 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*262 (MLText +uid 52,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "142,31,1438,897" +viewArea "57030,92615,161856,163083" +cachedDiagramExtent "20000,-12000,196700,210000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA203_HPLJ2430DTN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +landscape 0 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 33 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "24000,-12000" +lastUid 23261,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*263 (Text +va (VaSet +) +xt "2100,3000,6700,4200" +st "" +blo "2100,4000" +tm "BdLibraryNameMgr" +) +*264 (Text +va (VaSet +) +xt "2100,4200,6200,5400" +st "" +blo "2100,5200" +tm "BlkNameMgr" +) +*265 (Text +va (VaSet +) +xt "2100,5400,3300,6600" +st "I0" +blo "2100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2100,13000,2100,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*266 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*267 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*268 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*269 (Text +va (VaSet +) +xt "900,3000,3200,4000" +st "Library" +blo "900,3800" +tm "BdLibraryNameMgr" +) +*270 (Text +va (VaSet +) +xt "900,4000,6400,5000" +st "SaComponent" +blo "900,4800" +tm "CptNameMgr" +) +*271 (Text +va (VaSet +) +xt "900,5000,1500,6000" +st "I0" +blo "900,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6100,1000,-6100,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-100,0,8100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*272 (Text +va (VaSet +) +xt "400,3000,2700,4000" +st "Library" +blo "400,3800" +) +*273 (Text +va (VaSet +) +xt "400,4000,6500,5000" +st "VhdlComponent" +blo "400,4800" +) +*274 (Text +va (VaSet +) +xt "400,5000,1000,6000" +st "I0" +blo "400,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6600,1000,-6600,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*275 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*276 (Text +va (VaSet +) +xt "-100,4000,7000,5000" +st "VerilogComponent" +blo "-100,4800" +) +*277 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*278 (Text +va (VaSet +) +xt "3300,3700,4500,4700" +st "eb1" +blo "3300,4500" +tm "HdlTextNameMgr" +) +*279 (Text +va (VaSet +) +xt "3300,4700,3700,5700" +st "1" +blo "3300,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-350,-600,250,400" +st "G" +blo "-350,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*280 (Text +va (VaSet +font "Arial,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*281 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*282 (Text +va (VaSet +font "Arial,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*283 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,-2400,29400,-1400" +st "Declarations" +blo "24000,-1600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,-1400,26700,-400" +st "Ports:" +blo "24000,-600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,9200,27800,10200" +st "Pre User:" +blo "24000,10000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,9,0" +) +xt "26000,10200,48000,15000" +st "constant aluCodeBitNb: positive := 5; +constant opCodeBitNb: positive := 5; +constant branchCondBitNb: positive := 3; +constant intCodeBitNb: positive := 5;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,15000,31100,16000" +st "Diagram Signals:" +blo "24000,15800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "Arial,8,1" +) +xt "24000,44800,28700,45800" +st "Post User:" +blo "24000,45600" +) +postUserText (MLText +uid 8,0 +va (VaSet +) +xt "24000,-2400,24000,-2400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 223,0 +usingSuid 1 +emptyRow *284 (LEmptyRow +) +uid 5534,0 +optionalChildren [ +*285 (RefLabelRowHdr +) +*286 (TitleRowHdr +) +*287 (FilterRowHdr +) +*288 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*289 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*290 (GroupColHdr +tm "GroupColHdrMgr" +) +*291 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*292 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*293 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*294 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*295 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*296 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*297 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 7,0 +) +) +uid 5491,0 +) +*298 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 155,0 +) +) +uid 16384,0 +) +*299 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 156,0 +) +) +uid 16386,0 +) +*300 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 159,0 +) +) +uid 16392,0 +) +*301 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 160,0 +) +) +uid 16394,0 +) +*302 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 161,0 +) +) +uid 16396,0 +) +*303 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 163,0 +) +) +uid 16913,0 +) +*304 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 164,0 +) +) +uid 16915,0 +) +*305 (LeafLogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 165,0 +) +) +uid 16917,0 +) +*306 (LeafLogPort +port (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 166,0 +) +) +uid 16919,0 +) +*307 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "registerFileSel" +t "std_ulogic" +o 36 +suid 167,0 +) +) +uid 17364,0 +) +*308 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instrDataSel" +t "std_ulogic" +o 22 +suid 168,0 +) +) +uid 17366,0 +) +*309 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portInSel" +t "std_ulogic" +o 29 +suid 169,0 +) +) +uid 17368,0 +) +*310 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "scratchpadSel" +t "std_ulogic" +o 37 +suid 170,0 +) +) +uid 17370,0 +) +*311 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cIn" +t "std_ulogic" +o 17 +suid 171,0 +) +) +uid 17372,0 +) +*312 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "cOut" +t "std_ulogic" +o 18 +suid 172,0 +) +) +uid 17374,0 +) +*313 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "zero" +t "std_ulogic" +o 48 +suid 173,0 +) +) +uid 17376,0 +) +*314 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "intCode" +t "std_ulogic_vector" +b "( intCodeBitNb-1 DOWNTO 0 )" +o 24 +suid 174,0 +) +) +uid 17635,0 +) +*315 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "opCode" +t "std_ulogic_vector" +b "( opCodeBitNb-1 DOWNTO 0 )" +o 27 +suid 176,0 +) +) +uid 17639,0 +) +*316 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "aluCode" +t "std_ulogic_vector" +b "( aluCodeBitNb-1 DOWNTO 0 )" +o 15 +suid 177,0 +) +) +uid 17641,0 +) +*317 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 13 +suid 178,0 +) +) +uid 17643,0 +) +*318 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 14 +suid 179,0 +) +) +uid 17645,0 +) +*319 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instrData" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 21 +suid 180,0 +) +) +uid 17647,0 +) +*320 (LeafLogPort +port (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 183,0 +) +) +uid 17843,0 +) +*321 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 20 +suid 184,0 +) +) +uid 18059,0 +) +*322 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 185,0 +) +) +uid 18061,0 +) +*323 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 26 +suid 186,0 +) +) +uid 18229,0 +) +*324 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 25 +suid 187,0 +) +) +uid 18231,0 +) +*325 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "incPC" +t "std_ulogic" +o 19 +suid 188,0 +) +) +uid 18233,0 +) +*326 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 46 +suid 189,0 +) +) +uid 18312,0 +) +*327 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "storePC" +t "std_ulogic" +o 45 +suid 191,0 +) +) +uid 18316,0 +) +*328 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "scratchpadWrite" +t "std_ulogic" +o 38 +suid 200,0 +) +) +uid 18656,0 +) +*329 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "regWrite" +t "std_ulogic" +o 35 +suid 203,0 +) +) +uid 18989,0 +) +*330 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 32 +suid 204,0 +) +) +uid 19016,0 +) +*331 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 28 +suid 205,0 +) +) +uid 19018,0 +) +*332 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 40 +suid 207,0 +) +) +uid 19796,0 +) +*333 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 43 +suid 208,0 +) +) +uid 19798,0 +) +*334 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portIndexedSel" +t "std_ulogic" +o 30 +suid 209,0 +) +) +uid 19802,0 +) +*335 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portInstrAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 31 +suid 210,0 +) +) +uid 19808,0 +) +*336 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadInstrAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 42 +suid 212,0 +) +) +uid 19903,0 +) +*337 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadIndexedSel" +t "std_ulogic" +o 41 +suid 213,0 +) +) +uid 19993,0 +) +*338 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadRegAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 44 +suid 216,0 +) +) +uid 20005,0 +) +*339 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "portRegAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 33 +suid 218,0 +) +) +uid 20019,0 +) +*340 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "spadAddress" +t "unsigned" +b "(scratchpadAddressBitNb-1 DOWNTO 0)" +o 39 +suid 219,0 +) +) +uid 20264,0 +) +*341 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "branchCond" +t "std_ulogic_vector" +b "(branchCondBitNb-1 DOWNTO 0)" +o 16 +suid 220,0 +) +) +uid 21672,0 +) +*342 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "twoRegInstr" +t "std_ulogic" +o 47 +suid 221,0 +) +) +uid 21674,0 +) +*343 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "prevPC" +t "std_ulogic" +o 34 +suid 222,0 +) +) +uid 22151,0 +) +*344 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "instrString" +t "string" +b "(1 TO 16)" +o 23 +suid 223,0 +) +) +uid 22897,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 5547,0 +optionalChildren [ +*345 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *346 (MRCItem +litem &284 +pos 48 +dimension 20 +) +uid 5549,0 +optionalChildren [ +*347 (MRCItem +litem &285 +pos 0 +dimension 20 +uid 5550,0 +) +*348 (MRCItem +litem &286 +pos 1 +dimension 23 +uid 5551,0 +) +*349 (MRCItem +litem &287 +pos 2 +hidden 1 +dimension 20 +uid 5552,0 +) +*350 (MRCItem +litem &297 +pos 2 +dimension 20 +uid 5492,0 +) +*351 (MRCItem +litem &298 +pos 0 +dimension 20 +uid 16383,0 +) +*352 (MRCItem +litem &299 +pos 1 +dimension 20 +uid 16385,0 +) +*353 (MRCItem +litem &300 +pos 3 +dimension 20 +uid 16391,0 +) +*354 (MRCItem +litem &301 +pos 4 +dimension 20 +uid 16393,0 +) +*355 (MRCItem +litem &302 +pos 5 +dimension 20 +uid 16395,0 +) +*356 (MRCItem +litem &303 +pos 6 +dimension 20 +uid 16914,0 +) +*357 (MRCItem +litem &304 +pos 7 +dimension 20 +uid 16916,0 +) +*358 (MRCItem +litem &305 +pos 8 +dimension 20 +uid 16918,0 +) +*359 (MRCItem +litem &306 +pos 9 +dimension 20 +uid 16920,0 +) +*360 (MRCItem +litem &307 +pos 12 +dimension 20 +uid 17365,0 +) +*361 (MRCItem +litem &308 +pos 13 +dimension 20 +uid 17367,0 +) +*362 (MRCItem +litem &309 +pos 14 +dimension 20 +uid 17369,0 +) +*363 (MRCItem +litem &310 +pos 15 +dimension 20 +uid 17371,0 +) +*364 (MRCItem +litem &311 +pos 16 +dimension 20 +uid 17373,0 +) +*365 (MRCItem +litem &312 +pos 17 +dimension 20 +uid 17375,0 +) +*366 (MRCItem +litem &313 +pos 18 +dimension 20 +uid 17377,0 +) +*367 (MRCItem +litem &314 +pos 19 +dimension 20 +uid 17636,0 +) +*368 (MRCItem +litem &315 +pos 20 +dimension 20 +uid 17640,0 +) +*369 (MRCItem +litem &316 +pos 21 +dimension 20 +uid 17642,0 +) +*370 (MRCItem +litem &317 +pos 22 +dimension 20 +uid 17644,0 +) +*371 (MRCItem +litem &318 +pos 23 +dimension 20 +uid 17646,0 +) +*372 (MRCItem +litem &319 +pos 24 +dimension 20 +uid 17648,0 +) +*373 (MRCItem +litem &320 +pos 10 +dimension 20 +uid 17842,0 +) +*374 (MRCItem +litem &321 +pos 25 +dimension 20 +uid 18060,0 +) +*375 (MRCItem +litem &322 +pos 11 +dimension 20 +uid 18062,0 +) +*376 (MRCItem +litem &323 +pos 26 +dimension 20 +uid 18230,0 +) +*377 (MRCItem +litem &324 +pos 27 +dimension 20 +uid 18232,0 +) +*378 (MRCItem +litem &325 +pos 28 +dimension 20 +uid 18234,0 +) +*379 (MRCItem +litem &326 +pos 29 +dimension 20 +uid 18313,0 +) +*380 (MRCItem +litem &327 +pos 30 +dimension 20 +uid 18317,0 +) +*381 (MRCItem +litem &328 +pos 31 +dimension 20 +uid 18657,0 +) +*382 (MRCItem +litem &329 +pos 32 +dimension 20 +uid 18990,0 +) +*383 (MRCItem +litem &330 +pos 33 +dimension 20 +uid 19017,0 +) +*384 (MRCItem +litem &331 +pos 34 +dimension 20 +uid 19019,0 +) +*385 (MRCItem +litem &332 +pos 35 +dimension 20 +uid 19797,0 +) +*386 (MRCItem +litem &333 +pos 36 +dimension 20 +uid 19799,0 +) +*387 (MRCItem +litem &334 +pos 37 +dimension 20 +uid 19803,0 +) +*388 (MRCItem +litem &335 +pos 38 +dimension 20 +uid 19809,0 +) +*389 (MRCItem +litem &336 +pos 39 +dimension 20 +uid 19904,0 +) +*390 (MRCItem +litem &337 +pos 40 +dimension 20 +uid 19994,0 +) +*391 (MRCItem +litem &338 +pos 41 +dimension 20 +uid 20006,0 +) +*392 (MRCItem +litem &339 +pos 42 +dimension 20 +uid 20020,0 +) +*393 (MRCItem +litem &340 +pos 43 +dimension 20 +uid 20265,0 +) +*394 (MRCItem +litem &341 +pos 44 +dimension 20 +uid 21673,0 +) +*395 (MRCItem +litem &342 +pos 45 +dimension 20 +uid 21675,0 +) +*396 (MRCItem +litem &343 +pos 46 +dimension 20 +uid 22152,0 +) +*397 (MRCItem +litem &344 +pos 47 +dimension 20 +uid 22898,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5553,0 +optionalChildren [ +*398 (MRCItem +litem &288 +pos 0 +dimension 20 +uid 5554,0 +) +*399 (MRCItem +litem &290 +pos 1 +dimension 50 +uid 5555,0 +) +*400 (MRCItem +litem &291 +pos 2 +dimension 100 +uid 5556,0 +) +*401 (MRCItem +litem &292 +pos 3 +dimension 50 +uid 5557,0 +) +*402 (MRCItem +litem &293 +pos 4 +dimension 100 +uid 5558,0 +) +*403 (MRCItem +litem &294 +pos 5 +dimension 100 +uid 5559,0 +) +*404 (MRCItem +litem &295 +pos 6 +dimension 50 +uid 5560,0 +) +*405 (MRCItem +litem &296 +pos 7 +dimension 80 +uid 5561,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 5548,0 +vaOverrides [ +] +) +] +) +uid 5533,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *406 (LEmptyRow +) +uid 5563,0 +optionalChildren [ +*407 (RefLabelRowHdr +) +*408 (TitleRowHdr +) +*409 (FilterRowHdr +) +*410 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*411 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*412 (GroupColHdr +tm "GroupColHdrMgr" +) +*413 (NameColHdr +tm "GenericNameColHdrMgr" +) +*414 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*415 (InitColHdr +tm "GenericValueColHdrMgr" +) +*416 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*417 (EolColHdr +tm "GenericEolColHdrMgr" +) +*418 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 16169,0 +) +*419 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 16171,0 +) +*420 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 17875,0 +) +*421 (LogGeneric +generic (GiElement +name "instructionBitNb" +type "positive" +value "18" +) +uid 17877,0 +) +*422 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 19295,0 +) +*423 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +uid 19407,0 +) +*424 (LogGeneric +generic (GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +uid 21424,0 +) +] +) +pdm (PhysicalDM +uid 5575,0 +optionalChildren [ +*425 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *426 (MRCItem +litem &406 +pos 7 +dimension 20 +) +uid 5577,0 +optionalChildren [ +*427 (MRCItem +litem &407 +pos 0 +dimension 20 +uid 5578,0 +) +*428 (MRCItem +litem &408 +pos 1 +dimension 23 +uid 5579,0 +) +*429 (MRCItem +litem &409 +pos 2 +hidden 1 +dimension 20 +uid 5580,0 +) +*430 (MRCItem +litem &418 +pos 0 +dimension 20 +uid 16168,0 +) +*431 (MRCItem +litem &419 +pos 1 +dimension 20 +uid 16170,0 +) +*432 (MRCItem +litem &420 +pos 3 +dimension 20 +uid 17874,0 +) +*433 (MRCItem +litem &421 +pos 5 +dimension 20 +uid 17876,0 +) +*434 (MRCItem +litem &422 +pos 2 +dimension 20 +uid 19294,0 +) +*435 (MRCItem +litem &423 +pos 6 +dimension 20 +uid 19406,0 +) +*436 (MRCItem +litem &424 +pos 4 +dimension 20 +uid 21423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5581,0 +optionalChildren [ +*437 (MRCItem +litem &410 +pos 0 +dimension 20 +uid 5582,0 +) +*438 (MRCItem +litem &412 +pos 1 +dimension 50 +uid 5583,0 +) +*439 (MRCItem +litem &413 +pos 2 +dimension 100 +uid 5584,0 +) +*440 (MRCItem +litem &414 +pos 3 +dimension 100 +uid 5585,0 +) +*441 (MRCItem +litem &415 +pos 4 +dimension 50 +uid 5586,0 +) +*442 (MRCItem +litem &416 +pos 5 +dimension 50 +uid 5587,0 +) +*443 (MRCItem +litem &417 +pos 6 +dimension 80 +uid 5588,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 5576,0 +vaOverrides [ +] +) +] +) +uid 5562,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 1 +) diff --git a/Libs/NanoBlaze/hds/nano@processor/symbol.sb b/Libs/NanoBlaze/hds/nano@processor/symbol.sb new file mode 100644 index 0000000..9ec8fb6 --- /dev/null +++ b/Libs/NanoBlaze/hds/nano@processor/symbol.sb @@ -0,0 +1,2223 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2053,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 322,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 324,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 2024,0 +) +) +uid 496,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 2026,0 +) +) +uid 500,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +uid 532,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 2028,0 +) +) +uid 534,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 2039,0 +) +) +uid 1168,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 2040,0 +) +) +uid 1170,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 2042,0 +) +) +uid 1174,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +uid 2048,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 2052,0 +) +) +uid 2274,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 2053,0 +) +) +uid 2324,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 254,0 +optionalChildren [ +*28 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 257,0 +) +*29 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 259,0 +) +*30 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*31 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 281,0 +) +*32 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 283,0 +) +*33 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 497,0 +) +*34 (MRCItem +litem &17 +pos 1 +dimension 20 +uid 501,0 +) +*35 (MRCItem +litem &18 +pos 8 +dimension 20 +uid 533,0 +) +*36 (MRCItem +litem &19 +pos 9 +dimension 20 +uid 535,0 +) +*37 (MRCItem +litem &20 +pos 3 +dimension 20 +uid 1169,0 +) +*38 (MRCItem +litem &21 +pos 4 +dimension 20 +uid 1171,0 +) +*39 (MRCItem +litem &22 +pos 5 +dimension 20 +uid 1175,0 +) +*40 (MRCItem +litem &23 +pos 7 +dimension 20 +uid 2049,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2275,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2323,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*43 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 263,0 +) +*44 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 267,0 +) +*45 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 269,0 +) +*46 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 271,0 +) +*47 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 273,0 +) +*48 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 275,0 +) +*49 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 277,0 +) +*50 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 1507,0 +) +*64 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 1509,0 +) +*65 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 2258,0 +) +*66 (LogGeneric +generic (GiElement +name "instructionBitNb" +type "positive" +value "18" +) +uid 2260,0 +) +*67 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 2422,0 +) +*68 (LogGeneric +generic (GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +uid 2447,0 +) +*69 (LogGeneric +generic (GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +uid 2520,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*70 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *71 (MRCItem +litem &51 +pos 7 +dimension 20 +) +uid 293,0 +optionalChildren [ +*72 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 296,0 +) +*73 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 298,0 +) +*74 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*75 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 1508,0 +) +*76 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 1510,0 +) +*77 (MRCItem +litem &65 +pos 3 +dimension 20 +uid 2259,0 +) +*78 (MRCItem +litem &66 +pos 5 +dimension 20 +uid 2261,0 +) +*79 (MRCItem +litem &67 +pos 2 +dimension 20 +uid 2423,0 +) +*80 (MRCItem +litem &68 +pos 6 +dimension 20 +uid 2448,0 +) +*81 (MRCItem +litem &69 +pos 4 +dimension 20 +uid 2521,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*82 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 302,0 +) +*83 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 306,0 +) +*84 (MRCItem +litem &58 +pos 2 +dimension 199 +uid 308,0 +) +*85 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 310,0 +) +*86 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 312,0 +) +*87 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 314,0 +) +*88 (MRCItem +litem &62 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoProcessor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoProcessor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoProcessor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nano@processor\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze\\hds\\nanoProcessor\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:38:43" +) +(vvPair +variable "unit" +value "nanoProcessor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*89 (SymbolBody +uid 8,0 +optionalChildren [ +*90 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,27625,47000,28375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,27300,51800,28700" +st "clock" +blo "48000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11800,20500,12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*91 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,29625,47000,30375" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 251,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,29300,52100,30700" +st "reset" +blo "48000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15800,20500,16600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*92 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,25625,63750,26375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "Verdana,12,0" +) +xt "53300,25300,62000,26700" +st "readStrobe" +ju 2 +blo "62000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19800,20500,20600" +st "readStrobe : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 11 +suid 2024,0 +) +) +) +*93 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,27625,63750,28375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,27300,62000,28700" +st "writeStrobe" +ju 2 +blo "62000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20600,19500,21400" +st "writeStrobe : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 12 +suid 2026,0 +) +) +) +*94 (CptPort +uid 502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,25625,47000,26375" +) +tg (CPTG +uid 504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 505,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,25300,50400,26700" +st "en" +blo "48000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 506,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13400,20500,14200" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +) +*95 (CptPort +uid 1163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1164,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,15625,47000,16375" +) +tg (CPTG +uid 1165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1166,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,15300,52500,16700" +st "intAck" +blo "48000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1167,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18200,20500,19000" +st "intAck : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 9 +suid 2042,0 +) +) +) +*96 (CptPort +uid 1998,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1999,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,13625,47000,14375" +) +tg (CPTG +uid 2000,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2001,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,13300,50400,14700" +st "int" +blo "48000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2002,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15000,20500,15800" +st "int : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 5 +suid 2028,0 +) +) +) +*97 (CptPort +uid 2003,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2004,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,19625,63750,20375" +) +tg (CPTG +uid 2005,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2006,0 +va (VaSet +font "Verdana,12,0" +) +xt "52400,19300,62000,20700" +st "dataAddress" +ju 2 +blo "62000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2007,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16600,32500,17400" +st "dataAddress : OUT unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 7 +suid 2039,0 +) +) +) +*98 (CptPort +uid 2008,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2009,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,21625,63750,22375" +) +tg (CPTG +uid 2010,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2011,0 +va (VaSet +font "Verdana,12,0" +) +xt "56000,21300,62000,22700" +st "dataOut" +ju 2 +blo "62000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2012,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17400,37500,18200" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 8 +suid 2040,0 +) +) +) +*99 (CptPort +uid 2043,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2073,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,23625,63750,24375" +) +tg (CPTG +uid 2045,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2046,0 +va (VaSet +font "Verdana,12,0" +) +xt "57000,23300,62000,24700" +st "dataIn" +ju 2 +blo "62000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2047,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12600,37500,13400" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +) +*100 (CptPort +uid 2267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2299,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,15625,63750,16375" +) +tg (CPTG +uid 2269,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2270,0 +va (VaSet +font "Verdana,12,0" +) +xt "53800,15300,62000,16700" +st "instruction" +ju 2 +blo "62000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2271,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14200,39000,15000" +st "instruction : IN std_ulogic_vector (instructionBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(instructionBitNb-1 DOWNTO 0)" +o 4 +suid 2052,0 +) +) +) +*101 (CptPort +uid 2325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,13625,63750,14375" +) +tg (CPTG +uid 2327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2328,0 +va (VaSet +font "Verdana,12,0" +) +xt "52400,13300,62000,14700" +st "progCounter" +ju 2 +blo "62000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2329,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19000,37000,19800" +st "progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 10 +suid 2053,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,10000,63000,32000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,31700,53100,32900" +st "NanoBlaze" +blo "47100,32700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,32900,56000,34100" +st "nanoProcessor" +blo "47100,33900" +) +) +gi *102 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,10,0" +) +xt "47000,34400,70400,45200" +st "Generic Declarations + +addressBitNb positive 8 +registerBitNb positive 8 +registerAddressBitNb positive 4 +programCounterBitNb positive 10 +stackPointerBitNb positive 5 +instructionBitNb positive 18 +scratchpadAddressBitNb natural 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "5" +) +(GiElement +name "instructionBitNb" +type "positive" +value "18" +) +(GiElement +name "scratchpadAddressBitNb" +type "natural" +value "4" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*103 (Grouping +uid 136,0 +optionalChildren [ +*104 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65500,61200,65500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61500,78200,61500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63500,61200,63500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*107 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63500,57200,63500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,92300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*109 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61500,82200,61500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*110 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "62350,61400,72650,62600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*111 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64500,57200,64500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*112 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65500,57200,65500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*113 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64500,61200,64500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *114 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 103,0 +va (VaSet +font "arial,10,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*116 (MLText +uid 104,0 +va (VaSet +font "Verdana,10,0" +) +xt "0,1200,18600,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "130,29,1416,900" +viewArea "-1400,-1400,101032,68584" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Courier New,9,0" +) +xt "1000,1000,4000,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32200,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31300,16700" +st "" +blo "29100,16500" +) +) +gi *117 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *118 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,9400,8600,10600" +st "Declarations" +blo "0,10400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,10600,4200,11800" +st "Ports:" +blo "0,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "0,21400,3700,22600" +st "User:" +blo "0,22400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "0,9400,9400,10600" +st "Internal User:" +blo "0,10400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22600,2000,22600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2590,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/program@counter/symbol.sb b/Libs/NanoBlaze/hds/program@counter/symbol.sb new file mode 100644 index 0000000..9836ab9 --- /dev/null +++ b/Libs/NanoBlaze/hds/program@counter/symbol.sb @@ -0,0 +1,1762 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 31,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 17,0 +) +) +uid 461,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 2 +suid 18,0 +) +) +uid 468,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 1 +suid 24,0 +) +) +uid 658,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 4 +suid 27,0 +) +) +uid 714,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 761,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "incPC" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 763,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 765,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 767,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 103,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*27 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 462,0 +) +*28 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 469,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 659,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 715,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 762,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 764,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 766,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 768,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +uid 660,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 132,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 133,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 661,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 136,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 137,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 138,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 139,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 140,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 141,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\program@counter\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\program@counter\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\program@counter" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\programCounter" +) +(vvPair +variable "date" +value "16.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "16" +) +(vvPair +variable "entity_name" +value "programCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3195" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "nanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/NanoBlaze/NanoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "programCounter" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\program@counter\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\NanoBlaze\\Circuit\\Prefs\\..\\NanoBlaze\\hds\\programCounter\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:47:20" +) +(vvPair +variable "unit" +value "programCounter" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 86,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 446,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 739,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,36625,41000,37375" +) +tg (CPTG +uid 448,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 449,0 +va (VaSet +) +xt "42000,36500,44100,37500" +st "clock" +blo "42000,37300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 450,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8000,22000,8800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 17,0 +) +) +) +*71 (CptPort +uid 463,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 464,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,28625,65750,29375" +) +tg (CPTG +uid 465,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 466,0 +va (VaSet +) +xt "58900,28500,64000,29500" +st "progCounter" +ju 2 +blo "64000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 467,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13600,37000,14400" +st "progCounter : OUT unsigned ( programCounterBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "progCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 2 +suid 18,0 +) +) +) +*72 (CptPort +uid 653,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 740,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,24250,53375,25000" +) +tg (CPTG +uid 655,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 656,0 +va (VaSet +) +xt "47800,26000,55100,27000" +st "storedProgCounter" +ju 2 +blo "55100,26800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 657,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12800,38000,13600" +st "storedProgCounter : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "storedProgCounter" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 1 +suid 24,0 +) +) +) +*73 (CptPort +uid 709,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 710,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,41000,53375,41750" +) +tg (CPTG +uid 711,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 712,0 +va (VaSet +) +xt "49000,39000,54100,40000" +st "instrAddress" +blo "49000,39800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 713,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,38000,10400" +st "instrAddress : IN unsigned ( programCounterBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "instrAddress" +t "unsigned" +b "( programCounterBitNb-1 DOWNTO 0 )" +o 4 +suid 27,0 +) +) +) +*74 (CptPort +uid 741,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 742,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,38625,41000,39375" +) +tg (CPTG +uid 743,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 744,0 +va (VaSet +) +xt "42000,38500,44100,39500" +st "reset" +blo "42000,39300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 745,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,22000,12800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*75 (CptPort +uid 746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 747,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,28625,41000,29375" +) +tg (CPTG +uid 748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 749,0 +va (VaSet +) +xt "42000,28500,44500,29500" +st "incPC" +blo "42000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 750,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,22000,9600" +st "incPC : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "incPC" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*76 (CptPort +uid 751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,30625,41000,31375" +) +tg (CPTG +uid 753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 754,0 +va (VaSet +) +xt "42000,30500,48500,31500" +st "loadInstrAddress" +blo "42000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 755,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,22000,11200" +st "loadInstrAddress : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "loadInstrAddress" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*77 (CptPort +uid 756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,32625,41000,33375" +) +tg (CPTG +uid 758,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 759,0 +va (VaSet +) +xt "42000,32500,47500,33500" +st "loadStoredPC" +blo "42000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 760,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,22000,12000" +st "loadStoredPC : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "loadStoredPC" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,25000,65000,41000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,41000,45900,42000" +st "nanoBlaze" +blo "41600,41800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "41600,42000,48700,43000" +st "programCounter" +blo "41600,42800" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,44200,59000,46600" +st "Generic Declarations + +programCounterBitNb positive 10 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "programCounterBitNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,75000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65000,66900,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,61000,79000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,61000,78200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,75000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63000,68200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,63000,58000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,63000,56300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,62000,95000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,62200,84400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,95000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61000,80800,62000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,75000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "61150,61500,67850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,64000,58000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,64000,56300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,65000,58000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,65000,56900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,75000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64000,71200,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "54000,61000,95000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,51,1282,908" +viewArea "-3400,-1400,97585,69185" +cachedDiagramExtent "-2000,0,95000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,14400,400,15400" +st "User:" +blo "-2000,15200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,15400,0,15400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 791,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/program@rom/symbol.sb b/Libs/NanoBlaze/hds/program@rom/symbol.sb new file mode 100644 index 0000000..368e642 --- /dev/null +++ b/Libs/NanoBlaze/hds/program@rom/symbol.sb @@ -0,0 +1,1578 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 89,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 110,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 82,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 84,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 86,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 88,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 90,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 196,0 +) +*50 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 198,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 138,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 140,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 197,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 199,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 143,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 145,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 146,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 147,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 148,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom" +) +(vvPair +variable "date" +value "03.09.2010" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "rom" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3471" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Board/Memory/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "rom" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\Memory\\hds\\rom\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:17:51" +) +(vvPair +variable "unit" +value "rom" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 93,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,20625,25000,21375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "26000,20500,29100,21500" +st "address" +blo "26000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,2200,46500,3000" +st "address : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "address" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,26625,25000,27375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "26000,26500,28100,27500" +st "clock" +blo "26000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,3000,34500,3800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,20625,41750,21375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "37000,20500,40000,21500" +st "dataOut" +ju 2 +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,5400,49500,6200" +st "dataOut : OUT std_ulogic_vector ( dataBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "( dataBitNb-1 DOWNTO 0 )" +o 5 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,24625,25000,25375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "26000,24500,27200,25500" +st "en" +blo "26000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,3800,34500,4600" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,28625,25000,29375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "26000,28500,28100,29500" +st "reset" +blo "26000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,4600,34500,5400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,17000,41000,31000" +) +oxt "15000,6000,32000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "25500,31000,28800,32000" +st "memory" +blo "25500,31800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "25500,32000,27400,33000" +st "rom" +blo "25500,32800" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "25000,34200,39000,37400" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,48000,52000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,48000,43900,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,44000,56000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "52200,44000,55200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,46000,52000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,46000,45200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,46000,35000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,46000,33300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,45000,72000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "52200,45200,61400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,44000,72000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,44000,57800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,44000,52000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38150,44500,44850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,47000,35000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,47000,33300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,48000,35000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,48000,33900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,47000,52000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,47000,42600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "31000,44000,72000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-1000,0,4400,1000" +st "Package List" +blo "-1000,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "-1000,1000,10300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "6,79,1266,910" +viewArea "-2000,-1100,73993,51241" +cachedDiagramExtent "-1000,0,72000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "16000,200,21400,1200" +st "Declarations" +blo "16000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "16000,1200,18700,2200" +st "Ports:" +blo "16000,2000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "16000,6200,18400,7200" +st "User:" +blo "16000,7000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "16000,200,21800,1200" +st "Internal User:" +blo "16000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,7200,18000,7200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "16000,200,16000,200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 245,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/register@file/symbol.sb b/Libs/NanoBlaze/hds/register@file/symbol.sb new file mode 100644 index 0000000..3c6a15c --- /dev/null +++ b/Libs/NanoBlaze/hds/register@file/symbol.sb @@ -0,0 +1,1772 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2007.1a (Build 13)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +uid 91,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2,0 +) +) +uid 93,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "registersIn" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 3,0 +) +) +uid 95,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 97,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 21 +suid 5,0 +) +) +uid 99,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 6,0 +) +) +uid 101,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 7,0 +) +) +uid 103,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 8,0 +) +) +uid 105,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 94,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 96,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 98,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 102,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 104,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 106,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\register@file\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\register@file\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "boardTester" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\register@file" +) +(vvPair +variable "d_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\registerFile" +) +(vvPair +variable "date" +value "09.09.2010" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3472" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "PicoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "D:/Labs/ElN/Board/PicoBlaze/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "sept." +) +(vvPair +variable "month_long" +value "septembre" +) +(vvPair +variable "p" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\register@file\\symbol.sb" +) +(vvPair +variable "p_logical" +value "I:\\Filiere\\Cours\\Bachelor\\225_SEm\\COURS_COF\\PicoBlaze\\Circuit\\Prefs\\..\\PicoBlaze\\hds\\registerFile\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:24:00" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "cof" +) +(vvPair +variable "version" +value "2007.1a (Build 13)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2010" +) +(vvPair +variable "yy" +value "10" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "40000,16500,42400,17500" +st "addrA" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,17600,35500,18400" +st "addrA : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "addrA" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "40000,18500,42400,19500" +st "addrB" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,18400,35500,19200" +st "addrB : IN unsigned ( registerAddressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "addrB" +t "unsigned" +b "( registerAddressBitNb-1 DOWNTO 0 )" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,29000,47375,29750" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "46000,27000,50500,28000" +st "registersIn" +blo "46000,27800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20800,29000,21600" +st "registersIn : IN signed ( dataBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "registersIn" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 3,0 +) +) +) +*75 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "40000,24500,42100,25500" +st "clock" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,19200,18500,20000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*76 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,12250,43375,13000" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "42000,14000,43700,15000" +st "opA" +ju 2 +blo "43700,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,22400,29000,23200" +st "opA : OUT signed ( dataBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "opA" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 21 +suid 5,0 +) +) +) +*77 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,12250,51375,13000" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "50000,14000,51700,15000" +st "opB" +ju 2 +blo "51700,14800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,23200,28000,24000" +st "opB : OUT signed ( dataBitNb-1 DOWNTO 0 ) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "opB" +t "signed" +b "( dataBitNb-1 DOWNTO 0 )" +o 22 +suid 6,0 +) +) +) +*78 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "40000,20500,43400,21500" +st "regWrite" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,20000,18500,20800" +st "regWrite : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "regWrite" +t "std_ulogic" +o 10 +suid 7,0 +) +) +) +*79 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "40000,26500,42100,27500" +st "reset" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,21600,18500,22400" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 12 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,13000,55000,29000" +) +oxt "15000,6000,31000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "39550,29000,43550,30000" +st "PicoBlaze" +blo "39550,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "39550,30000,44450,31000" +st "registerFile" +blo "39550,30800" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "39000,32200,57000,35400" +st "Generic Declarations + +registerAddressBitNb positive 4 +dataBitNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "registerAddressBitNb" +type "positive" +value "4" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,42900,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,44200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,32300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,56800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37150,44500,43850,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,32300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,32900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,45500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,51,1278,982" +viewArea "-3100,-1000,72089,57007" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "PicoBlaze" +entityName "aluAndRegs" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,15600,3400,16600" +st "Declarations" +blo "-2000,16400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,16600,700,17600" +st "Ports:" +blo "-2000,17400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,24000,400,25000" +st "User:" +blo "-2000,24800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,15600,3800,16600" +st "Internal User:" +blo "-2000,16400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,25000,0,25000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,15600,-2000,15600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 213,0 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze/hds/scratchpad/symbol.sb b/Libs/NanoBlaze/hds/scratchpad/symbol.sb new file mode 100644 index 0000000..282df2c --- /dev/null +++ b/Libs/NanoBlaze/hds/scratchpad/symbol.sb @@ -0,0 +1,1673 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 23,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 82,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 20,0 +) +) +uid 540,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 5 +suid 21,0 +) +) +uid 542,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 22,0 +) +) +uid 544,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 3 +suid 23,0 +) +) +uid 626,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 103,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 83,0 +) +*27 (MRCItem +litem &16 +pos 4 +dimension 20 +uid 541,0 +) +*28 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 543,0 +) +*29 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 545,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 627,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 592,0 +) +*52 (LogGeneric +generic (GiElement +name "spadAddressBitNb" +type "natural" +value "4" +) +uid 594,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 133,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 593,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 595,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 136,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 137,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 138,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 139,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 140,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 141,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad" +) +(vvPair +variable "date" +value "05.03.2015" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "05" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "scratchpad" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../PicoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/SEm/NanoBlaze/work" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "scratchpad" +) +(vvPair +variable "month" +value "mars" +) +(vvPair +variable "month_long" +value "mars" +) +(vvPair +variable "p" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\SEm_labs\\..\\Libs\\NanoBlaze\\hds\\scratchpad\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds-beamer" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:23:16" +) +(vvPair +variable "unit" +value "scratchpad" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2015" +) +(vvPair +variable "yy" +value "15" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,32625,38000,33375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "39000,32500,41100,33500" +st "clock" +blo "39000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8800,16500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,34625,38000,35375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "39000,34500,41100,35500" +st "reset" +blo "39000,35300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,10400,16500,11200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*70 (CptPort +uid 525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57625,24250,58375,25000" +) +tg (CPTG +uid 527,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 528,0 +va (VaSet +) +xt "57000,26000,60000,27000" +st "dataOut" +blo "57000,26800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 529,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,28000,12800" +st "dataOut : OUT signed ( registerBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 6 +suid 20,0 +) +) +) +*71 (CptPort +uid 530,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 531,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53625,24250,54375,25000" +) +tg (CPTG +uid 532,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 533,0 +va (VaSet +) +xt "53000,26000,55400,27000" +st "dataIn" +blo "53000,26800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 534,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,9600,29000,10400" +st "dataIn : IN signed ( registerBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "( registerBitNb-1 DOWNTO 0 )" +o 5 +suid 21,0 +) +) +) +*72 (CptPort +uid 535,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 675,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,28625,62750,29375" +) +tg (CPTG +uid 537,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 538,0 +va (VaSet +) +xt "59100,28500,61000,29500" +st "addr" +ju 2 +blo "61000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 539,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,8000,31500,8800" +st "addr : IN unsigned ( spadAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "unsigned" +b "( spadAddressBitNb-1 DOWNTO 0 )" +o 4 +suid 22,0 +) +) +) +*73 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,28625,38000,29375" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 624,0 +va (VaSet +) +xt "39000,28500,41000,29500" +st "write" +blo "39000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 625,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,11200,16500,12000" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 3 +suid 23,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,25000,62000,37000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "38600,37000,43000,38000" +st "NanoBlaze" +blo "38600,37800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "38600,38000,43500,39000" +st "scratchpad" +blo "38600,38800" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "38000,40200,54000,43400" +st "Generic Declarations + +registerBitNb positive 8 +spadAddressBitNb natural 4 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +(GiElement +name "spadAddressBitNb" +type "natural" +value "4" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,65000,75000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,65000,66900,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,61000,79000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,61000,78200,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,63000,75000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,63000,68200,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,63000,58000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,63000,56300,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "75000,62000,95000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "75200,62200,84400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "79000,61000,95000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "79200,61000,80800,62000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,61000,75000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "61150,61500,67850,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,64000,58000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,64000,56300,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,65000,58000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,65000,56900,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "58000,64000,75000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "58200,64000,69500,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "54000,61000,95000,66000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,9300,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,43,1284,900" +viewArea "-3400,-1400,97775,66525" +cachedDiagramExtent "-2000,0,95000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2000,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "-2000,12800,400,13800" +st "User:" +blo "-2000,13600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,13800,0,13800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 767,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/NanoBlaze_test/hdl/nanoBlaze_tester_test.vhd b/Libs/NanoBlaze_test/hdl/nanoBlaze_tester_test.vhd new file mode 100644 index 0000000..bf7109c --- /dev/null +++ b/Libs/NanoBlaze_test/hdl/nanoBlaze_tester_test.vhd @@ -0,0 +1,59 @@ +ARCHITECTURE test OF nanoBlaze_tester IS + + constant clockFrequency: real := 100.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal dataReg: std_ulogic_vector(dataOut'range); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9.0/10.0; + + ------------------------------------------------------------------------------ + -- enable + en <= '1'; + + ------------------------------------------------------------------------------ + -- data + storeData: process(clock_int) + begin + if rising_edge(clock_int) then + if writeStrobe = '1' then + dataReg <= dataOut; + end if; + end if; + end process storeData; + + dataIn <= not dataReg; + + ------------------------------------------------------------------------------ + -- error checking + checkBus: process(clock_int) + begin + if rising_edge(clock_int) then + if writeStrobe = '1' then + if (dataAddress = 0) and (unsigned(dataOut) = 0) then + assert false + report "Testbench reports error (output value 0 at address 0)" + severity failure; + end if; + if (dataAddress = 0) and (unsigned(dataOut) = 1) then + assert false + report + cr & cr & + "--------------------------------------------------------------------------------" & cr & + "Testbench reports successful end of simulation (output value 1 at address 0)" & cr & + "--------------------------------------------------------------------------------" & cr & + cr + severity failure; + end if; + end if; + end if; + end process checkBus; + +END ARCHITECTURE test; diff --git a/Libs/NanoBlaze_test/hds/.hdlsidedata/_nanoBlaze_tester_test.vhd._fpf b/Libs/NanoBlaze_test/hds/.hdlsidedata/_nanoBlaze_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/NanoBlaze_test/hds/.hdlsidedata/_nanoBlaze_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/NanoBlaze_test/hds/_nanoblaze_tb._epf b/Libs/NanoBlaze_test/hds/_nanoblaze_tb._epf new file mode 100644 index 0000000..fab63fb --- /dev/null +++ b/Libs/NanoBlaze_test/hds/_nanoblaze_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom nano@blaze_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/NanoBlaze_test/hds/_nanoblaze_tester._epf b/Libs/NanoBlaze_test/hds/_nanoblaze_tester._epf new file mode 100644 index 0000000..c0b8b2d --- /dev/null +++ b/Libs/NanoBlaze_test/hds/_nanoblaze_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom nanoBlaze_tester_test.vhd diff --git a/Libs/NanoBlaze_test/hds/hds/_cordic_tb._epf b/Libs/NanoBlaze_test/hds/hds/_cordic_tb._epf new file mode 100644 index 0000000..21b4705 --- /dev/null +++ b/Libs/NanoBlaze_test/hds/hds/_cordic_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cordic_tb/struct.bd diff --git a/Libs/NanoBlaze_test/hds/hds/_cordic_tester._epf b/Libs/NanoBlaze_test/hds/hds/_cordic_tester._epf new file mode 100644 index 0000000..571444e --- /dev/null +++ b/Libs/NanoBlaze_test/hds/hds/_cordic_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom cordic_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/NanoBlaze_test/hds/hds/_motherboard_tb._epf b/Libs/NanoBlaze_test/hds/hds/_motherboard_tb._epf new file mode 100644 index 0000000..b97c86e --- /dev/null +++ b/Libs/NanoBlaze_test/hds/hds/_motherboard_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom motherboard_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/NanoBlaze_test/hds/hds/_motherboard_tester._epf b/Libs/NanoBlaze_test/hds/hds/_motherboard_tester._epf new file mode 100644 index 0000000..7fb5b51 --- /dev/null +++ b/Libs/NanoBlaze_test/hds/hds/_motherboard_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom motherboard_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/NanoBlaze_test/hds/nano@blaze_tb/struct.bd b/Libs/NanoBlaze_test/hds/nano@blaze_tb/struct.bd new file mode 100644 index 0000000..e53ee7e --- /dev/null +++ b/Libs/NanoBlaze_test/hds/nano@blaze_tb/struct.bd @@ -0,0 +1,3457 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "NanoBlaze_test" +duName "nanoBlaze_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "NanoBlaze" +duName "nanoBlaze" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "positive" +value "scratchpadAddressBitNb" +) +] +mwi 0 +uid 12041,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoBlaze_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoBlaze_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:38:51" +) +(vvPair +variable "unit" +value "nanoBlaze_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "119000,85000,138000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "119200,85400,134600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,85000,113000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "94250,85250,105750,86750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,91000,113000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,91400,110600,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,85000,119000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,85400,117900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,87000,113000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,87400,107400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,87000,92000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,87400,90600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,89000,92000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,89400,90600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "113000,87000,138000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "113200,87200,127300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "92000,89000,113000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "92200,89400,112700,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "87000,91000,92000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "87200,91400,91500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "87000,85000,138000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "58000,63000,114000,71000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "58600,70900,70800,72300" +st "NanoBlaze_test" +blo "58600,72100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "58600,72300,72000,73700" +st "nanoBlaze_tester" +blo "58600,73500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "58600,73700,62300,75100" +st "I_tb" +blo "58600,74900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "Courier New,9,0" +) +xt "58000,75600,82500,78000" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 11079,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 87,0 +) +declText (MLText +uid 11080,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 11087,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 88,0 +) +declText (MLText +uid 11088,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 11095,0 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 89,0 +) +declText (MLText +uid 11096,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL en : std_ulogic" +) +) +*19 (Net +uid 11103,0 +decl (Decl +n "intAck" +t "std_ulogic" +o 7 +suid 90,0 +) +declText (MLText +uid 11104,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL intAck : std_ulogic" +) +) +*20 (Net +uid 11111,0 +decl (Decl +n "int" +t "std_uLogic" +o 6 +suid 91,0 +) +declText (MLText +uid 11112,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL int : std_uLogic" +) +) +*21 (Net +uid 11119,0 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 92,0 +) +declText (MLText +uid 11120,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL writeStrobe : std_uLogic" +) +) +*22 (Net +uid 11127,0 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 8 +suid 93,0 +) +declText (MLText +uid 11128,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,0,8200" +st "SIGNAL readStrobe : std_uLogic" +) +) +*23 (Net +uid 11135,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 94,0 +) +declText (MLText +uid 11136,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,15000,8200" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 11143,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 95,0 +) +declText (MLText +uid 11144,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,15000,8200" +st "SIGNAL dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 11151,0 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 2 +suid 96,0 +) +declText (MLText +uid 11152,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-17000,7400,13000,8200" +st "SIGNAL dataAddress : unsigned( addressBitNb-1 DOWNTO 0 )" +) +) +*26 (SaComponent +uid 12041,0 +optionalChildren [ +*27 (CptPort +uid 12001,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12002,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,49625,78000,50375" +) +tg (CPTG +uid 12003,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12004,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,49300,82800,50700" +st "clock" +blo "79000,50500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*28 (CptPort +uid 12005,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12006,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,51625,78000,52375" +) +tg (CPTG +uid 12007,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12008,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,51300,83100,52700" +st "reset" +blo "79000,52500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*29 (CptPort +uid 12009,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12010,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,47625,94750,48375" +) +tg (CPTG +uid 12011,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12012,0 +va (VaSet +font "Verdana,12,0" +) +xt "84300,47300,93000,48700" +st "readStrobe" +ju 2 +blo "93000,48500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 9 +suid 2024,0 +) +) +) +*30 (CptPort +uid 12013,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12014,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,49625,94750,50375" +) +tg (CPTG +uid 12015,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12016,0 +va (VaSet +font "Verdana,12,0" +) +xt "84000,49300,93000,50700" +st "writeStrobe" +ju 2 +blo "93000,50500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 2026,0 +) +) +) +*31 (CptPort +uid 12017,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12018,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,47625,78000,48375" +) +tg (CPTG +uid 12019,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12020,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,47300,81400,48700" +st "en" +blo "79000,48500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2027,0 +) +) +) +*32 (CptPort +uid 12021,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12022,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,43625,78000,44375" +) +tg (CPTG +uid 12023,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12024,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,43300,83500,44700" +st "intAck" +blo "79000,44500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "intAck" +t "std_ulogic" +o 8 +suid 2042,0 +) +) +) +*33 (CptPort +uid 12025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,41625,78000,42375" +) +tg (CPTG +uid 12027,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12028,0 +va (VaSet +font "Verdana,12,0" +) +xt "79000,41300,81400,42700" +st "int" +blo "79000,42500" +) +) +thePort (LogicalPort +decl (Decl +n "int" +t "std_uLogic" +o 4 +suid 2028,0 +) +) +) +*34 (CptPort +uid 12029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,41625,94750,42375" +) +tg (CPTG +uid 12031,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12032,0 +va (VaSet +font "Verdana,12,0" +) +xt "83400,41300,93000,42700" +st "dataAddress" +ju 2 +blo "93000,42500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 6 +suid 2039,0 +) +) +) +*35 (CptPort +uid 12033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,43625,94750,44375" +) +tg (CPTG +uid 12035,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12036,0 +va (VaSet +font "Verdana,12,0" +) +xt "87000,43300,93000,44700" +st "dataOut" +ju 2 +blo "93000,44500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 7 +suid 2040,0 +) +) +) +*36 (CptPort +uid 12037,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12038,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94000,45625,94750,46375" +) +tg (CPTG +uid 12039,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12040,0 +va (VaSet +font "Verdana,12,0" +) +xt "88000,45300,93000,46700" +st "dataIn" +ju 2 +blo "93000,46500" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 2 +suid 2050,0 +) +) +) +] +shape (Rectangle +uid 12042,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,38000,94000,54000" +) +oxt "47000,16000,63000,32000" +ttg (MlTextGroup +uid 12043,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 12044,0 +va (VaSet +) +xt "78100,53700,82200,54700" +st "NanoBlaze" +blo "78100,54500" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 12045,0 +va (VaSet +) +xt "78100,54700,82000,55700" +st "nanoBlaze" +blo "78100,55500" +tm "CptNameMgr" +) +*39 (Text +uid 12046,0 +va (VaSet +) +xt "78100,55700,80800,56700" +st "I_DUT" +blo "78100,56500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12047,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12048,0 +text (MLText +uid 12049,0 +va (VaSet +font "Courier New,10,0" +) +xt "78000,56400,119400,63600" +st "addressBitNb = addressBitNb ( positive ) +registerBitNb = dataBitNb ( positive ) +programCounterBitNb = programCounterBitNb ( positive ) +stackPointerBitNb = stackPointerBitNb ( positive ) +registerAddressBitNb = registerAddressBitNb ( positive ) +scratchpadAddressBitNb = scratchpadAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "registerBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "programCounterBitNb" +type "positive" +value "programCounterBitNb" +) +(GiElement +name "stackPointerBitNb" +type "positive" +value "stackPointerBitNb" +) +(GiElement +name "registerAddressBitNb" +type "positive" +value "registerAddressBitNb" +) +(GiElement +name "scratchpadAddressBitNb" +type "positive" +value "scratchpadAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*40 (Wire +uid 11081,0 +shape (OrthoPolyLine +uid 11082,0 +va (VaSet +vasetType 3 +) +xt "76000,52000,77250,63000" +pts [ +"77250,52000" +"76000,52000" +"76000,63000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11085,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11086,0 +va (VaSet +font "Verdana,12,0" +) +xt "72250,50600,76350,52000" +st "reset" +blo "72250,51800" +tm "WireNameMgr" +) +) +on &16 +) +*41 (Wire +uid 11089,0 +shape (OrthoPolyLine +uid 11090,0 +va (VaSet +vasetType 3 +) +xt "74000,50000,77250,63000" +pts [ +"77250,50000" +"74000,50000" +"74000,63000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11093,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11094,0 +va (VaSet +font "Verdana,12,0" +) +xt "72250,48600,76050,50000" +st "clock" +blo "72250,49800" +tm "WireNameMgr" +) +) +on &17 +) +*42 (Wire +uid 11097,0 +shape (OrthoPolyLine +uid 11098,0 +va (VaSet +vasetType 3 +) +xt "72000,48000,77250,63000" +pts [ +"77250,48000" +"72000,48000" +"72000,63000" +] +) +start &31 +end &12 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11101,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11102,0 +va (VaSet +font "Verdana,12,0" +) +xt "74250,46600,76650,48000" +st "en" +blo "74250,47800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 11105,0 +shape (OrthoPolyLine +uid 11106,0 +va (VaSet +vasetType 3 +) +xt "68000,44000,77250,63000" +pts [ +"77250,44000" +"68000,44000" +"68000,63000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11109,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11110,0 +va (VaSet +font "Verdana,12,0" +) +xt "71250,42600,75750,44000" +st "intAck" +blo "71250,43800" +tm "WireNameMgr" +) +) +on &19 +) +*44 (Wire +uid 11113,0 +shape (OrthoPolyLine +uid 11114,0 +va (VaSet +vasetType 3 +) +xt "66000,42000,77250,63000" +pts [ +"77250,42000" +"66000,42000" +"66000,63000" +] +) +start &33 +end &12 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11118,0 +va (VaSet +font "Verdana,12,0" +) +xt "74250,40600,76650,42000" +st "int" +blo "74250,41800" +tm "WireNameMgr" +) +) +on &20 +) +*45 (Wire +uid 11121,0 +shape (OrthoPolyLine +uid 11122,0 +va (VaSet +vasetType 3 +) +xt "94750,50000,98000,63000" +pts [ +"94750,50000" +"98000,50000" +"98000,63000" +] +) +start &30 +end &12 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11125,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11126,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,48600,105750,50000" +st "writeStrobe" +blo "96750,49800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 11129,0 +shape (OrthoPolyLine +uid 11130,0 +va (VaSet +vasetType 3 +) +xt "94750,48000,100000,63000" +pts [ +"94750,48000" +"100000,48000" +"100000,63000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11133,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11134,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,46600,105450,48000" +st "readStrobe" +blo "96750,47800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 11137,0 +shape (OrthoPolyLine +uid 11138,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,46000,102000,63000" +pts [ +"94750,46000" +"102000,46000" +"102000,63000" +] +) +start &36 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11141,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11142,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,44600,101750,46000" +st "dataIn" +blo "96750,45800" +tm "WireNameMgr" +) +) +on &23 +) +*48 (Wire +uid 11145,0 +shape (OrthoPolyLine +uid 11146,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,44000,104000,63000" +pts [ +"94750,44000" +"104000,44000" +"104000,63000" +] +) +start &35 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11149,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11150,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,42600,102750,44000" +st "dataOut" +blo "96750,43800" +tm "WireNameMgr" +) +) +on &24 +) +*49 (Wire +uid 11153,0 +shape (OrthoPolyLine +uid 11154,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "94750,42000,106000,63000" +pts [ +"94750,42000" +"106000,42000" +"106000,63000" +] +) +start &34 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 11157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11158,0 +va (VaSet +font "Verdana,12,0" +) +xt "96750,40600,106350,42000" +st "dataAddress" +blo "96750,41800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *50 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,19600,38500,21000" +st "Package List" +blo "29000,20800" +) +*52 (MLText +uid 1298,0 +va (VaSet +) +xt "29000,21000,46500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*54 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*55 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*57 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*59 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "139,43,1424,898" +viewArea "27413,18070,140243,96501" +cachedDiagramExtent "-17000,0,138000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "29000,19000" +lastUid 12178,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*64 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*65 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*70 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*71 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*73 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*74 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*78 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*80 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "29000,26800,37600,28000" +st "Declarations" +blo "29000,27800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "29000,28000,33200,29200" +st "Ports:" +blo "29000,29000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "29000,28000,35000,29200" +st "Pre User:" +blo "29000,29000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "31000,29200,61600,37600" +st "constant addressBitNb: positive := 8; +constant dataBitNb: positive := 8; +constant programCounterBitNb: positive := 10; +constant stackPointerBitNb: positive := 5; +constant registerAddressBitNb: positive := 4; +constant portAddressBitNb: positive := 8; +constant scratchpadAddressBitNb: positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "29000,28000,40000,29200" +st "Diagram Signals:" +blo "29000,29000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "29000,28000,36300,29200" +st "Post User:" +blo "29000,29000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "31000,42400,31000,42400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 96,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 87,0 +) +) +uid 11159,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 88,0 +) +) +uid 11161,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 89,0 +) +) +uid 11163,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "intAck" +t "std_ulogic" +o 7 +suid 90,0 +) +) +uid 11165,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "int" +t "std_uLogic" +o 6 +suid 91,0 +) +) +uid 11167,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 92,0 +) +) +uid 11169,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readStrobe" +t "std_uLogic" +o 8 +suid 93,0 +) +) +uid 11171,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 94,0 +) +) +uid 11173,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 95,0 +) +) +uid 11175,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 2 +suid 96,0 +) +) +uid 11177,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 3326,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 3327,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 11160,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 11162,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 11164,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 11166,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 11168,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 11170,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 11172,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 11174,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 11176,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 11178,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 3330,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 3331,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 3332,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 3333,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 3334,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 3335,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 3336,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 3354,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 3355,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 3358,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 3359,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 3360,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 3361,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 3362,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 3363,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/NanoBlaze_test/hds/nano@blaze_tb/symbol.sb b/Libs/NanoBlaze_test/hds/nano@blaze_tb/symbol.sb new file mode 100644 index 0000000..d19e6e0 --- /dev/null +++ b/Libs/NanoBlaze_test/hds/nano@blaze_tb/symbol.sb @@ -0,0 +1,1258 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nanoBlaze_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoBlaze_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:38:50" +) +(vvPair +variable "unit" +value "nanoBlaze_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,39250,23100" +st "NanoBlaze_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,38050,24300" +st "nanoBlaze_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,40800,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,44700,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/NanoBlaze_test/hds/nano@blaze_tester/interface b/Libs/NanoBlaze_test/hds/nano@blaze_tester/interface new file mode 100644 index 0000000..024798c --- /dev/null +++ b/Libs/NanoBlaze_test/hds/nano@blaze_tester/interface @@ -0,0 +1,1980 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 123,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 21,0 +) +) +uid 394,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 2 +suid 22,0 +) +) +uid 396,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 23,0 +) +) +uid 398,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 400,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 25,0 +) +) +uid 402,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "int" +t "std_uLogic" +o 6 +suid 26,0 +) +) +uid 404,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "intAck" +t "std_ulogic" +o 7 +suid 27,0 +) +) +uid 406,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "readStrobe" +t "std_uLogic" +o 8 +suid 28,0 +) +) +uid 408,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 29,0 +) +) +uid 410,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 30,0 +) +) +uid 412,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 138,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 139,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 140,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 395,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 397,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 399,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 401,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 403,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 405,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 407,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 409,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 411,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 413,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 143,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 144,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 145,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 146,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 148,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 149,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 150,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 122,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 201,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 203,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 166,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 167,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 168,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 202,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 204,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 171,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 172,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 173,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 174,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 175,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 176,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 177,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "asm_file" +value "nanoTest.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "nanoBlaze_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:38:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "NanoBlaze_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/NanoBlaze_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "nanoBlaze_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nano@blaze_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\NanoBlaze_test\\hds\\nanoBlaze_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:38:51" +) +(vvPair +variable "unit" +value "nanoBlaze_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 121,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 344,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 345,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 346,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 347,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 348,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,62500,6800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 21,0 +) +) +) +*77 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 352,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,16600" +st "dataAddress" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,75500,2800" +st "dataAddress : IN unsigned ( addressBitNb-1 DOWNTO 0 ) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataAddress" +t "unsigned" +b "( addressBitNb-1 DOWNTO 0 )" +o 2 +suid 22,0 +) +) +) +*78 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 355,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 357,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,12000" +st "dataIn" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,77500,7600" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 23,0 +) +) +) +*79 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 362,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13000" +st "dataOut" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,77500,3600" +st "dataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*80 (CptPort +uid 364,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 365,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 366,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 367,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,9400" +st "en" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 368,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,62500,8400" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 25,0 +) +) +) +*81 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 372,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,9400" +st "int" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 373,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,62500,9200" +st "int : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "int" +t "std_uLogic" +o 6 +suid 26,0 +) +) +) +*82 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 377,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,11500" +st "intAck" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,62500,4400" +st "intAck : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "intAck" +t "std_ulogic" +o 7 +suid 27,0 +) +) +) +*83 (CptPort +uid 379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 380,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 381,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 382,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,15700" +st "readStrobe" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 383,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,62500,5200" +st "readStrobe : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "readStrobe" +t "std_uLogic" +o 8 +suid 28,0 +) +) +) +*84 (CptPort +uid 384,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 385,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 386,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 387,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 388,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,61500,10000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 29,0 +) +) +) +*85 (CptPort +uid 389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 390,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 391,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 392,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,16000" +st "writeStrobe" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 393,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,62500,6000" +st "writeStrobe : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "writeStrobe" +t "std_uLogic" +o 10 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "39400,9000,46000,10000" +st "NanoBlaze_test" +blo "39400,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "39400,10000,46600,11000" +st "nanoBlaze_tester" +blo "39400,10800" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "19000,6000,33000,9200" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "58,57,1074,737" +viewArea "-500,-500,85450,47920" +cachedDiagramExtent "0,0,77500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NanoBlaze_test" +entityName "nanoBlaze_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "In0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1750" +st "Buffer0" +blo "0,1550" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,10000,44400,11000" +st "User:" +blo "42000,10800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11000,44000,11000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 413,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/NanoBlaze_test/sim/nanoBlaze.do b/Libs/NanoBlaze_test/sim/nanoBlaze.do new file mode 100644 index 0000000..1044918 --- /dev/null +++ b/Libs/NanoBlaze_test/sim/nanoBlaze.do @@ -0,0 +1,74 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /nanoblaze_tb/reset +add wave -noupdate /nanoblaze_tb/clock +add wave -noupdate /nanoblaze_tb/en +add wave -noupdate -divider Program +add wave -noupdate -format Analog-Step -height 30 -max 100.0 -radix hexadecimal /nanoblaze_tb/i_dut/programcounter +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/programcounter +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/instruction +add wave -noupdate /nanoblaze_tb/i_dut/i_up/instrstring +add wave -noupdate -divider Controller +add wave -noupdate /nanoblaze_tb/i_dut/i_up/opcode +add wave -noupdate /nanoblaze_tb/i_dut/i_up/tworeginstr +add wave -noupdate /nanoblaze_tb/i_dut/i_up/instrdatasel +add wave -noupdate /nanoblaze_tb/i_dut/i_up/registerfilesel +add wave -noupdate /nanoblaze_tb/i_dut/i_up/portinsel +add wave -noupdate /nanoblaze_tb/i_dut/i_up/scratchpadsel +add wave -noupdate /nanoblaze_tb/i_dut/i_up/regwrite +add wave -noupdate /nanoblaze_tb/i_dut/i_up/scratchpadwrite +add wave -noupdate -divider ALU +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/instrdata +add wave -noupdate /nanoblaze_tb/i_dut/i_up/alucode +add wave -noupdate -radix unsigned /nanoblaze_tb/i_dut/i_up/addra +add wave -noupdate -radix unsigned /nanoblaze_tb/i_dut/i_up/addrb +add wave -noupdate /nanoblaze_tb/i_dut/i_up/cin +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/i_alu/opa +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/i_alu/opb +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/i_alu/aluout +add wave -noupdate /nanoblaze_tb/i_dut/i_up/cout +add wave -noupdate /nanoblaze_tb/i_dut/i_up/zero +add wave -noupdate -divider {Register file} +add wave -noupdate -radix hexadecimal -subitemconfig {/nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(0) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(1) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(2) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(3) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(4) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(5) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(6) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(7) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(8) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(9) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(10) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(11) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(12) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(13) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(14) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray(15) {-radix hexadecimal}} /nanoblaze_tb/i_dut/i_up/i_alu/i_regs/registerarray +add wave -noupdate -divider I/O +add wave -noupdate -radix hexadecimal -subitemconfig {/nanoblaze_tb/dataaddress(7) {-radix hexadecimal} /nanoblaze_tb/dataaddress(6) {-radix hexadecimal} /nanoblaze_tb/dataaddress(5) {-radix hexadecimal} /nanoblaze_tb/dataaddress(4) {-radix hexadecimal} /nanoblaze_tb/dataaddress(3) {-radix hexadecimal} /nanoblaze_tb/dataaddress(2) {-radix hexadecimal} /nanoblaze_tb/dataaddress(1) {-radix hexadecimal} /nanoblaze_tb/dataaddress(0) {-radix hexadecimal}} /nanoblaze_tb/dataaddress +add wave -noupdate /nanoblaze_tb/readstrobe +add wave -noupdate /nanoblaze_tb/writestrobe +add wave -noupdate -radix hexadecimal /nanoblaze_tb/datain +add wave -noupdate -radix hexadecimal /nanoblaze_tb/dataout +add wave -noupdate -divider Scratchpad +add wave -noupdate -radix hexadecimal -subitemconfig {/nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(0) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(1) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(2) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(3) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(4) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(5) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(6) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(7) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(8) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(9) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(10) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(11) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(12) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(13) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(14) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray(15) {-radix hexadecimal}} /nanoblaze_tb/i_dut/i_up/g_scratchpad/i_spad/memoryarray +add wave -noupdate -divider Branch +add wave -noupdate /nanoblaze_tb/i_dut/i_up/branchcond +add wave -noupdate /nanoblaze_tb/i_dut/i_up/incpc +add wave -noupdate /nanoblaze_tb/i_dut/i_up/loadinstraddress +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/instraddress +add wave -noupdate /nanoblaze_tb/i_dut/i_up/loadstoredpc +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/storedprogcounter +add wave -noupdate /nanoblaze_tb/i_dut/i_up/progcounter +add wave -noupdate -divider Stack +add wave -noupdate /nanoblaze_tb/i_dut/i_up/storepc +add wave -noupdate /nanoblaze_tb/i_dut/i_up/prevpc +add wave -noupdate /nanoblaze_tb/i_dut/i_up/progcounter +add wave -noupdate -radix hexadecimal -subitemconfig {/nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(0) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(1) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(2) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(3) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(4) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(5) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(6) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(7) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(8) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(9) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(10) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(11) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(12) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(13) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(14) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(15) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(16) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(17) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(18) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(19) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(20) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(21) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(22) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(23) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(24) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(25) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(26) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(27) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(28) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(29) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(30) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(31) {-radix hexadecimal} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray(32) {-radix hexadecimal}} /nanoblaze_tb/i_dut/i_up/i_br/progcounterarray +add wave -noupdate -radix hexadecimal /nanoblaze_tb/i_dut/i_up/storedprogcounter +add wave -noupdate -divider Interrupts +add wave -noupdate /nanoblaze_tb/int +add wave -noupdate /nanoblaze_tb/intack +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {923600 ps} 0} +configure wave -namecolwidth 260 +configure wave -valuecolwidth 73 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {1050 ns} diff --git a/Libs/RS232/hdl/cmdRs232Mux_RTL.vhd b/Libs/RS232/hdl/cmdRs232Mux_RTL.vhd new file mode 100644 index 0000000..b29ab7b --- /dev/null +++ b/Libs/RS232/hdl/cmdRs232Mux_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF rs232Mux IS + + signal passThrough: std_ulogic; + +BEGIN + + passThrough <= not selOther; + + multiplexer: process(passThrough, txData, txFullF, TxWr, otherData, otherWr) + begin + if passThrough = '1' then + txDataF <= txData; + txWrF <= TxWr; + txFull <= txFullF; + otherFull <= '1'; + else + txDataF <= otherData; + txWrF <= otherWr; + otherFull <= txFullF; + txFull <= '1'; + end if; + end process multiplexer; + +END ARCHITECTURE RTL; diff --git a/Libs/RS232/hdl/serialPortReceiver_rtl.vhd b/Libs/RS232/hdl/serialPortReceiver_rtl.vhd new file mode 100644 index 0000000..2ea6a27 --- /dev/null +++ b/Libs/RS232/hdl/serialPortReceiver_rtl.vhd @@ -0,0 +1,151 @@ +--===========================================================================-- +-- Design units : CoCa.serialPortReceiver.rtl +-- +-- File name : serialPortReceiver_rtl.vhd +-- +-- Purpose : Decode data from UART into words +-- +-- Input : serial line data +-- +-- Output : +-- dataOut : word of data +-- dataValid : active when a new word of data is available +-- +-- +-- Limitations : +-- +-- +-- +-- Errors: : None known +-- +-- Library : Common +-- +-- Dependencies : None +-- +-- Author : +-- Haute école d'ingénierie (HEI/HES-SO) +-- Institut systèmes industriels (ISI) +-- Rue de l'industrie 23 +-- 1950 Sion +-- Switzerland (CH) +-- +-- Simulator : Mentor ModelSim V10.7c +------------------------------------------------ +-- Revision list +-- Version Author Date Changes +-- +-- V1.0 04.04.2022 - First version +--===========================================================================-- + +library Common; + use Common.CommonLib.all; + +architecture RTL of serialPortReceiver is + + signal dividerCounter: unsigned(requiredBitNb(baudRateDivide-1)-1 downto 0); + signal dividerCounterReset: std_uLogic; + signal rxDelayed: std_uLogic; + signal dividerCounterSynchronize: std_uLogic; + signal rxSample: std_uLogic; + signal rxShiftReg: std_ulogic_vector(dataBitNb-1 downto 0); + signal rxReceiving: std_uLogic; + signal rxDataValid: std_uLogic; + signal rxCounter: unsigned(requiredBitNb(dataBitNb)-1 downto 0); + +begin + + divide: process(reset, clock) + begin + if reset = '1' then + dividerCounter <= (others => '0'); + elsif rising_edge(clock) then + if dividerCounterSynchronize = '1' then + dividerCounter <= to_unsigned(baudRateDivide/2, dividerCounter'length); + elsif dividerCounterReset = '1' then + dividerCounter <= (others => '0'); + else + dividerCounter <= dividerCounter + 1; + end if; + end if; + end process divide; + + endOfCount: process(dividerCounter) + begin + if dividerCounter = baudRateDivide-1 then + dividerCounterReset <= '1'; + else + dividerCounterReset <= '0'; + end if; + end process endOfCount; + + delayRx: process(reset, clock) + begin + if reset = '1' then + rxDelayed <= '0'; + elsif rising_edge(clock) then + rxDelayed <= RxD; + end if; + end process delayRx; + + rxSynchronize: process(RxD, rxDelayed) + begin + if RxD /= rxDelayed then + dividerCounterSynchronize <= '1'; + else + dividerCounterSynchronize <= '0'; + end if; + end process rxSynchronize; + + rxSample <= dividerCounterReset and not dividerCounterSynchronize; + + shiftReg: process(reset, clock) + begin + if reset = '1' then + rxShiftReg <= (others => '0'); + elsif rising_edge(clock) then + if rxSample = '1' then + rxShiftReg(rxShiftReg'high-1 downto 0) <= rxShiftReg(rxShiftReg'high downto 1); + rxShiftReg(rxShiftReg'high) <= RxD; + end if; + end if; + end process shiftReg; + + detectReceive: process(reset, clock) + begin + if reset = '1' then + rxReceiving <= '0'; + rxDataValid <= '0'; + elsif rising_edge(clock) then + if rxSample = '1' then + if rxCounter = dataBitNb-1 then + rxDataValid <= '1'; + elsif RxD = '0' then + rxReceiving <= '1'; + end if; + elsif rxDataValid = '1' then + rxReceiving <= '0'; + rxDataValid <= '0'; + end if; + end if; + end process detectReceive; + + countRxBitNb: process(reset, clock) + begin + if reset = '1' then + rxCounter <= (others => '0'); + elsif rising_edge(clock) then + if rxSample = '1' then + if rxReceiving = '1' then + rxCounter <= rxCounter + 1; + else + rxCounter <= (others => '0'); + end if; + end if; + end if; + end process countRxBitNb; + + dataOut <= rxShiftReg; + dataValid <= rxDataValid; + +end RTL; + diff --git a/Libs/RS232/hdl/serialPortTransmitter_rtl.vhd b/Libs/RS232/hdl/serialPortTransmitter_rtl.vhd new file mode 100644 index 0000000..b85cd6f --- /dev/null +++ b/Libs/RS232/hdl/serialPortTransmitter_rtl.vhd @@ -0,0 +1,127 @@ +--===========================================================================-- +-- Design units : CoCa.serialPortTransmitter.rtl +-- +-- File name : serialPortTransmitter.vhd +-- +-- Purpose : Transmit a 8 bit data word over a serial line +-- add start and stop bits +-- +-- Parameters : dataBitNb : number of data bits +-- stopBitNb : number of stop bits +-- +-- +-- +-- Errors: : None known +-- +-- Library : Common +-- +-- Dependencies : None +-- +-- Author : +-- Haute ecole d'ingenierie (HEI/HES-SO) +-- Institut systemes industriels (ISI) +-- Rue de l'industrie 23 +-- 1950 Sion +-- Switzerland (CH) +-- +-- Simulator : Mentor ModelSim V10.7c +------------------------------------------------ +-- Revision list +-- Version Author Date Changes +-- +-- V1.0 04.04.2022 - First version +--===========================================================================-- + +library Common; + use Common.CommonLib.all; + +architecture RTL of serialPortTransmitter is + + signal dividerCounter: unsigned(requiredBitNb(baudRateDivide)-1 downto 0); + signal dividerCounterReset: std_uLogic; + signal txData: unsigned(dataBitNb-1 downto 0); + signal send1: std_uLogic; + signal txShiftEnable: std_uLogic; + signal txShiftReg: unsigned(dataBitNb+stopBitNb downto 0); + signal txSendingByte: std_uLogic; + signal txSendingByteAndStop: std_uLogic; + +begin + + divide: process(reset, clock) + begin + if reset = '1' then + dividerCounter <= (others => '0'); + elsif rising_edge(clock) then + if dividerCounterReset = '1' then + dividerCounter <= to_unsigned(1, dividerCounter'length); + else + dividerCounter <= dividerCounter + 1; + end if; + end if; + end process divide; + + endOfCount: process(dividerCounter, send1) + begin + if dividerCounter = baudRateDivide then + dividerCounterReset <= '1'; + elsif send1 = '1' then + dividerCounterReset <= '1'; + else + dividerCounterReset <= '0'; + end if; + end process endOfCount; + + txShiftEnable <= dividerCounterReset; + + storeData: process(reset, clock) + begin + if reset = '1' then + txData <= (others => '1'); + elsif rising_edge(clock) then + if send = '1' then + txData <= unsigned(dataIn); + end if; + end if; + end process storeData; + + delaySend: process(reset, clock) + begin + if reset = '1' then + send1 <= '0'; + elsif rising_edge(clock) then + send1 <= send; + end if; + end process delaySend; + + shiftReg: process(reset, clock) + begin + if reset = '1' then + txShiftReg <= (others => '1'); + elsif rising_edge(clock) then + if txShiftEnable = '1' then + if send1 = '1' then + txShiftReg <= (others => '1'); -- stop bits + txShiftReg(0) <= '0'; -- start bit + txShiftReg(txData'high+1 downto 1) <= txData; -- data + txShiftReg(txShiftReg'high) <= '0'; -- end flag + else + txShiftReg <= shift_right(txShiftReg, 1); + txShiftReg(txShiftReg'high) <= '1'; + end if; + end if; + end if; + end process shiftReg; + + txSendingByte <= '1' when + (txShiftReg(txShiftReg'high downto 1) /= (txShiftReg'high downto 1 => '1')) + else '0'; + + txSendingByteAndStop <= '1' when + txShiftReg /= (txShiftReg'high downto 0 => '1') + else '0'; + + TxD <= txShiftReg(0) when txSendingByte = '1' else '1'; + busy <= txSendingByteAndStop or send1 or send; + +end RTL; diff --git a/Libs/RS232/hds/.hdlsidedata/_cmdRs232Mux_RTL.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_cmdRs232Mux_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_cmdRs232Mux_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialPortReceiver_rtl.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialPortReceiver_rtl.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialPortReceiver_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialPortTransmitter_rtl.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialPortTransmitter_rtl.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialPortTransmitter_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialportfifo_entity.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialportfifo_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialportfifo_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialportfifo_struct.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialportfifo_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialportfifo_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhg._fpf b/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialportreceiver_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhd._fpf b/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhg._fpf b/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232/hds/.hdlsidedata/_serialporttransmitter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232/hds/_rs232mux._epf b/Libs/RS232/hds/_rs232mux._epf new file mode 100644 index 0000000..798e692 --- /dev/null +++ b/Libs/RS232/hds/_rs232mux._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom cmdRs232Mux_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL +TOP_MARKER atom 1 diff --git a/Libs/RS232/hds/_serialportfifo._epf b/Libs/RS232/hds/_serialportfifo._epf new file mode 100644 index 0000000..313265a --- /dev/null +++ b/Libs/RS232/hds/_serialportfifo._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom serial@port@f@i@f@o/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/RS232/hds/_serialportreceiver._epf b/Libs/RS232/hds/_serialportreceiver._epf new file mode 100755 index 0000000..38845ed --- /dev/null +++ b/Libs/RS232/hds/_serialportreceiver._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom serialPortReceiver_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/RS232/hds/_serialporttransmitter._epf b/Libs/RS232/hds/_serialporttransmitter._epf new file mode 100755 index 0000000..c6d4f61 --- /dev/null +++ b/Libs/RS232/hds/_serialporttransmitter._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom serialPortTransmitter_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/RS232/hds/rs232@mux/symbol.sb b/Libs/RS232/hds/rs232@mux/symbol.sb new file mode 100644 index 0000000..3259f40 --- /dev/null +++ b/Libs/RS232/hds/rs232@mux/symbol.sb @@ -0,0 +1,1920 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "selOther" +t "std_ulogic" +o 18 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 8 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txDataF" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 14 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 15 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "txFullF" +t "std_ulogic" +o 9 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWrF" +t "std_ulogic" +o 16 +suid 7,0 +) +) +uid 98,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "otherData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 238,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "otherWr" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 240,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "otherFull" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 316,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 117,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 89,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 91,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 93,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 95,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 97,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 99,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 239,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 241,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 317,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "rs232DataBitNb" +type "positive" +value "8" +) +uid 180,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*60 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *61 (MRCItem +litem &47 +pos 1 +dimension 20 +) +uid 145,0 +optionalChildren [ +*62 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 146,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 147,0 +) +*64 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*65 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 181,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*66 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 150,0 +) +*67 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 151,0 +) +*68 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 152,0 +) +*69 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 153,0 +) +*70 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 154,0 +) +*71 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 155,0 +) +*72 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232@mux/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232@mux/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232@mux" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232Mux" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "rs232Mux" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "rs232Mux" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232@mux/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/rs232Mux/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:49" +) +(vvPair +variable "unit" +value "rs232Mux" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,24625,41000,25375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42000,24500,45300,25500" +st "selOther" +blo "42000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "0,9600,16500,10500" +st "selOther : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "selOther" +t "std_ulogic" +o 18 +suid 1,0 +) +) +) +*75 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,8625,41000,9375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "42000,8500,44500,9500" +st "txData" +blo "42000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "0,10500,33500,11400" +st "txData : IN std_ulogic_vector (rs232DataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 8 +suid 2,0 +) +) +) +*76 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57000,8625,57750,9375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "53000,8500,56000,9500" +st "txDataF" +ju 2 +blo "56000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "0,14100,33500,15000" +st "txDataF : OUT std_ulogic_vector (rs232DataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txDataF" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 14 +suid 3,0 +) +) +) +*77 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,10625,41000,11375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "42000,10500,44200,11500" +st "txFull" +blo "42000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "0,15000,16500,15900" +st "txFull : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 15 +suid 4,0 +) +) +) +*78 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57000,10625,57750,11375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "53300,10500,56000,11500" +st "txFullF" +ju 2 +blo "56000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "0,11400,16500,12300" +st "txFullF : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFullF" +t "std_ulogic" +o 9 +suid 5,0 +) +) +) +*79 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,12625,41000,13375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "42000,12500,43900,13500" +st "txWr" +blo "42000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "0,12300,16500,13200" +st "txWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 6,0 +) +) +) +*80 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57000,12625,57750,13375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "53600,12500,56000,13500" +st "txWrF" +ju 2 +blo "56000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "0,15900,15500,16800" +st "txWrF : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWrF" +t "std_ulogic" +o 16 +suid 7,0 +) +) +) +*81 (CptPort +uid 228,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 229,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,16625,41000,17375" +) +tg (CPTG +uid 230,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 231,0 +va (VaSet +) +xt "42000,16500,45700,17500" +st "otherData" +blo "42000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "0,7800,33500,8700" +st "otherData : IN std_ulogic_vector (rs232DataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "otherData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +) +*82 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,20625,41000,21375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +) +xt "42000,20500,45100,21500" +st "otherWr" +blo "42000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 237,0 +va (VaSet +font "courier,8,0" +) +xt "0,8700,16500,9600" +st "otherWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "otherWr" +t "std_ulogic" +o 9 +suid 9,0 +) +) +) +*83 (CptPort +uid 311,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 312,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40250,18625,41000,19375" +) +tg (CPTG +uid 313,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 314,0 +va (VaSet +) +xt "42000,18500,45400,19500" +st "otherFull" +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 315,0 +va (VaSet +font "courier,8,0" +) +xt "0,13200,16500,14100" +st "otherFull : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "otherFull" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,5000,57000,29000" +) +oxt "15000,6000,31000,25000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40900,29500,43400,30400" +st "RS232" +blo "40900,30200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40900,30400,44900,31300" +st "rs232Mux" +blo "40900,31100" +) +) +gi *84 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "41000,32600,55000,35300" +st "Generic Declarations + +rs232DataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rs232DataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*85 (Grouping +uid 16,0 +optionalChildren [ +*86 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,47400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *96 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*98 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1367,923" +viewArea "-3100,-1100,72360,51890" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *99 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *100 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,5800,3400,6800" +st "Declarations" +blo "-2000,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,6800,700,7800" +st "Ports:" +blo "-2000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16800,500,17700" +st "User:" +blo "-2000,17500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,5800,3800,6800" +st "Internal User:" +blo "-2000,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,17700,0,17700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,5800,-2000,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 340,0 +activeModelName "Symbol" +) diff --git a/Libs/RS232/hds/serial@port@f@i@f@o/struct.bd b/Libs/RS232/hds/serial@port@f@i@f@o/struct.bd new file mode 100644 index 0000000..fae7621 --- /dev/null +++ b/Libs/RS232/hds/serial@port@f@i@f@o/struct.bd @@ -0,0 +1,5465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_rx" +duLibraryName "RS232" +duName "serialPortReceiver" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +] +mwi 0 +uid 1663,0 +) +(Instance +name "I_tx" +duLibraryName "RS232" +duName "serialPortTransmitter" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +] +mwi 0 +uid 1696,0 +) +(Instance +name "I_rxFifo" +duLibraryName "memory" +duName "FIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "rxFifoDepth" +) +] +mwi 0 +uid 1778,0 +) +(Instance +name "I_txFifo" +duLibraryName "memory" +duName "FIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "txFifoDepth" +) +] +mwi 0 +uid 1819,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serial@port@f@i@f@o\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serial@port@f@i@f@o\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serial@port@f@i@f@o" +) +(vvPair +variable "d_logical" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serialPortFIFO" +) +(vvPair +variable "date" +value "04.04.2023" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "serialPortFIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.04.2023" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "13:25:55" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/RS232/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "04" +) +(vvPair +variable "module_name" +value "serialPortFIFO" +) +(vvPair +variable "month" +value "avr." +) +(vvPair +variable "month_long" +value "avril" +) +(vvPair +variable "p" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serial@port@f@i@f@o\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\did-inverter\\Libs\\RS232\\hds\\serialPortFIFO\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:25:55" +) +(vvPair +variable "unit" +value "serialPortFIFO" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,73000,91000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,73500,74200,73500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "91000,69000,95000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "91200,69500,91200,69500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,71000,91000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,71500,74200,71500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,71000,74000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,71500,70200,71500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "91000,70000,111000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "91200,70200,105300,71400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "95000,69000,111000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "95200,69500,95200,69500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,69000,91000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "75350,69400,85650,70600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,72000,74000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,72500,70200,72500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,73000,74000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "70200,73500,70200,73500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,72000,91000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,72500,74200,72500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "70000,69000,111000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 54,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 55,0 +sl 0 +ro 270 +xt "15000,28625,16500,29375" +) +(Line +uid 56,0 +sl 0 +ro 270 +xt "16500,29000,17000,29000" +pts [ +"16500,29000" +"17000,29000" +] +) +] +) +tg (WTG +uid 57,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 58,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10200,28300,14000,29700" +st "clock" +ju 2 +blo "14000,29500" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 65,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,12300,9700" +st "clock : std_ulogic" +) +) +*14 (PortIoIn +uid 95,0 +shape (CompositeShape +uid 96,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 97,0 +sl 0 +ro 270 +xt "15000,30625,16500,31375" +) +(Line +uid 98,0 +sl 0 +ro 270 +xt "16500,31000,17000,31000" +pts [ +"16500,31000" +"17000,31000" +] +) +] +) +tg (WTG +uid 99,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 100,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "9900,30300,14000,31700" +st "reset" +ju 2 +blo "14000,31500" +tm "WireNameMgr" +) +) +) +*15 (Net +uid 107,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9500,12300,10500" +st "reset : std_ulogic" +) +) +*16 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 110,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 111,0 +sl 0 +ro 270 +xt "15000,24625,16500,25375" +) +(Line +uid 112,0 +sl 0 +ro 270 +xt "16500,25000,17000,25000" +pts [ +"16500,25000" +"17000,25000" +] +) +] +) +tg (WTG +uid 113,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 114,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10800,24300,14000,25700" +st "RxD" +ju 2 +blo "14000,25500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 121,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7100,12600,8100" +st "RxD : std_ulogic" +) +) +*18 (PortIoOut +uid 137,0 +shape (CompositeShape +uid 138,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 139,0 +sl 0 +ro 90 +xt "15000,46625,16500,47375" +) +(Line +uid 140,0 +sl 0 +ro 90 +xt "16500,47000,17000,47000" +pts [ +"17000,47000" +"16500,47000" +] +) +] +) +tg (WTG +uid 141,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 142,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "10900,46300,14000,47700" +st "TxD" +ju 2 +blo "14000,47500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 149,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 7,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7900,12500,8900" +st "TxD : std_ulogic" +) +) +*20 (Net +uid 670,0 +decl (Decl +n "txFifoEmpty" +t "std_ulogic" +o 14 +suid 16,0 +) +declText (MLText +uid 671,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18500,16600,19500" +st "SIGNAL txFifoEmpty : std_ulogic" +) +) +*21 (Net +uid 763,0 +decl (Decl +n "txBusy" +t "std_ulogic" +o 16 +suid 18,0 +) +declText (MLText +uid 764,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20100,15900,21100" +st "SIGNAL txBusy : std_ulogic" +) +) +*22 (Net +uid 773,0 +decl (Decl +n "txSend" +t "std_ulogic" +o 11 +suid 19,0 +) +declText (MLText +uid 774,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16100,16000,17100" +st "SIGNAL txSend : std_ulogic" +) +) +*23 (PortIoOut +uid 1370,0 +shape (CompositeShape +uid 1371,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1372,0 +sl 0 +ro 270 +xt "77500,28625,79000,29375" +) +(Line +uid 1373,0 +sl 0 +ro 270 +xt "77000,29000,77500,29000" +pts [ +"77000,29000" +"77500,29000" +] +) +] +) +tg (WTG +uid 1374,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1375,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,28500,85900,29900" +st "rxEmpty" +blo "80000,29700" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 1382,0 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 5 +suid 20,0 +) +declText (MLText +uid 1383,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,13100,11300" +st "rxEmpty : std_ulogic" +) +) +*25 (PortIoIn +uid 1384,0 +shape (CompositeShape +uid 1385,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1386,0 +sl 0 +ro 90 +xt "77500,26625,79000,27375" +) +(Line +uid 1387,0 +sl 0 +ro 90 +xt "77000,27000,77500,27000" +pts [ +"77500,27000" +"77000,27000" +] +) +] +) +tg (WTG +uid 1388,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1389,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,26300,83600,27700" +st "rxRd" +blo "80000,27500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 1396,0 +decl (Decl +n "rxRd" +t "std_ulogic" +o 6 +suid 21,0 +) +declText (MLText +uid 1397,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11100,12500,12100" +st "rxRd : std_ulogic" +) +) +*27 (Net +uid 1398,0 +decl (Decl +n "rxWord" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 22,0 +) +declText (MLText +uid 1399,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16900,30000,17900" +st "SIGNAL rxWord : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 1400,0 +decl (Decl +n "txWord" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 23,0 +) +declText (MLText +uid 1401,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19300,30000,20300" +st "SIGNAL txWord : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*29 (Net +uid 1402,0 +decl (Decl +n "rxWordValid" +t "std_ulogic" +o 13 +suid 24,0 +) +declText (MLText +uid 1403,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17700,16500,18700" +st "SIGNAL rxWordValid : std_ulogic" +) +) +*30 (HdlText +uid 1410,0 +optionalChildren [ +*31 (EmbeddedText +uid 1415,0 +commentText (CommentText +uid 1416,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1417,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "53000,52000,69000,66000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1418,0 +va (VaSet +) +xt "53200,52200,68500,65400" +st " +process(reset, clock) + begin + if reset = '1' then + txSend <= '0'; + elsif rising_edge(clock) then + if ( (txFifoEmpty = '0') and (txBusy = '0') ) then + txSend <= '1'; + else + txSend <= '0'; + end if; + end if; + end process; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 14000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1411,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "53000,51000,69000,67000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1412,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 1413,0 +va (VaSet +) +xt "53400,67000,56000,68200" +st "eb1" +blo "53400,68000" +tm "HdlTextNameMgr" +) +*33 (Text +uid 1414,0 +va (VaSet +) +xt "53400,68000,54800,69200" +st "1" +blo "53400,69000" +tm "HdlTextNumberMgr" +) +] +) +) +*34 (PortIoOut +uid 1431,0 +shape (CompositeShape +uid 1432,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1433,0 +sl 0 +ro 270 +xt "105500,64625,107000,65375" +) +(Line +uid 1434,0 +sl 0 +ro 270 +xt "105000,65000,105500,65000" +pts [ +"105000,65000" +"105500,65000" +] +) +] +) +tg (WTG +uid 1435,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1436,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "108000,64300,112100,65700" +st "txFull" +blo "108000,65500" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 1443,0 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 25,0 +) +declText (MLText +uid 1444,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,12200,14500" +st "txFull : std_ulogic" +) +) +*36 (PortIoIn +uid 1445,0 +shape (CompositeShape +uid 1446,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1447,0 +sl 0 +ro 90 +xt "105500,48625,107000,49375" +) +(Line +uid 1448,0 +sl 0 +ro 90 +xt "105000,49000,105500,49000" +pts [ +"105500,49000" +"105000,49000" +] +) +] +) +tg (WTG +uid 1449,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1450,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "108000,48300,111800,49700" +st "txWr" +blo "108000,49500" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 1457,0 +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 26,0 +) +declText (MLText +uid 1458,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,12500,15300" +st "txWr : std_ulogic" +) +) +*38 (PortIoOut +uid 1459,0 +shape (CompositeShape +uid 1460,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1461,0 +sl 0 +ro 270 +xt "77500,24625,79000,25375" +) +(Line +uid 1462,0 +sl 0 +ro 270 +xt "77000,25000,77500,25000" +pts [ +"77000,25000" +"77500,25000" +] +) +] +) +tg (WTG +uid 1463,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1464,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "80000,24300,103800,25700" +st "rxData : (dataBitNb-1 DOWNTO 0)" +blo "80000,25500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 1465,0 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 27,0 +) +declText (MLText +uid 1466,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,26600,12900" +st "rxData : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 1469,0 +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 28,0 +) +declText (MLText +uid 1470,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12700,26600,13700" +st "txData : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*41 (PortIoIn +uid 1471,0 +shape (CompositeShape +uid 1472,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1473,0 +sl 0 +ro 90 +xt "105500,46625,107000,47375" +) +(Line +uid 1474,0 +sl 0 +ro 90 +xt "105000,47000,105500,47000" +pts [ +"105500,47000" +"105000,47000" +] +) +] +) +tg (WTG +uid 1475,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1476,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "108000,46500,131800,47900" +st "txData : (dataBitNb-1 DOWNTO 0)" +blo "108000,47700" +tm "WireNameMgr" +) +) +) +*42 (SaComponent +uid 1663,0 +optionalChildren [ +*43 (CptPort +uid 1643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,24625,25000,25375" +) +tg (CPTG +uid 1645,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1646,0 +va (VaSet +) +xt "26000,24400,28800,25600" +st "RxD" +blo "26000,25400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*44 (CptPort +uid 1647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1648,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,28625,25000,29375" +) +tg (CPTG +uid 1649,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1650,0 +va (VaSet +) +xt "26000,28400,29400,29600" +st "clock" +blo "26000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*45 (CptPort +uid 1651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1652,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,30625,25000,31375" +) +tg (CPTG +uid 1653,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1654,0 +va (VaSet +) +xt "26000,30400,29300,31600" +st "reset" +blo "26000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*46 (CptPort +uid 1655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,24625,41750,25375" +) +tg (CPTG +uid 1657,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1658,0 +va (VaSet +) +xt "35201,24400,40001,25600" +st "dataOut" +ju 2 +blo "40001,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*47 (CptPort +uid 1659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1660,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,26625,41750,27375" +) +tg (CPTG +uid 1661,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1662,0 +va (VaSet +) +xt "34500,26400,40000,27600" +st "dataValid" +ju 2 +blo "40000,27400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1664,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,21000,41000,33000" +) +oxt "34000,16000,50000,28000" +ttg (MlTextGroup +uid 1665,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 1666,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,32800,29300,34000" +st "RS232" +blo "25600,33800" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 1667,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,34000,36000,35200" +st "serialPortReceiver" +blo "25600,35000" +tm "CptNameMgr" +) +*50 (Text +uid 1668,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,35200,28300,36400" +st "I_rx" +blo "25600,36200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1669,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1670,0 +text (MLText +uid 1671,0 +va (VaSet +font "Verdana,8,0" +) +xt "25000,36400,47400,38400" +st "dataBitNb = dataBitNb ( positive ) +baudRateDivide = baudRateDivide ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*51 (SaComponent +uid 1696,0 +optionalChildren [ +*52 (CptPort +uid 1672,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1673,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,46625,25000,47375" +) +tg (CPTG +uid 1674,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1675,0 +va (VaSet +) +xt "26000,46400,28800,47600" +st "TxD" +blo "26000,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 1676,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1677,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,54625,41750,55375" +) +tg (CPTG +uid 1678,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1679,0 +va (VaSet +) +xt "36600,54400,40000,55600" +st "clock" +ju 2 +blo "40000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*54 (CptPort +uid 1680,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1681,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,56625,41750,57375" +) +tg (CPTG +uid 1682,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1683,0 +va (VaSet +) +xt "36700,56400,40000,57600" +st "reset" +ju 2 +blo "40000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*55 (CptPort +uid 1684,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1685,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,46625,41750,47375" +) +tg (CPTG +uid 1686,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1687,0 +va (VaSet +) +xt "36001,46400,40001,47600" +st "dataIn" +ju 2 +blo "40001,47400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*56 (CptPort +uid 1688,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1689,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,48625,41750,49375" +) +tg (CPTG +uid 1690,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1691,0 +va (VaSet +) +xt "36900,48400,40000,49600" +st "send" +ju 2 +blo "40000,49400" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*57 (CptPort +uid 1692,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1693,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,50625,41750,51375" +) +tg (CPTG +uid 1694,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1695,0 +va (VaSet +) +xt "36900,50400,40000,51600" +st "busy" +ju 2 +blo "40000,51400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 1697,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,43000,41000,59000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 1698,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 1699,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,58800,29300,60000" +st "RS232" +blo "25600,59800" +tm "BdLibraryNameMgr" +) +*59 (Text +uid 1700,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,60000,38200,61200" +st "serialPortTransmitter" +blo "25600,61000" +tm "CptNameMgr" +) +*60 (Text +uid 1701,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,61200,28400,62400" +st "I_tx" +blo "25600,62200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1702,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1703,0 +text (MLText +uid 1704,0 +va (VaSet +font "Verdana,8,0" +) +xt "25000,62400,47400,64400" +st "dataBitNb = dataBitNb ( positive ) +baudRateDivide = baudRateDivide ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*61 (SaComponent +uid 1778,0 +optionalChildren [ +*62 (CptPort +uid 1746,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1747,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,26625,53000,27375" +) +tg (CPTG +uid 1748,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1749,0 +va (VaSet +) +xt "54000,26400,57100,27600" +st "write" +blo "54000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 1750,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1751,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,30625,53000,31375" +) +tg (CPTG +uid 1752,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1753,0 +va (VaSet +) +xt "54000,30400,57400,31600" +st "clock" +blo "54000,31400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 1754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1755,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,32625,53000,33375" +) +tg (CPTG +uid 1756,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1757,0 +va (VaSet +) +xt "54000,32400,57300,33600" +st "reset" +blo "54000,33400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 1758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1759,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,24625,69750,25375" +) +tg (CPTG +uid 1760,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1761,0 +va (VaSet +) +xt "63201,24400,68001,25600" +st "dataOut" +ju 2 +blo "68001,25400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 1762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1763,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,26625,69750,27375" +) +tg (CPTG +uid 1764,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1765,0 +va (VaSet +) +xt "65100,26400,68000,27600" +st "read" +ju 2 +blo "68000,27400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*67 (CptPort +uid 1766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1767,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,24625,53000,25375" +) +tg (CPTG +uid 1768,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1769,0 +va (VaSet +) +xt "53999,24400,57999,25600" +st "dataIn" +blo "53999,25400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*68 (CptPort +uid 1770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,28625,69750,29375" +) +tg (CPTG +uid 1772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1773,0 +va (VaSet +) +xt "64200,28400,68000,29600" +st "empty" +ju 2 +blo "68000,29400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*69 (CptPort +uid 1774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1775,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,30625,69750,31375" +) +tg (CPTG +uid 1776,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1777,0 +va (VaSet +) +xt "65800,30400,68000,31600" +st "full" +ju 2 +blo "68000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1779,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,21000,69000,35000" +) +oxt "34000,14000,50000,28000" +ttg (MlTextGroup +uid 1780,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 1781,0 +va (VaSet +font "Verdana,9,1" +) +xt "53600,34800,58200,36000" +st "memory" +blo "53600,35800" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 1782,0 +va (VaSet +font "Verdana,9,1" +) +xt "53600,36000,56700,37200" +st "FIFO" +blo "53600,37000" +tm "CptNameMgr" +) +*72 (Text +uid 1783,0 +va (VaSet +font "Verdana,9,1" +) +xt "53600,37200,58300,38400" +st "I_rxFifo" +blo "53600,38200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1784,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1785,0 +text (MLText +uid 1786,0 +va (VaSet +font "Verdana,8,0" +) +xt "53000,38400,71000,40400" +st "dataBitNb = dataBitNb ( positive ) +depth = rxFifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "rxFifoDepth" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*73 (SaComponent +uid 1819,0 +optionalChildren [ +*74 (CptPort +uid 1787,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1788,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,48625,97750,49375" +) +tg (CPTG +uid 1789,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1790,0 +va (VaSet +) +xt "92900,48400,96000,49600" +st "write" +ju 2 +blo "96000,49400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 1791,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1792,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,52625,97750,53375" +) +tg (CPTG +uid 1793,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1794,0 +va (VaSet +) +xt "92600,52400,96000,53600" +st "clock" +ju 2 +blo "96000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 1795,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1796,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,54625,97750,55375" +) +tg (CPTG +uid 1797,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1798,0 +va (VaSet +) +xt "92700,54400,96000,55600" +st "reset" +ju 2 +blo "96000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 1799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1800,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,46625,81000,47375" +) +tg (CPTG +uid 1801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1802,0 +va (VaSet +) +xt "81999,46400,86799,47600" +st "dataOut" +blo "81999,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 1803,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1804,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,48625,81000,49375" +) +tg (CPTG +uid 1805,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1806,0 +va (VaSet +) +xt "82000,48400,84900,49600" +st "read" +blo "82000,49400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 1807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1808,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,46625,97750,47375" +) +tg (CPTG +uid 1809,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1810,0 +va (VaSet +) +xt "92001,46400,96001,47600" +st "dataIn" +ju 2 +blo "96001,47400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*80 (CptPort +uid 1811,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1812,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,50625,81000,51375" +) +tg (CPTG +uid 1813,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1814,0 +va (VaSet +) +xt "82000,50400,85800,51600" +st "empty" +blo "82000,51400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*81 (CptPort +uid 1815,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1816,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,52625,81000,53375" +) +tg (CPTG +uid 1817,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1818,0 +va (VaSet +) +xt "82000,52400,84200,53600" +st "full" +blo "82000,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1820,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "81000,43000,97000,57000" +) +oxt "34000,14000,50000,28000" +ttg (MlTextGroup +uid 1821,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 1822,0 +va (VaSet +font "Verdana,9,1" +) +xt "81600,56800,86200,58000" +st "memory" +blo "81600,57800" +tm "BdLibraryNameMgr" +) +*83 (Text +uid 1823,0 +va (VaSet +font "Verdana,9,1" +) +xt "81600,58000,84700,59200" +st "FIFO" +blo "81600,59000" +tm "CptNameMgr" +) +*84 (Text +uid 1824,0 +va (VaSet +font "Verdana,9,1" +) +xt "81600,59200,86400,60400" +st "I_txFifo" +blo "81600,60200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1825,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1826,0 +text (MLText +uid 1827,0 +va (VaSet +font "Verdana,8,0" +) +xt "81000,60400,99000,62400" +st "dataBitNb = dataBitNb ( positive ) +depth = txFifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "txFifoDepth" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*85 (Wire +uid 59,0 +shape (OrthoPolyLine +uid 60,0 +va (VaSet +vasetType 3 +) +xt "17000,29000,24250,29000" +pts [ +"17000,29000" +"24250,29000" +] +) +start &12 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 63,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,27600,22800,29000" +st "clock" +blo "19000,28800" +tm "WireNameMgr" +) +) +on &13 +) +*86 (Wire +uid 101,0 +shape (OrthoPolyLine +uid 102,0 +va (VaSet +vasetType 3 +) +xt "17000,31000,24250,31000" +pts [ +"17000,31000" +"24250,31000" +] +) +start &14 +end &45 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 106,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,29600,23100,31000" +st "reset" +blo "19000,30800" +tm "WireNameMgr" +) +) +on &15 +) +*87 (Wire +uid 115,0 +shape (OrthoPolyLine +uid 116,0 +va (VaSet +vasetType 3 +) +xt "17000,25000,24250,25000" +pts [ +"17000,25000" +"24250,25000" +] +) +start &16 +end &43 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 119,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 120,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,23600,22200,25000" +st "RxD" +blo "19000,24800" +tm "WireNameMgr" +) +) +on &17 +) +*88 (Wire +uid 143,0 +shape (OrthoPolyLine +uid 144,0 +va (VaSet +vasetType 3 +) +xt "17000,47000,24250,47000" +pts [ +"24250,47000" +"17000,47000" +] +) +start &52 +end &18 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 147,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 148,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,44600,23100,46000" +st "TxD" +blo "20000,45800" +tm "WireNameMgr" +) +) +on &19 +) +*89 (Wire +uid 230,0 +shape (OrthoPolyLine +uid 231,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,25000,52250,25000" +pts [ +"41750,25000" +"52250,25000" +] +) +start &46 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 234,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 235,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,23600,48400,25000" +st "rxWord" +blo "43000,24800" +tm "WireNameMgr" +) +) +on &27 +) +*90 (Wire +uid 240,0 +shape (OrthoPolyLine +uid 241,0 +va (VaSet +vasetType 3 +) +xt "41750,27000,52250,27000" +pts [ +"41750,27000" +"52250,27000" +] +) +start &47 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 244,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 245,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,25600,52200,27000" +st "rxWordValid" +blo "43000,26800" +tm "WireNameMgr" +) +) +on &29 +) +*91 (Wire +uid 374,0 +shape (OrthoPolyLine +uid 375,0 +va (VaSet +vasetType 3 +) +xt "49000,33000,52250,33000" +pts [ +"49000,33000" +"52250,33000" +] +) +end &64 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 381,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,31600,52100,33000" +st "reset" +blo "48000,32800" +tm "WireNameMgr" +) +) +on &15 +) +*92 (Wire +uid 382,0 +shape (OrthoPolyLine +uid 383,0 +va (VaSet +vasetType 3 +) +xt "49000,31000,52250,31000" +pts [ +"49000,31000" +"52250,31000" +] +) +end &63 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 388,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "48000,29600,51800,31000" +st "clock" +blo "48000,30800" +tm "WireNameMgr" +) +) +on &13 +) +*93 (Wire +uid 444,0 +shape (OrthoPolyLine +uid 445,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,25000,77000,25000" +pts [ +"69750,25000" +"77000,25000" +] +) +start &65 +end &38 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 448,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 449,0 +va (VaSet +font "Verdana,12,0" +) +xt "72000,23600,77000,25000" +st "rxData" +blo "72000,24800" +tm "WireNameMgr" +) +) +on &39 +) +*94 (Wire +uid 601,0 +shape (OrthoPolyLine +uid 602,0 +va (VaSet +vasetType 3 +) +xt "97750,55000,101000,55000" +pts [ +"101000,55000" +"97750,55000" +] +) +end &76 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 607,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 608,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,53600,103100,55000" +st "reset" +blo "99000,54800" +tm "WireNameMgr" +) +) +on &15 +) +*95 (Wire +uid 609,0 +shape (OrthoPolyLine +uid 610,0 +va (VaSet +vasetType 3 +) +xt "97750,53000,101000,53000" +pts [ +"101000,53000" +"97750,53000" +] +) +end &75 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 615,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 616,0 +va (VaSet +font "Verdana,12,0" +) +xt "99000,51600,102800,53000" +st "clock" +blo "99000,52800" +tm "WireNameMgr" +) +) +on &13 +) +*96 (Wire +uid 654,0 +shape (OrthoPolyLine +uid 655,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "97750,47000,105000,47000" +pts [ +"97750,47000" +"105000,47000" +] +) +start &79 +end &41 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 658,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 659,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,45600,106000,47000" +st "txData" +blo "101000,46800" +tm "WireNameMgr" +) +) +on &40 +) +*97 (Wire +uid 664,0 +shape (OrthoPolyLine +uid 665,0 +va (VaSet +vasetType 3 +) +xt "69000,51000,80250,55000" +pts [ +"80250,51000" +"73000,51000" +"73000,55000" +"69000,55000" +] +) +start &80 +end &30 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 668,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 669,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,49600,79900,51000" +st "txFifoEmpty" +blo "71000,50800" +tm "WireNameMgr" +) +) +on &20 +) +*98 (Wire +uid 674,0 +shape (OrthoPolyLine +uid 675,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,47000,80250,47000" +pts [ +"80250,47000" +"41750,47000" +] +) +start &77 +end &55 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 678,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 679,0 +va (VaSet +font "Verdana,12,0" +) +xt "74000,45600,79400,47000" +st "txWord" +blo "74000,46800" +tm "WireNameMgr" +) +) +on &28 +) +*99 (Wire +uid 739,0 +shape (OrthoPolyLine +uid 740,0 +va (VaSet +vasetType 3 +) +xt "41750,57000,45000,57000" +pts [ +"45000,57000" +"41750,57000" +] +) +end &54 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 745,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 746,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,55600,47100,57000" +st "reset" +blo "43000,56800" +tm "WireNameMgr" +) +) +on &15 +) +*100 (Wire +uid 747,0 +shape (OrthoPolyLine +uid 748,0 +va (VaSet +vasetType 3 +) +xt "41750,55000,45000,55000" +pts [ +"45000,55000" +"41750,55000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 753,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 754,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,53600,46800,55000" +st "clock" +blo "43000,54800" +tm "WireNameMgr" +) +) +on &13 +) +*101 (Wire +uid 757,0 +shape (OrthoPolyLine +uid 758,0 +va (VaSet +vasetType 3 +) +xt "41750,51000,53000,57000" +pts [ +"41750,51000" +"49000,51000" +"49000,57000" +"53000,57000" +] +) +start &57 +end &30 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 762,0 +va (VaSet +font "Verdana,12,0" +) +xt "43750,49600,48750,51000" +st "txBusy" +blo "43750,50800" +tm "WireNameMgr" +) +) +on &21 +) +*102 (Wire +uid 765,0 +optionalChildren [ +*103 (BdJunction +uid 1425,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1426,0 +va (VaSet +vasetType 1 +) +xt "50600,48600,51400,49400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 766,0 +va (VaSet +vasetType 3 +) +xt "41750,49000,80250,49000" +pts [ +"80250,49000" +"41750,49000" +] +) +start &78 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 771,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,47600,48200,49000" +st "txSend" +blo "43000,48800" +tm "WireNameMgr" +) +) +on &22 +) +*104 (Wire +uid 1376,0 +shape (OrthoPolyLine +uid 1377,0 +va (VaSet +vasetType 3 +) +xt "69750,29000,77000,29000" +pts [ +"69750,29000" +"77000,29000" +] +) +start &68 +end &23 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1381,0 +va (VaSet +font "Verdana,12,0" +) +xt "71000,27600,76900,29000" +st "rxEmpty" +blo "71000,28800" +tm "WireNameMgr" +) +) +on &24 +) +*105 (Wire +uid 1390,0 +shape (OrthoPolyLine +uid 1391,0 +va (VaSet +vasetType 3 +) +xt "69750,27000,77000,27000" +pts [ +"77000,27000" +"69750,27000" +] +) +start &25 +end &66 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1394,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1395,0 +va (VaSet +font "Verdana,12,0" +) +xt "73000,25600,76600,27000" +st "rxRd" +blo "73000,26800" +tm "WireNameMgr" +) +) +on &26 +) +*106 (Wire +uid 1419,0 +shape (OrthoPolyLine +uid 1420,0 +va (VaSet +vasetType 3 +) +xt "51000,49000,53000,55000" +pts [ +"51000,49000" +"51000,55000" +"53000,55000" +] +) +start &103 +end &30 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1423,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1424,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "47000,50600,52200,52000" +st "txSend" +blo "47000,51800" +tm "WireNameMgr" +) +) +on &22 +) +*107 (Wire +uid 1437,0 +shape (OrthoPolyLine +uid 1438,0 +va (VaSet +vasetType 3 +) +xt "75000,53000,105000,65000" +pts [ +"80250,53000" +"75000,53000" +"75000,65000" +"105000,65000" +] +) +start &81 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1441,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1442,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,63600,105100,65000" +st "txFull" +blo "101000,64800" +tm "WireNameMgr" +) +) +on &35 +) +*108 (Wire +uid 1451,0 +shape (OrthoPolyLine +uid 1452,0 +va (VaSet +vasetType 3 +) +xt "97750,49000,105000,49000" +pts [ +"105000,49000" +"97750,49000" +] +) +start &36 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1455,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1456,0 +va (VaSet +font "Verdana,12,0" +) +xt "102000,47600,105800,49000" +st "txWr" +blo "102000,48800" +tm "WireNameMgr" +) +) +on &37 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *109 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 43,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*111 (MLText +uid 44,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*113 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*114 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*115 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*116 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*117 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*118 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-1604,-1604,141072,75916" +cachedDiagramExtent "0,0,131800,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 2312,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*119 (Text +va (VaSet +) +xt "2450,3500,5550,4500" +st "" +blo "2450,4300" +tm "BdLibraryNameMgr" +) +*120 (Text +va (VaSet +) +xt "2450,4500,5150,5500" +st "" +blo "2450,5300" +tm "BlkNameMgr" +) +*121 (Text +va (VaSet +) +xt "2450,5500,3050,6500" +st "I0" +blo "2450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*123 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*124 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*126 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*127 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*129 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*130 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*132 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*133 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*135 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,18500,100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*137 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,11000,100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "Verdana,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*139 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5100,7000,6100" +st "Declarations" +blo "0,5900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6100,3400,7100" +st "Ports:" +blo "0,6900" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5100,4800,6100" +st "Pre User:" +blo "0,5900" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5100,0,5100" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15100,9000,16100" +st "Diagram Signals:" +blo "0,15900" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,5100,6000,6100" +st "Post User:" +blo "0,5900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,5100,0,5100" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 28,0 +usingSuid 1 +emptyRow *140 (LEmptyRow +) +uid 1151,0 +optionalChildren [ +*141 (RefLabelRowHdr +) +*142 (TitleRowHdr +) +*143 (FilterRowHdr +) +*144 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*145 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*146 (GroupColHdr +tm "GroupColHdrMgr" +) +*147 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*148 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*149 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*150 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*151 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*152 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*153 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 1112,0 +) +*154 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 1118,0 +) +*155 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 1120,0 +) +*156 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 1124,0 +) +*157 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txFifoEmpty" +t "std_ulogic" +o 14 +suid 16,0 +) +) +uid 1142,0 +) +*158 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txBusy" +t "std_ulogic" +o 16 +suid 18,0 +) +) +uid 1146,0 +) +*159 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txSend" +t "std_ulogic" +o 11 +suid 19,0 +) +) +uid 1148,0 +) +*160 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 5 +suid 20,0 +) +) +uid 1367,0 +) +*161 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxRd" +t "std_ulogic" +o 6 +suid 21,0 +) +) +uid 1369,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxWord" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 22,0 +) +) +uid 1404,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txWord" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 15 +suid 23,0 +) +) +uid 1406,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxWordValid" +t "std_ulogic" +o 13 +suid 24,0 +) +) +uid 1408,0 +) +*165 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 25,0 +) +) +uid 1428,0 +) +*166 (LeafLogPort +port (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 26,0 +) +) +uid 1430,0 +) +*167 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 27,0 +) +) +uid 1467,0 +) +*168 (LeafLogPort +port (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 28,0 +) +) +uid 1477,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1164,0 +optionalChildren [ +*169 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *170 (MRCItem +litem &140 +pos 16 +dimension 20 +) +uid 1166,0 +optionalChildren [ +*171 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 1167,0 +) +*172 (MRCItem +litem &142 +pos 1 +dimension 23 +uid 1168,0 +) +*173 (MRCItem +litem &143 +pos 2 +hidden 1 +dimension 20 +uid 1169,0 +) +*174 (MRCItem +litem &153 +pos 0 +dimension 20 +uid 1113,0 +) +*175 (MRCItem +litem &154 +pos 2 +dimension 20 +uid 1119,0 +) +*176 (MRCItem +litem &155 +pos 3 +dimension 20 +uid 1121,0 +) +*177 (MRCItem +litem &156 +pos 5 +dimension 20 +uid 1125,0 +) +*178 (MRCItem +litem &157 +pos 10 +dimension 20 +uid 1143,0 +) +*179 (MRCItem +litem &158 +pos 11 +dimension 20 +uid 1147,0 +) +*180 (MRCItem +litem &159 +pos 12 +dimension 20 +uid 1149,0 +) +*181 (MRCItem +litem &160 +pos 4 +dimension 20 +uid 1366,0 +) +*182 (MRCItem +litem &161 +pos 1 +dimension 20 +uid 1368,0 +) +*183 (MRCItem +litem &162 +pos 13 +dimension 20 +uid 1405,0 +) +*184 (MRCItem +litem &163 +pos 14 +dimension 20 +uid 1407,0 +) +*185 (MRCItem +litem &164 +pos 15 +dimension 20 +uid 1409,0 +) +*186 (MRCItem +litem &165 +pos 6 +dimension 20 +uid 1427,0 +) +*187 (MRCItem +litem &166 +pos 7 +dimension 20 +uid 1429,0 +) +*188 (MRCItem +litem &167 +pos 8 +dimension 20 +uid 1468,0 +) +*189 (MRCItem +litem &168 +pos 9 +dimension 20 +uid 1478,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1170,0 +optionalChildren [ +*190 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 1171,0 +) +*191 (MRCItem +litem &146 +pos 1 +dimension 50 +uid 1172,0 +) +*192 (MRCItem +litem &147 +pos 2 +dimension 100 +uid 1173,0 +) +*193 (MRCItem +litem &148 +pos 3 +dimension 50 +uid 1174,0 +) +*194 (MRCItem +litem &149 +pos 4 +dimension 100 +uid 1175,0 +) +*195 (MRCItem +litem &150 +pos 5 +dimension 100 +uid 1176,0 +) +*196 (MRCItem +litem &151 +pos 6 +dimension 50 +uid 1177,0 +) +*197 (MRCItem +litem &152 +pos 7 +dimension 80 +uid 1178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1165,0 +vaOverrides [ +] +) +] +) +uid 1150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *198 (LEmptyRow +) +uid 1180,0 +optionalChildren [ +*199 (RefLabelRowHdr +) +*200 (TitleRowHdr +) +*201 (FilterRowHdr +) +*202 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*203 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*204 (GroupColHdr +tm "GroupColHdrMgr" +) +*205 (NameColHdr +tm "GenericNameColHdrMgr" +) +*206 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*207 (InitColHdr +tm "GenericValueColHdrMgr" +) +*208 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*209 (EolColHdr +tm "GenericEolColHdrMgr" +) +*210 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 1243,0 +) +*211 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +uid 1247,0 +) +*212 (LogGeneric +generic (GiElement +name "txFifoDepth" +type "positive" +value "8" +) +uid 2075,0 +) +*213 (LogGeneric +generic (GiElement +name "rxFifoDepth" +type "positive" +value "8" +) +uid 2077,0 +) +] +) +pdm (PhysicalDM +uid 1192,0 +optionalChildren [ +*214 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *215 (MRCItem +litem &198 +pos 4 +dimension 20 +) +uid 1194,0 +optionalChildren [ +*216 (MRCItem +litem &199 +pos 0 +dimension 20 +uid 1195,0 +) +*217 (MRCItem +litem &200 +pos 1 +dimension 23 +uid 1196,0 +) +*218 (MRCItem +litem &201 +pos 2 +hidden 1 +dimension 20 +uid 1197,0 +) +*219 (MRCItem +litem &210 +pos 1 +dimension 20 +uid 1242,0 +) +*220 (MRCItem +litem &211 +pos 0 +dimension 20 +uid 1246,0 +) +*221 (MRCItem +litem &212 +pos 2 +dimension 20 +uid 2074,0 +) +*222 (MRCItem +litem &213 +pos 3 +dimension 20 +uid 2076,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1198,0 +optionalChildren [ +*223 (MRCItem +litem &202 +pos 0 +dimension 20 +uid 1199,0 +) +*224 (MRCItem +litem &204 +pos 1 +dimension 50 +uid 1200,0 +) +*225 (MRCItem +litem &205 +pos 2 +dimension 100 +uid 1201,0 +) +*226 (MRCItem +litem &206 +pos 3 +dimension 100 +uid 1202,0 +) +*227 (MRCItem +litem &207 +pos 4 +dimension 50 +uid 1203,0 +) +*228 (MRCItem +litem &208 +pos 5 +dimension 50 +uid 1204,0 +) +*229 (MRCItem +litem &209 +pos 6 +dimension 80 +uid 1205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1193,0 +vaOverrides [ +] +) +] +) +uid 1179,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb b/Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb new file mode 100644 index 0000000..0f5ab75 --- /dev/null +++ b/Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb @@ -0,0 +1,2015 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2012,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 283,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 284,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 285,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rxRd" +t "std_ulogic" +o 6 +suid 8,0 +) +) +uid 286,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 7,0 +) +) +uid 287,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 288,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 289,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 9,0 +) +) +uid 291,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 292,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 2011,0 +) +) +uid 367,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 2012,0 +) +) +uid 374,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 293,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 216,0 +optionalChildren [ +*26 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 219,0 +) +*27 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 221,0 +) +*28 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 223,0 +) +*29 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 242,0 +) +*30 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 243,0 +) +*31 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 244,0 +) +*32 (MRCItem +litem &5 +pos 6 +dimension 20 +uid 245,0 +) +*33 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 246,0 +) +*34 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 247,0 +) +*35 (MRCItem +litem &8 +pos 7 +dimension 20 +uid 249,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 20 +uid 250,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 366,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 217,0 +optionalChildren [ +*39 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 225,0 +) +*40 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 229,0 +) +*41 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 231,0 +) +*42 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 233,0 +) +*43 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 235,0 +) +*44 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 237,0 +) +*45 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 239,0 +) +*46 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 241,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 215,0 +vaOverrides [ +] +) +] +) +uid 282,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 295,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 276,0 +) +*60 (LogGeneric +generic (GiElement +name "txFifoDepth" +type "positive" +value "8" +) +uid 277,0 +) +*61 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +uid 278,0 +) +*62 (LogGeneric +generic (GiElement +name "rxFifoDepth" +type "positive" +value "8" +) +uid 472,0 +) +] +) +pdm (PhysicalDM +uid 296,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *64 (MRCItem +litem &47 +pos 4 +dimension 20 +) +uid 252,0 +optionalChildren [ +*65 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 255,0 +) +*66 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 257,0 +) +*67 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 259,0 +) +*68 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 279,0 +) +*69 (MRCItem +litem &60 +pos 2 +dimension 20 +uid 280,0 +) +*70 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 281,0 +) +*71 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 473,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 253,0 +optionalChildren [ +*72 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 261,0 +) +*73 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 265,0 +) +*74 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 267,0 +) +*75 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 269,0 +) +*76 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 271,0 +) +*77 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 273,0 +) +*78 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 275,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 251,0 +vaOverrides [ +] +) +] +) +uid 294,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serialPortFIFO" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "serialPortFIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:49" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortFIFO" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@f@i@f@o/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serialPortFIFO/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:49" +) +(vvPair +variable "unit" +value "serialPortFIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,13400,37800,14600" +st "RxD" +blo "35000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*81 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*82 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,17500,11400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*83 (CptPort +uid 183,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 184,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 185,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 186,0 +va (VaSet +) +xt "35000,19400,37800,20600" +st "TxD" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 187,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*84 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "44100,15400,49000,16600" +st "rxEmpty" +ju 2 +blo "49000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "rxEmpty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*85 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,21625,50750,22375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +) +xt "45500,21400,49000,22600" +st "txFull" +ju 2 +blo "49000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,17500,15900" +st "txFull : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 7,0 +) +) +) +*86 (CptPort +uid 203,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 205,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 206,0 +va (VaSet +) +xt "46000,17400,49000,18600" +st "rxRd" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 207,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,17500,13200" +st "rxRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxRd" +t "std_ulogic" +o 6 +suid 8,0 +) +) +) +*87 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,23625,50750,24375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +) +xt "46000,23400,49000,24600" +st "txWr" +ju 2 +blo "49000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,16500,16800" +st "txWr : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 9,0 +) +) +) +*88 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,13625,50750,14375" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +va (VaSet +) +xt "34400,13400,49000,14600" +st "rxData : (dataBitNb-1:0)" +ju 2 +blo "49000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,32000,14100" +st "rxData : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 2011,0 +) +) +) +*89 (CptPort +uid 375,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 376,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 377,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 378,0 +va (VaSet +) +xt "34400,19400,49000,20600" +st "txData : (dataBitNb-1:0)" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 379,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,32000,15000" +st "txData : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,10000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,37600,28800" +st "RS232" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,43600,29800" +st "serialPortFIFO" +blo "34600,29600" +) +) +gi *90 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,49500,37400" +st "Generic Declarations + +baudRateDivide positive 2083 +dataBitNb positive 8 +txFifoDepth positive 8 +rxFifoDepth positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "txFifoDepth" +type "positive" +value "8" +) +(GiElement +name "rxFifoDepth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*91 (Grouping +uid 16,0 +optionalChildren [ +*92 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*97 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *102 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*104 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "51,52,1356,900" +viewArea "-1100,-1100,74920,48320" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *105 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *106 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,16800,2500,17700" +st "User:" +blo "0,17500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17700,2000,17700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 496,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RS232/hds/serial@port@receiver/symbol.sb b/Libs/RS232/hds/serial@port@receiver/symbol.sb new file mode 100644 index 0000000..e973d9d --- /dev/null +++ b/Libs/RS232/hds/serial@port@receiver/symbol.sb @@ -0,0 +1,1644 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 278,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 279,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 280,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 281,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 282,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 283,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 284,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 217,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 220,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 222,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 224,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 243,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 244,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 245,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 246,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 247,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 218,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 226,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 230,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 232,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 234,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 236,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 238,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 240,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 242,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 216,0 +vaOverrides [ +] +) +] +) +uid 277,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 286,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 273,0 +) +*50 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +uid 274,0 +) +] +) +pdm (PhysicalDM +uid 287,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 249,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 252,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 254,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 256,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 275,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 276,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 250,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 258,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 262,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 264,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 266,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 268,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 270,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 272,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 248,0 +vaOverrides [ +] +) +] +) +uid 285,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@receiver/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@receiver/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@receiver" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serialPortReceiver" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "serialPortReceiver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:48" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortReceiver" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serial@port@receiver/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232/hds/serialPortReceiver/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:48" +) +(vvPair +variable "unit" +value "serialPortReceiver" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +font "courier,9,0" +) +xt "35000,19400,37800,20600" +st "RxD" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,18500,8700" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,18500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,18500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +font "courier,9,0" +) +xt "44201,19400,49001,20600" +st "dataOut" +ju 2 +blo "49001,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,33000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,21625,50750,22375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +font "courier,9,0" +) +xt "43500,21400,49000,22600" +st "dataValid" +ju 2 +blo "49000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "dataValid : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,16000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "34600,27800,37100,28700" +st "RS232" +blo "34600,28500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "34600,28700,44100,29600" +st "serialPortReceiver" +blo "34600,29400" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,30800,49500,34400" +st "Generic Declarations + +dataBitNb positive 8 +baudRateDivide positive 2083 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "43,23,938,764" +viewArea "-1920,-940,75120,50630" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,12300,2500,13200" +st "User:" +blo "0,13000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,2000,13200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 310,0 +) diff --git a/Libs/RS232/hds/serial@port@transmitter/symbol.sb b/Libs/RS232/hds/serial@port@transmitter/symbol.sb new file mode 100644 index 0000000..c9512ae --- /dev/null +++ b/Libs/RS232/hds/serial@port@transmitter/symbol.sb @@ -0,0 +1,1726 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 284,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 285,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 286,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 287,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 288,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 289,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 290,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 291,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 222,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 225,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 227,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 229,0 +) +*25 (MRCItem +litem &2 +pos 5 +dimension 20 +uid 248,0 +) +*26 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 249,0 +) +*27 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 250,0 +) +*28 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 251,0 +) +*29 (MRCItem +litem &6 +pos 3 +dimension 20 +uid 252,0 +) +*30 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 253,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 223,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 231,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 235,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 237,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 239,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 241,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 243,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 245,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 247,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 221,0 +vaOverrides [ +] +) +] +) +uid 283,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 293,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +*52 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +uid 280,0 +) +*53 (LogGeneric +generic (GiElement +name "stopBitNb" +type "positive" +value "1" +) +uid 345,0 +) +] +) +pdm (PhysicalDM +uid 294,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 255,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 258,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 260,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 262,0 +) +*59 (MRCItem +litem &51 +pos 1 +dimension 20 +uid 281,0 +) +*60 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 282,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 346,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 256,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 264,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 268,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 270,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 272,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 274,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 276,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 278,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 254,0 +vaOverrides [ +] +) +] +) +uid 292,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serial@port@transmitter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serial@port@transmitter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serial@port@transmitter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serialPortTransmitter" +) +(vvPair +variable "date" +value "08/07/23" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "serialPortTransmitter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/07/23" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "13:21:39" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortTransmitter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serial@port@transmitter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232/hds/serialPortTransmitter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:21:39" +) +(vvPair +variable "unit" +value "serialPortTransmitter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +font "courier,9,0" +) +xt "46200,15400,49000,16600" +st "TxD" +ju 2 +blo "49000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17000,8700" +st "TxD : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17000,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17000,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 296,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +font "courier,9,0" +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,31500,11400" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +font "courier,9,0" +) +xt "35000,17400,38100,18600" +st "send" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17000,12300" +st "send : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +font "courier,9,0" +) +xt "35000,19400,38100,20600" +st "busy" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,16000,13200" +st "busy : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "34600,27800,37100,28700" +st "RS232" +blo "34600,28500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "34600,28700,45600,29600" +st "serialPortTransmitter" +blo "34600,29400" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,30800,49500,35300" +st "Generic Declarations + +baudRateDivide positive 2083 +dataBitNb positive 8 +stopBitNb positive 1 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "2083" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "stopBitNb" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "412,1239,1307,1980" +viewArea "-1900,-900,58580,50400" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13200,2500,14100" +st "User:" +blo "0,13900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,2000,14100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 346,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RS232_test/hdl/serialPortFIFO_tester_test.vhd b/Libs/RS232_test/hdl/serialPortFIFO_tester_test.vhd new file mode 100644 index 0000000..bbcaf84 --- /dev/null +++ b/Libs/RS232_test/hdl/serialPortFIFO_tester_test.vhd @@ -0,0 +1,211 @@ +ARCHITECTURE test OF serialPortFIFO_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + -- RS232 speed + constant rs232Frequency: real := baudRate; + constant rs232Period: time := (1.0/rs232Frequency) * 1 sec; + constant rs232WriteInterval: time := 10*rs232Period; + -- RS232 Rx test + signal rs232OutString : string(1 to 32); + signal rs232SendOutString: std_uLogic; + signal rs232SendOutDone: std_uLogic; + signal rs232OutByte: character; + signal rs232SendOutByte: std_uLogic; + signal rs232OutByteReturned: std_ulogic_vector(rxData'range); + -- RS232 Tx test + signal rs232InString : string(1 to 32); + signal rs232SendInString: std_uLogic; + signal rs232SendInDone: std_uLogic; + signal rs232InByte: character; + signal rs232InByteReturned: character; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- RS232 Rx test + process + begin + rs232SendOutString <= '0'; + wait for 4*rs232Period; + + rs232OutString <= "test 1 "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + rs232OutString <= "test 2 "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + rs232OutString <= "test 3 "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + rs232OutString <= "test 4 "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + wait; + end process; + + readRxFifo: process + begin + rxRd <= '0'; + wait until falling_edge(rxEmpty); + rxRd <= '1'; + wait for clockPeriod; + rs232OutByteReturned <= rxData; + end process readRxFifo; + + + ------------------------------------------------------------------------------ + -- RS232 Tx test + process + begin + rs232SendInString <= '0'; + wait for 4*rs232Period; + + rs232InString <= "hello 1 "; + rs232SendInString <= '1', '0' after 1 ns; + wait until rs232SendInDone = '1'; + wait for rs232WriteInterval; + + rs232InString <= "hello 2 "; + rs232SendInString <= '1', '0' after 1 ns; + wait until rs232SendInDone = '1'; + wait for rs232WriteInterval; + + rs232InString <= "hello 3 "; + rs232SendInString <= '1', '0' after 1 ns; + wait until rs232SendInDone = '1'; + wait for rs232WriteInterval; + + rs232InString <= "hello 4 "; + rs232SendInString <= '1', '0' after 1 ns; + wait until rs232SendInDone = '1'; + wait for rs232WriteInterval; + + wait; + end process; + + --============================================================================ + -- RS232 send + rsSendSerialString: process + constant rs232BytePeriod : time := 15*rs232Period; + variable commandRight: natural; + begin + + rs232SendOutByte <= '0'; + rs232SendOutDone <= '0'; + + wait until rising_edge(rs232SendOutString); + + commandRight := rs232OutString'right; + while rs232OutString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + for index in rs232OutString'left to commandRight loop + rs232OutByte <= rs232OutString(index); + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + end loop; + + rs232OutByte <= cr; + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + + rs232SendOutDone <= '1'; + wait for 1 ns; + + end process rsSendSerialString; + + rsSendSerialByte: process + variable txData: unsigned(7 downto 0); + begin + RxD <= '1'; + + wait until rising_edge(rs232SendOutByte); + txData := to_unsigned(character'pos(rs232OutByte), txData'length); + + RxD <= '0'; + wait for rs232Period; + + for index in txData'reverse_range loop + RxD <= txData(index); + wait for rs232Period; + end loop; + + end process rsSendSerialByte; + + rsSendParallelString: process + variable commandRight: natural; + begin + + rs232SendInDone <= '0'; + txWr <= '0'; + + wait until rising_edge(rs232SendInString); + + commandRight := rs232OutString'right; + while rs232InString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + wait until rising_edge(clock_int); + for index in rs232InString'left to commandRight loop + wait until rising_edge(clock_int); + while txFull = '1' loop + txWr <= '0'; + wait until rising_edge(clock_int); + end loop; + rs232InByte <= rs232InString(index); + txWr <= '1'; + end loop; + wait until rising_edge(clock_int); + + while txFull = '1' loop + txWr <= '0'; + wait until rising_edge(clock_int); + end loop; + rs232InByte <= cr; + txWr <= '1'; + wait until rising_edge(clock_int); + txWr <= '0'; + + rs232SendInDone <= '1'; + wait for 1 ns; + + end process rsSendParallelString; + + txData <= std_ulogic_vector(to_unsigned(character'pos(rs232InByte), txData'length)); + + ------------------------------------------------------------------------------ + -- RS232 receive + rsReceiveByte: process + variable rxData: unsigned(7 downto 0); + begin + wait until falling_edge(TxD); + + wait for 1.5 * rs232Period; + + for index in rxData'reverse_range loop + rxData(index) := TxD; + wait for rs232Period; + end loop; + + rs232InByteReturned <= character'val(to_integer(rxData)); + + end process rsReceiveByte; + +END ARCHITECTURE test; diff --git a/Libs/RS232_test/hdl/serialPortTransmitter_tester_test.vhd b/Libs/RS232_test/hdl/serialPortTransmitter_tester_test.vhd new file mode 100644 index 0000000..a145049 --- /dev/null +++ b/Libs/RS232_test/hdl/serialPortTransmitter_tester_test.vhd @@ -0,0 +1,42 @@ +-- restart -f ; run 34 ms + +ARCHITECTURE test OF serialPortTransmitter_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + -- Tx test + constant rs232Frequency: real := baudRate; + constant rs232Period: time := (1.0/rs232Frequency) * 1 sec; + constant rs232WriteInterval: time := 20*rs232Period; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- Tx test + process + begin + + dataIn <= (others => '0'); + send <= '0'; + wait for rs232Period; + + for index in 0 to 2**dataBitNb-1 loop + dataIn <= std_ulogic_vector(to_unsigned(index, dataIn'length)); + wait until rising_edge(clock_int); + send <= '1'; + wait until rising_edge(clock_int); + send <= '0'; + wait for rs232WriteInterval; + end loop; + + wait; + + end process; + +END ARCHITECTURE test; diff --git a/Libs/RS232_test/hdl/uvmRs232Driver_sim.vhd b/Libs/RS232_test/hdl/uvmRs232Driver_sim.vhd new file mode 100644 index 0000000..d3de233 --- /dev/null +++ b/Libs/RS232_test/hdl/uvmRs232Driver_sim.vhd @@ -0,0 +1,129 @@ +LIBRARY std; + USE std.TEXTIO.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE RTL OF uvmRs232Driver IS + -- parameters + signal baudRate_int: real; + signal baudPeriod, characterPeriod: time; + constant uartDataBitNb: positive := 9; + constant maxStringLength: positive := driverTransaction'length; + -- Tx signals + signal outString : string(1 to maxStringLength); + signal sendString: std_uLogic := '0'; + signal outChar: character; + signal sendChar: std_ulogic := '0'; + signal sendParity, parityInit: std_ulogic := '0'; + -- debug + signal outChar_debug: unsigned(uartDataBitNb-1 downto 0); + + +BEGIN + ------------------------------------------------------------------------------ + -- interpret transaction + interpretTransaction: process + variable myLine : line; + variable commandPart : line; + variable baudRate_nat : natural; + file dataFile : text; + variable dataLine : line; + begin + wait on driverTransaction; + write(myLine, driverTransaction); + rm_side_separators(myLine); + read_first(myLine, commandPart); + if commandPart.all = "uart_baud" then + read(myLine, baudRate_nat); + baudRate_int <= real(baudRate_nat); + elsif commandPart.all = "uart_parity" then + sendParity <= '0'; + parityInit <= '0'; + if myLine.all = "even" then + sendParity <= '1'; + elsif myLine.all = "odd" then + sendParity <= '1'; + parityInit <= '1'; + end if; + elsif commandPart.all = "uart_send" then + outString <= pad(myLine.all, outString'length); + sendString <= '1', '0' after 1 ns; + elsif commandPart.all = "uart_send_file" then + file_open(dataFile, "$SIMULATION_DIR/" & myLine.all, read_mode); + while not endFile(dataFile) loop + readLine(dataFile, dataLine); +--print(dataLine.all); + outString <= pad(dataLine.all, outString'length); + sendString <= '1', '0' after 1 ns; + wait for (dataLine'length+8) * characterPeriod; + end loop; + file_close(dataFile); + end if; + deallocate(myLine); + end process interpretTransaction; + + baudRate <= baudRate_int; + baudPeriod <= 1.0/baudRate_int * 1 sec; + characterPeriod <= 15*baudPeriod; + + --============================================================================ + -- send string on RxD line + uartSendString: process + variable outStringRight: natural; + begin + -- wait for command + sendChar <= '0'; + wait until rising_edge(sendString); + -- find string length + outStringRight := outString'right; + while outString(outStringRight) = ' ' loop + outStringRight := outStringRight-1; + end loop; + -- send characters + for index in outString'left to outStringRight loop + outChar <= outString(index); +--print(sprintf("%2X", character'pos(outChar))); + sendChar <= '1', '0' after 1 ns; + wait for characterPeriod; + end loop; + -- send carriage return + outChar <= cr; + sendChar <= '1', '0' after 1 ns; + wait for characterPeriod; + + end process uartSendString; + + ------------------------------------------------------------------------------ + -- send character on RxD line + uartSendChar: process + variable outChar_unsigned: unsigned(uartDataBitNb-1 downto 0); + begin + -- wait for trigger + RxD <= '1'; + wait until rising_edge(sendChar); + -- transform char to bit vector + outChar_unsigned := to_unsigned( + character'pos(outChar), + outChar_unsigned'length + ); + outChar_unsigned(outChar_unsigned'high) := '1'; + if sendParity = '1' then + outChar_unsigned(outChar_unsigned'high) := parityInit; + for index in uartDataBitNb-2 downto 0 loop + outChar_unsigned(outChar_unsigned'high) + := outChar_unsigned(outChar_unsigned'high) + xor outChar_unsigned(index); + end loop; + end if; + outChar_debug <= outChar_unsigned; + -- send start bit + RxD <= '0'; + wait for baudPeriod; + -- send data bits + for index in outChar_unsigned'reverse_range loop + RxD <= outChar_unsigned(index); + wait for baudPeriod; + end loop; + end process uartSendChar; + +END ARCHITECTURE RTL; diff --git a/Libs/RS232_test/hdl/uvmRs232Monitor_sim.vhd b/Libs/RS232_test/hdl/uvmRs232Monitor_sim.vhd new file mode 100644 index 0000000..f0c217f --- /dev/null +++ b/Libs/RS232_test/hdl/uvmRs232Monitor_sim.vhd @@ -0,0 +1,79 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE RTL OF uvmRs232Monitor IS + + constant uartDataBitNb: positive := 8; + signal baudPeriod: time; + signal rxWord, txWord: natural; + signal startup, rxReceived, txReceived: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + baudPeriod <= 1.0/baudRate * 1 sec; + + ------------------------------------------------------------------------------ + -- receive RxD + receiveRxD: process + variable rxData: unsigned(uartDataBitNb-1 downto 0); + begin + rxReceived <= '0'; + -- start bit + wait until falling_edge(RxD); + wait for 1.5 * baudPeriod; + -- data bits + for index in rxData'reverse_range loop + rxData(index) := RxD; + wait for baudPeriod; + end loop; + -- store information + rxWord <= to_integer(rxData); + rxReceived <= '1'; + wait for 0 ns; + end process receiveRxD; + + ------------------------------------------------------------------------------ + -- receive RxD + receiveTxD: process + variable txData: unsigned(uartDataBitNb-1 downto 0); + begin + txReceived <= '0'; + -- start bit + wait until falling_edge(TxD); + wait for 1.5 * baudPeriod; + -- data bits + for index in txData'reverse_range loop + txData(index) := TxD; + wait for baudPeriod; + end loop; + -- store information + txWord <= to_integer(txData); + txReceived <= '1'; + wait for 0 ns; + end process receiveTxD; + + --============================================================================ + -- monitor acesses + startup <= '1', '0' after 1 ns; + + reportBusAccess: process(startup, rxReceived, txReceived) + begin + if startup = '1' then + monitorTransaction <= pad( + "idle", + monitorTransaction'length + ); + elsif rising_edge(rxReceived) then + monitorTransaction <= pad( + reportStart & " sent " & sprintf("%02X", rxWord), + monitorTransaction'length + ); + elsif rising_edge(txReceived) then + monitorTransaction <= pad( + reportStart & " received " & sprintf("%02X", txWord), + monitorTransaction'length + ); + end if; + end process reportBusAccess; + +END ARCHITECTURE RTL; diff --git a/Libs/RS232_test/hdl/uvmRs232_tester_test.vhd b/Libs/RS232_test/hdl/uvmRs232_tester_test.vhd new file mode 100644 index 0000000..4457cc9 --- /dev/null +++ b/Libs/RS232_test/hdl/uvmRs232_tester_test.vhd @@ -0,0 +1,92 @@ +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF uvmRs232_tester IS + -- reset and clock + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + -- RS232 speed + constant rs232Period: time := (1.0/rs232BaudRate) * 1 sec; + -- RS232 Rx + signal rs232RxChar : character := ' '; + -- RS232 Tx + signal rs232TxString : string(1 to 32); + signal rs232SendString: std_uLogic; + signal rs232SendDone: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- Tx sequence + txSequence : process + begin + rs232SendString <= '0'; + rs232TxString <= (others => ' '); + wait for 500 us; + -- send 'Hi' + rs232TxString <= pad("Hi", rs232TxString'length); + rs232SendString <= '1', '0' after 1 ns; + wait until rs232SendDone = '1'; + -- end of transmission + wait; + end process txSequence; + + --============================================================================ + -- RS232 Rx + storeRxByte: process(clock_int) + begin + if rising_edge(clock_int) then + if dataValid = '1' then + rs232RxChar <= character'val(to_integer(unsigned(dataOut))); + end if; + end if; + end process storeRxByte; + + ------------------------------------------------------------------------------ + -- RS232 Tx + rsSendString: process + constant rs232CharPeriod : time := 15*rs232Period; + variable outStringRight: natural; + variable outchar: character; + begin + -- wait for command + send <= '0'; + dataIn <= (others => '0'); + rs232SendDone <= '0'; + wait until rising_edge(rs232SendString); + -- find string length + outStringRight := rs232TxString'right; + while rs232TxString(outStringRight) = ' ' loop + outStringRight := outStringRight-1; + end loop; + -- send characters + for index in rs232TxString'left to outStringRight loop + outchar := rs232TxString(index); + dataIn <= std_ulogic_vector(to_unsigned( + character'pos(outchar), dataIn'length + )); + wait until rising_edge(clock_int); + send <= '1', '0' after clockPeriod; + wait for rs232CharPeriod; + end loop; + -- send carriage return + outchar := cr; + dataIn <= std_ulogic_vector(to_unsigned( + character'pos(outchar), dataIn'length + )); + wait until rising_edge(clock_int); + send <= '1', '0' after clockPeriod; + wait for rs232CharPeriod; + -- signal end of sending + rs232SendDone <= '1'; + wait for 1 ns; + + end process rsSendString; + +END ARCHITECTURE test; diff --git a/Libs/RS232_test/hds/.hdlsidedata/_serialPortFIFO_tester_test.vhd._fpf b/Libs/RS232_test/hds/.hdlsidedata/_serialPortFIFO_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/RS232_test/hds/.hdlsidedata/_serialPortFIFO_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/RS232_test/hds/.hdlsidedata/_serialPortTransmitter_tester_test.vhd._fpf b/Libs/RS232_test/hds/.hdlsidedata/_serialPortTransmitter_tester_test.vhd._fpf new file mode 100755 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RS232_test/hds/.hdlsidedata/_serialPortTransmitter_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Driver_sim.vhd._fpf b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Driver_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Driver_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Monitor_sim.vhd._fpf b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Monitor_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232Monitor_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232_tester_test.vhd._fpf b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232_tester_test.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RS232_test/hds/.hdlsidedata/_uvmRs232_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RS232_test/hds/_serialportfifo_tb._epf b/Libs/RS232_test/hds/_serialportfifo_tb._epf new file mode 100644 index 0000000..8e1dd6d --- /dev/null +++ b/Libs/RS232_test/hds/_serialportfifo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom serial@port@f@i@f@o_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/RS232_test/hds/_serialportfifo_tester._epf b/Libs/RS232_test/hds/_serialportfifo_tester._epf new file mode 100644 index 0000000..9cfc040 --- /dev/null +++ b/Libs/RS232_test/hds/_serialportfifo_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom serialPortFIFO_tester_test.vhd diff --git a/Libs/RS232_test/hds/_serialporttransmitter_tb._epf b/Libs/RS232_test/hds/_serialporttransmitter_tb._epf new file mode 100755 index 0000000..69fb538 --- /dev/null +++ b/Libs/RS232_test/hds/_serialporttransmitter_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom serial@port@transmitter_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/RS232_test/hds/_serialporttransmitter_tester._epf b/Libs/RS232_test/hds/_serialporttransmitter_tester._epf new file mode 100755 index 0000000..9cb3368 --- /dev/null +++ b/Libs/RS232_test/hds/_serialporttransmitter_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom serialPortTransmitter_tester_test.vhd diff --git a/Libs/RS232_test/hds/_uvmrs232_tb._epf b/Libs/RS232_test/hds/_uvmrs232_tb._epf new file mode 100644 index 0000000..52115b7 --- /dev/null +++ b/Libs/RS232_test/hds/_uvmrs232_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom uvm@rs232_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/RS232_test/hds/_uvmrs232_tester._epf b/Libs/RS232_test/hds/_uvmrs232_tester._epf new file mode 100644 index 0000000..c4afa6f --- /dev/null +++ b/Libs/RS232_test/hds/_uvmrs232_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom uvmRs232_tester_test.vhd diff --git a/Libs/RS232_test/hds/_uvmrs232agenthw._epf b/Libs/RS232_test/hds/_uvmrs232agenthw._epf new file mode 100644 index 0000000..afd781a --- /dev/null +++ b/Libs/RS232_test/hds/_uvmrs232agenthw._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvm@rs232@agent@hw/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/Libs/RS232_test/hds/_uvmrs232driver._epf b/Libs/RS232_test/hds/_uvmrs232driver._epf new file mode 100644 index 0000000..62531b0 --- /dev/null +++ b/Libs/RS232_test/hds/_uvmrs232driver._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvmRs232Driver_sim.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/RS232_test/hds/_uvmrs232monitor._epf b/Libs/RS232_test/hds/_uvmrs232monitor._epf new file mode 100644 index 0000000..04878e4 --- /dev/null +++ b/Libs/RS232_test/hds/_uvmrs232monitor._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom uvmRs232Monitor_sim.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd b/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd new file mode 100644 index 0000000..0250bc8 --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd @@ -0,0 +1,3430 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "RS232_test" +duName "serialPortFIFO_tester" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "baudRate" +type "real" +value "baudRate" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "RS232" +duName "serialPortFIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/baudRate)" +) +] +mwi 0 +uid 6734,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:02" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:02" +) +(vvPair +variable "unit" +value "serialPortFIFO_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85500,98800,86500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "58750,85350,69250,86650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91500,72400,92500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85500,82000,86500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87500,72400,88500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87500,54800,88500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89500,54200,90500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,90400,88200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89500,74200,90500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91500,55400,92500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "22000,67000,80000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "22600,74900,32300,76300" +st "RS232_test" +blo "22600,76100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "22600,76300,39900,77700" +st "serialPortFIFO_tester" +blo "22600,77500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "22600,77700,25000,79100" +st "I1" +blo "22600,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "23000,79600,48000,82300" +st "dataBitNb = dataBitNb ( positive ) +clockFrequency = clockFrequency ( real ) +baudRate = baudRate ( real ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "baudRate" +type "real" +value "baudRate" +) +] +) +) +*16 (SaComponent +uid 6734,0 +optionalChildren [ +*17 (CptPort +uid 6694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,46625,40000,47375" +) +tg (CPTG +uid 6696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6697,0 +va (VaSet +font "courier,9,0" +) +xt "41000,46400,43800,47600" +st "RxD" +blo "41000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*18 (CptPort +uid 6698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,56625,40000,57375" +) +tg (CPTG +uid 6700,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6701,0 +va (VaSet +font "courier,9,0" +) +xt "41000,56400,44400,57600" +st "clock" +blo "41000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*19 (CptPort +uid 6702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,58625,40000,59375" +) +tg (CPTG +uid 6704,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6705,0 +va (VaSet +font "courier,9,0" +) +xt "41000,58400,44300,59600" +st "reset" +blo "41000,59400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*20 (CptPort +uid 6706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6707,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,52625,40000,53375" +) +tg (CPTG +uid 6708,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6709,0 +va (VaSet +font "courier,9,0" +) +xt "41000,52400,43800,53600" +st "TxD" +blo "41000,53400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*21 (CptPort +uid 6710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6711,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,48625,56750,49375" +) +tg (CPTG +uid 6712,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6713,0 +va (VaSet +font "courier,9,0" +) +xt "50100,48400,55000,49600" +st "rxEmpty" +ju 2 +blo "55000,49400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 5 +suid 6,0 +) +) +) +*22 (CptPort +uid 6714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,54625,56750,55375" +) +tg (CPTG +uid 6716,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6717,0 +va (VaSet +font "courier,9,0" +) +xt "51500,54400,55000,55600" +st "txFull" +ju 2 +blo "55000,55400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 7,0 +) +) +) +*23 (CptPort +uid 6718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6719,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,50625,56750,51375" +) +tg (CPTG +uid 6720,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6721,0 +va (VaSet +font "courier,9,0" +) +xt "52000,50400,55000,51600" +st "rxRd" +ju 2 +blo "55000,51400" +) +) +thePort (LogicalPort +decl (Decl +n "rxRd" +t "std_ulogic" +o 6 +suid 8,0 +) +) +) +*24 (CptPort +uid 6722,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6723,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,56625,56750,57375" +) +tg (CPTG +uid 6724,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6725,0 +va (VaSet +font "courier,9,0" +) +xt "52000,56400,55000,57600" +st "txWr" +ju 2 +blo "55000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 9,0 +) +) +) +*25 (CptPort +uid 6726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6727,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,46625,56750,47375" +) +tg (CPTG +uid 6728,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6729,0 +va (VaSet +font "courier,9,0" +) +xt "50900,46400,55000,47600" +st "rxData" +ju 2 +blo "55000,47400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 2011,0 +) +) +) +*26 (CptPort +uid 6730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6731,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,52625,56750,53375" +) +tg (CPTG +uid 6732,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6733,0 +va (VaSet +font "courier,9,0" +) +xt "50900,52400,55000,53600" +st "txData" +ju 2 +blo "55000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 2012,0 +) +) +) +] +shape (Rectangle +uid 6735,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,43000,56000,61000" +) +oxt "40000,10000,56000,28000" +ttg (MlTextGroup +uid 6736,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 6737,0 +va (VaSet +font "courier,9,1" +) +xt "40600,60800,44300,62000" +st "RS232" +blo "40600,61800" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 6738,0 +va (VaSet +font "courier,9,1" +) +xt "40600,62000,49200,63200" +st "serialPortFIFO" +blo "40600,63000" +tm "CptNameMgr" +) +*29 (Text +uid 6739,0 +va (VaSet +font "courier,9,1" +) +xt "40600,63200,42300,64400" +st "I0" +blo "40600,64200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6740,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6741,0 +text (MLText +uid 6742,0 +va (VaSet +font "courier,8,0" +) +xt "40000,64600,74000,67300" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +baudRateDivide = integer(clockFrequency/baudRate) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/baudRate)" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*30 (Net +uid 6743,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 86,0 +) +declText (MLText +uid 6744,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL reset : std_ulogic" +) +) +*31 (Net +uid 6751,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 87,0 +) +declText (MLText +uid 6752,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL clock : std_ulogic" +) +) +*32 (Net +uid 6759,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 88,0 +) +declText (MLText +uid 6760,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL TxD : std_ulogic" +) +) +*33 (Net +uid 6767,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 89,0 +) +declText (MLText +uid 6768,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL RxD : std_ulogic" +) +) +*34 (Net +uid 6775,0 +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 90,0 +) +declText (MLText +uid 6776,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL txWr : std_ulogic" +) +) +*35 (Net +uid 6783,0 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 91,0 +) +declText (MLText +uid 6784,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL txFull : std_ulogic" +) +) +*36 (Net +uid 6791,0 +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 92,0 +) +declText (MLText +uid 6792,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,28500,900" +st "SIGNAL txData : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*37 (Net +uid 6799,0 +decl (Decl +n "rxRd" +t "std_ulogic" +o 7 +suid 93,0 +) +declText (MLText +uid 6800,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL rxRd : std_ulogic" +) +) +*38 (Net +uid 6807,0 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 6 +suid 94,0 +) +declText (MLText +uid 6808,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14000,900" +st "SIGNAL rxEmpty : std_ulogic" +) +) +*39 (Net +uid 6815,0 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 95,0 +) +declText (MLText +uid 6816,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,28500,900" +st "SIGNAL rxData : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*40 (Wire +uid 6745,0 +shape (OrthoPolyLine +uid 6746,0 +va (VaSet +vasetType 3 +) +xt "38000,59000,39250,67000" +pts [ +"39250,59000" +"38000,59000" +"38000,67000" +] +) +start &19 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6749,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6750,0 +va (VaSet +font "courier,12,0" +) +xt "34250,57600,37750,58900" +st "reset" +blo "34250,58600" +tm "WireNameMgr" +) +) +on &30 +) +*41 (Wire +uid 6753,0 +shape (OrthoPolyLine +uid 6754,0 +va (VaSet +vasetType 3 +) +xt "36000,57000,39250,67000" +pts [ +"39250,57000" +"36000,57000" +"36000,67000" +] +) +start &18 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6757,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6758,0 +va (VaSet +font "courier,12,0" +) +xt "34250,55600,37750,56900" +st "clock" +blo "34250,56600" +tm "WireNameMgr" +) +) +on &31 +) +*42 (Wire +uid 6761,0 +shape (OrthoPolyLine +uid 6762,0 +va (VaSet +vasetType 3 +) +xt "32000,53000,39250,67000" +pts [ +"39250,53000" +"32000,53000" +"32000,67000" +] +) +start &20 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6765,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6766,0 +va (VaSet +font "courier,12,0" +) +xt "35250,51600,37350,52900" +st "TxD" +blo "35250,52600" +tm "WireNameMgr" +) +) +on &32 +) +*43 (Wire +uid 6769,0 +shape (OrthoPolyLine +uid 6770,0 +va (VaSet +vasetType 3 +) +xt "30000,47000,39250,67000" +pts [ +"39250,47000" +"30000,47000" +"30000,67000" +] +) +start &17 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6773,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6774,0 +va (VaSet +font "courier,12,0" +) +xt "35250,45600,37350,46900" +st "RxD" +blo "35250,46600" +tm "WireNameMgr" +) +) +on &33 +) +*44 (Wire +uid 6777,0 +shape (OrthoPolyLine +uid 6778,0 +va (VaSet +vasetType 3 +) +xt "56750,57000,60000,67000" +pts [ +"56750,57000" +"60000,57000" +"60000,67000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6781,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6782,0 +va (VaSet +font "courier,12,0" +) +xt "58750,55600,61550,56900" +st "txWr" +blo "58750,56600" +tm "WireNameMgr" +) +) +on &34 +) +*45 (Wire +uid 6785,0 +shape (OrthoPolyLine +uid 6786,0 +va (VaSet +vasetType 3 +) +xt "56750,55000,62000,67000" +pts [ +"56750,55000" +"62000,55000" +"62000,67000" +] +) +start &22 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6789,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6790,0 +va (VaSet +font "courier,12,0" +) +xt "58750,53600,62950,54900" +st "txFull" +blo "58750,54600" +tm "WireNameMgr" +) +) +on &35 +) +*46 (Wire +uid 6793,0 +shape (OrthoPolyLine +uid 6794,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "56750,53000,64000,67000" +pts [ +"56750,53000" +"64000,53000" +"64000,67000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6797,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6798,0 +va (VaSet +font "courier,12,0" +) +xt "58750,51600,62950,52900" +st "txData" +blo "58750,52600" +tm "WireNameMgr" +) +) +on &36 +) +*47 (Wire +uid 6801,0 +shape (OrthoPolyLine +uid 6802,0 +va (VaSet +vasetType 3 +) +xt "56750,51000,68000,67000" +pts [ +"56750,51000" +"68000,51000" +"68000,67000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6805,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6806,0 +va (VaSet +font "courier,12,0" +) +xt "58750,49600,61550,50900" +st "rxRd" +blo "58750,50600" +tm "WireNameMgr" +) +) +on &37 +) +*48 (Wire +uid 6809,0 +shape (OrthoPolyLine +uid 6810,0 +va (VaSet +vasetType 3 +) +xt "56750,49000,70000,67000" +pts [ +"56750,49000" +"70000,49000" +"70000,67000" +] +) +start &21 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6813,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6814,0 +va (VaSet +font "courier,12,0" +) +xt "58750,47600,63650,48900" +st "rxEmpty" +blo "58750,48600" +tm "WireNameMgr" +) +) +on &38 +) +*49 (Wire +uid 6817,0 +shape (OrthoPolyLine +uid 6818,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "56750,47000,72000,67000" +pts [ +"56750,47000" +"72000,47000" +"72000,67000" +] +) +start &25 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6821,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6822,0 +va (VaSet +font "courier,12,0" +) +xt "58750,45600,62950,46900" +st "rxData" +blo "58750,46600" +tm "WireNameMgr" +) +) +on &39 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *50 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*52 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*54 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*55 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*57 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*59 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "54,-8,1688,1058" +viewArea "-8576,18017,106655,94974" +cachedDiagramExtent "-7000,0,102000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 7057,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*64 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*65 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*70 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*71 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*73 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*74 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*78 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*80 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25200,1600,26400" +st "Declarations" +blo "-7000,26200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,-2800,27600" +st "Ports:" +blo "-7000,27400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,26400,-1000,27600" +st "Pre User:" +blo "-7000,27400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,27600,19600,31600" +st "constant clockFrequency: real := 66.0E6; +constant baudRate: real := 16.0*9600.0; +constant dataBitNb: positive := 8; +constant fifoDepth: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,4000,27600" +st "Diagram Signals:" +blo "-7000,27400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,300,27600" +st "Post User:" +blo "-7000,27400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,40800,-5000,40800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 95,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 86,0 +) +) +uid 6823,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 87,0 +) +) +uid 6825,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 88,0 +) +) +uid 6827,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 89,0 +) +) +uid 6829,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 90,0 +) +) +uid 6831,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 91,0 +) +) +uid 6833,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 92,0 +) +) +uid 6835,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxRd" +t "std_ulogic" +o 7 +suid 93,0 +) +) +uid 6837,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 6 +suid 94,0 +) +) +uid 6839,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 95,0 +) +) +uid 6841,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 3326,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 3327,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 6824,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 6826,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 6828,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 6830,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 6832,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 6834,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 6836,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 6838,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 6840,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 6842,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 3330,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 3331,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 3332,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 3333,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 3334,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 3335,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 3336,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 3354,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 3355,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 3358,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 3359,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 3360,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 3361,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 3362,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 3363,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb b/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb new file mode 100644 index 0000000..08bef15 --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:02" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:02" +) +(vvPair +variable "unit" +value "serialPortFIFO_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,36550,22900" +st "RS232_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,40750,23900" +st "serialPortFIFO_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,45200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface b/Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface new file mode 100644 index 0000000..ce5a3bc --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface @@ -0,0 +1,1988 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 123,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 21,0 +) +) +uid 396,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 22,0 +) +) +uid 398,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 23,0 +) +) +uid 400,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 24,0 +) +) +uid 402,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 6 +suid 25,0 +) +) +uid 404,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd" +t "std_ulogic" +o 7 +suid 26,0 +) +) +uid 406,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 27,0 +) +) +uid 408,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 28,0 +) +) +uid 410,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 29,0 +) +) +uid 412,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 30,0 +) +) +uid 414,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 138,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 139,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 140,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 397,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 399,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 401,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 403,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 405,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 407,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 409,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 411,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 413,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 415,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 143,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 144,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 145,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 146,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 147,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 148,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 149,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 150,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 122,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 201,0 +) +*60 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "66.0E6" +) +uid 203,0 +) +*61 (LogGeneric +generic (GiElement +name "baudRate" +type "real" +value "9600.0" +) +uid 205,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*62 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *63 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 166,0 +optionalChildren [ +*64 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 167,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 168,0 +) +*66 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*67 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 202,0 +) +*68 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 204,0 +) +*69 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 206,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*70 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 171,0 +) +*71 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 172,0 +) +*72 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 173,0 +) +*73 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 174,0 +) +*74 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 175,0 +) +*75 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 176,0 +) +*76 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 177,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "serialPortFIFO_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:02" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortFIFO_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:46:02" +) +(vvPair +variable "unit" +value "serialPortFIFO_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 121,0 +optionalChildren [ +*77 (SymbolBody +uid 8,0 +optionalChildren [ +*78 (CptPort +uid 346,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 347,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 348,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 349,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "clock" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 350,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 21,0 +) +) +) +*79 (CptPort +uid 351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 352,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 353,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 354,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "reset" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 355,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 22,0 +) +) +) +*80 (CptPort +uid 356,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 357,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 358,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 359,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,8500" +st "RxD" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 360,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 23,0 +) +) +) +*81 (CptPort +uid 361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 362,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 363,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 364,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "64550,7000,65450,10000" +st "rxData" +ju 2 +blo "65250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 365,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,74000,3800" +st "rxData : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "rxData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 24,0 +) +) +) +*82 (CptPort +uid 366,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 367,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 368,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 369,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,10500" +st "rxEmpty" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 370,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "rxEmpty : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty" +t "std_ulogic" +o 6 +suid 25,0 +) +) +) +*83 (CptPort +uid 371,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 372,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 373,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 374,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,9000" +st "rxRd" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 375,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,59500,9200" +st "rxRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd" +t "std_ulogic" +o 7 +suid 26,0 +) +) +) +*84 (CptPort +uid 376,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 377,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 378,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 379,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,8500" +st "TxD" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "TxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 27,0 +) +) +) +*85 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 384,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,10000" +st "txData" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,74000,10100" +st "txData : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 28,0 +) +) +) +*86 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 389,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,10000" +st "txFull" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "txFull : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "txFull" +t "std_ulogic" +o 9 +suid 29,0 +) +) +) +*87 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,5250,53375,6000" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 394,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "52550,7000,53450,9000" +st "txWr" +ju 2 +blo "53250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,58500,11000" +st "txWr : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr" +t "std_ulogic" +o 10 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,73000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "38500,9100,44000,10000" +st "RS232_test" +blo "38500,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "38500,10000,49500,10900" +st "serialPortFIFO_tester" +blo "38500,10700" +) +) +gi *88 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "20000,6000,36500,10500" +st "Generic Declarations + +dataBitNb positive 8 +clockFrequency real 66.0E6 +baudRate real 9600.0 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "clockFrequency" +type "real" +value "66.0E6" +) +(GiElement +name "baudRate" +type "real" +value "9600.0" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*89 (Grouping +uid 16,0 +optionalChildren [ +*90 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *100 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*102 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "30,73,1194,622" +viewArea "-500,-500,84550,36130" +cachedDiagramExtent "0,0,75500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "RS232_test" +entityName "serialPortFIFO_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *103 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *104 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 415,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RS232_test/hds/serial@port@transmitter_tb/_struct.bd._fpf b/Libs/RS232_test/hds/serial@port@transmitter_tb/_struct.bd._fpf new file mode 100755 index 0000000..f9fd1de --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@transmitter_tb/_struct.bd._fpf @@ -0,0 +1,9 @@ +ARCHITECTURES list { + {serialporttransmitter_tb struct} list { + TASK_SETTINGS list { + PLUGIN_SETTINGS list { + ModelSimSimulator atom {TaskSetting Arguments {} TaskSetting Communication 1 TaskSetting DelaySelection typ TaskSetting GlitchGeneration 1 TaskSetting InitCmd {$SIMULATION_DIR/serialPortTransmitter.do} TaskSetting LogFile {} TaskSetting RemoteHost {} TaskSetting Resolution ps TaskSetting SdfDelay typ TaskSetting SdfMultiSrcDelay latest TaskSetting SdfReduce 0 TaskSetting SdfWarnings 1 TaskSetting TimingChecks 1 TaskSetting UseBatch 0 TaskSetting UseCLI 0 TaskSetting UseGUI 1 TaskSetting VitalVersion 95 TaskSetting autoNames 1 TaskSetting coverage 0 TaskSetting excludePSL 0 TaskSetting exepath %task_ModelSimPath TaskSetting minimumSimSetting 0 TaskSetting saveReplayScript 0 TaskSetting useCustomSimDir 0} + } + } + } +} diff --git a/Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd b/Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd new file mode 100644 index 0000000..c23de7a --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd @@ -0,0 +1,2995 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "RS232_test" +duName "serialPortTransmitter_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "baudRate" +type "real" +value "baudRate" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "stopBitNb" +type "positive" +value "stopBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "RS232" +duName "serialPortTransmitter" +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/baudRate)" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "stopBitNb" +type "positive" +value "stopBitNb" +) +] +mwi 0 +uid 7225,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.3 (Build 4)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serialPortTransmitter_tb" +) +(vvPair +variable "date" +value "08/07/23" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "serialPortTransmitter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/07/23" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "15:47:43" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortTransmitter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serialPortTransmitter_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "15:47:43" +) +(vvPair +variable "unit" +value "serialPortTransmitter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85500,98800,86500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "58750,85350,69250,86650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91500,72400,92500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85500,82000,86500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87500,72400,88500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87500,54800,88500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89500,54200,90500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,90400,88200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89500,66400,90500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91500,55400,92500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "20000,67000,68000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "20600,74900,28300,76200" +st "RS232_test" +blo "20600,75900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "20600,76200,40900,77500" +st "serialPortTransmitter_tester" +blo "20600,77200" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "20600,77500,26200,78800" +st "I_tester" +blo "20600,78500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "20000,79300,45000,82900" +st "clockFrequency = clockFrequency ( real ) +baudRate = baudRate ( real ) +dataBitNb = dataBitNb ( positive ) +stopBitNb = stopBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "baudRate" +type "real" +value "baudRate" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "stopBitNb" +type "positive" +value "stopBitNb" +) +] +) +) +*16 (Net +uid 6743,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 86,0 +) +declText (MLText +uid 6744,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6751,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 87,0 +) +declText (MLText +uid 6752,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6759,0 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 88,0 +) +declText (MLText +uid 6760,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL TxD : std_ulogic" +) +) +*19 (Net +uid 7177,0 +decl (Decl +n "busy" +t "std_ulogic" +o 4 +suid 96,0 +) +declText (MLText +uid 7178,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL busy : std_ulogic" +) +) +*20 (Net +uid 7185,0 +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 97,0 +) +declText (MLText +uid 7186,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL send : std_ulogic" +) +) +*21 (Net +uid 7193,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 98,0 +) +declText (MLText +uid 7194,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,28000,900" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*22 (SaComponent +uid 7225,0 +optionalChildren [ +*23 (CptPort +uid 7201,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7202,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,46625,56750,47375" +) +tg (CPTG +uid 7203,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7204,0 +va (VaSet +font "courier,9,0" +) +xt "53500,46400,55000,47300" +st "TxD" +ju 2 +blo "55000,47100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*24 (CptPort +uid 7205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,54625,40000,55375" +) +tg (CPTG +uid 7207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7208,0 +va (VaSet +font "courier,9,0" +) +xt "41000,54400,43500,55300" +st "clock" +blo "41000,55100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*25 (CptPort +uid 7209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,56625,40000,57375" +) +tg (CPTG +uid 7211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7212,0 +va (VaSet +font "courier,9,0" +) +xt "41000,56400,43500,57300" +st "reset" +blo "41000,57100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*26 (CptPort +uid 7213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,46625,40000,47375" +) +tg (CPTG +uid 7215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7216,0 +va (VaSet +font "courier,9,0" +) +xt "40999,46400,43999,47300" +st "dataIn" +blo "40999,47100" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*27 (CptPort +uid 7217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,48625,40000,49375" +) +tg (CPTG +uid 7219,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7220,0 +va (VaSet +font "courier,9,0" +) +xt "41000,48400,43000,49300" +st "send" +blo "41000,49100" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*28 (CptPort +uid 7221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7222,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,50625,40000,51375" +) +tg (CPTG +uid 7223,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7224,0 +va (VaSet +font "courier,9,0" +) +xt "41000,50400,43000,51300" +st "busy" +blo "41000,51100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 7226,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,43000,56000,59000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 7227,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 7228,0 +va (VaSet +font "courier,9,1" +) +xt "40600,58800,43100,59700" +st "RS232" +blo "40600,59500" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 7229,0 +va (VaSet +font "courier,9,1" +) +xt "40600,59700,51600,60600" +st "serialPortTransmitter" +blo "40600,60400" +tm "CptNameMgr" +) +*31 (Text +uid 7230,0 +va (VaSet +font "courier,9,1" +) +xt "40600,60600,43100,61500" +st "I_DUT" +blo "40600,61300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7231,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7232,0 +text (MLText +uid 7233,0 +va (VaSet +font "courier,8,0" +) +xt "40000,61800,74000,64500" +st "baudRateDivide = integer(clockFrequency/baudRate) ( positive ) +dataBitNb = dataBitNb ( positive ) +stopBitNb = stopBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/baudRate)" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "stopBitNb" +type "positive" +value "stopBitNb" +) +] +) +ordering 1 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*32 (Wire +uid 6745,0 +shape (OrthoPolyLine +uid 6746,0 +va (VaSet +vasetType 3 +) +xt "38000,57000,39250,67000" +pts [ +"39250,57000" +"38000,57000" +"38000,67000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6749,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6750,0 +va (VaSet +font "courier,12,0" +) +xt "34250,55600,37750,56900" +st "reset" +blo "34250,56600" +tm "WireNameMgr" +) +) +on &16 +) +*33 (Wire +uid 6753,0 +shape (OrthoPolyLine +uid 6754,0 +va (VaSet +vasetType 3 +) +xt "36000,55000,39250,67000" +pts [ +"39250,55000" +"36000,55000" +"36000,67000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6757,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6758,0 +va (VaSet +font "courier,12,0" +) +xt "34250,53600,37750,54900" +st "clock" +blo "34250,54600" +tm "WireNameMgr" +) +) +on &17 +) +*34 (Wire +uid 6761,0 +shape (OrthoPolyLine +uid 6762,0 +va (VaSet +vasetType 3 +) +xt "56750,47000,60000,67000" +pts [ +"56750,47000" +"60000,47000" +"60000,67000" +] +) +start &23 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6765,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6766,0 +va (VaSet +font "courier,12,0" +) +xt "58000,45700,60100,47000" +st "TxD" +blo "58000,46700" +tm "WireNameMgr" +) +) +on &18 +) +*35 (Wire +uid 7179,0 +shape (OrthoPolyLine +uid 7180,0 +va (VaSet +vasetType 3 +) +xt "32000,51000,39250,67000" +pts [ +"39250,51000" +"32000,51000" +"32000,67000" +] +) +start &28 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7183,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7184,0 +va (VaSet +font "courier,12,0" +) +xt "35250,49700,38050,51000" +st "busy" +blo "35250,50700" +tm "WireNameMgr" +) +) +on &19 +) +*36 (Wire +uid 7187,0 +shape (OrthoPolyLine +uid 7188,0 +va (VaSet +vasetType 3 +) +xt "30000,49000,39250,67000" +pts [ +"39250,49000" +"30000,49000" +"30000,67000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7191,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7192,0 +va (VaSet +font "courier,12,0" +) +xt "35250,47700,38050,49000" +st "send" +blo "35250,48700" +tm "WireNameMgr" +) +) +on &20 +) +*37 (Wire +uid 7195,0 +shape (OrthoPolyLine +uid 7196,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,47000,39250,67000" +pts [ +"39250,47000" +"28000,47000" +"28000,67000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7199,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7200,0 +va (VaSet +font "courier,12,0" +) +xt "34250,45700,38450,47000" +st "dataIn" +blo "34250,46700" +tm "WireNameMgr" +) +) +on &21 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *38 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*40 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*42 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*43 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*44 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*45 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*46 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*47 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "102,1158,1352,2093" +viewArea "-8635,18017,104239,99128" +cachedDiagramExtent "-7000,0,102000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 7274,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*50 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*52 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*53 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*56 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*58 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*59 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*61 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*62 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*64 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*66 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*68 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25200,1600,26400" +st "Declarations" +blo "-7000,26200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,-2800,27600" +st "Ports:" +blo "-7000,27400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,26400,-1000,27600" +st "Pre User:" +blo "-7000,27400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,27600,19600,31600" +st "constant clockFrequency: real := 66.0E6; +constant baudRate: real := 16.0*9600.0; +constant dataBitNb: positive := 8; +constant stopBitNb: positive := 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,4000,27600" +st "Diagram Signals:" +blo "-7000,27400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,26400,300,27600" +st "Post User:" +blo "-7000,27400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,40800,-5000,40800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 98,0 +usingSuid 1 +emptyRow *69 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*77 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*78 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*79 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*80 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*81 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 86,0 +) +) +uid 6823,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 87,0 +) +) +uid 6825,0 +) +*84 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 88,0 +) +) +uid 6827,0 +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 4 +suid 96,0 +) +) +uid 7234,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 97,0 +) +) +uid 7236,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 98,0 +) +) +uid 7238,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*88 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *89 (MRCItem +litem &69 +pos 6 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*90 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 3326,0 +) +*91 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 3327,0 +) +*92 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*93 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 6824,0 +) +*94 (MRCItem +litem &83 +pos 1 +dimension 20 +uid 6826,0 +) +*95 (MRCItem +litem &84 +pos 2 +dimension 20 +uid 6828,0 +) +*96 (MRCItem +litem &85 +pos 3 +dimension 20 +uid 7235,0 +) +*97 (MRCItem +litem &86 +pos 4 +dimension 20 +uid 7237,0 +) +*98 (MRCItem +litem &87 +pos 5 +dimension 20 +uid 7239,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*99 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 3330,0 +) +*100 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 3331,0 +) +*101 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 3332,0 +) +*102 (MRCItem +litem &77 +pos 3 +dimension 50 +uid 3333,0 +) +*103 (MRCItem +litem &78 +pos 4 +dimension 100 +uid 3334,0 +) +*104 (MRCItem +litem &79 +pos 5 +dimension 100 +uid 3335,0 +) +*105 (MRCItem +litem &80 +pos 6 +dimension 50 +uid 3336,0 +) +*106 (MRCItem +litem &81 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *107 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*108 (RefLabelRowHdr +) +*109 (TitleRowHdr +) +*110 (FilterRowHdr +) +*111 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*112 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*113 (GroupColHdr +tm "GroupColHdrMgr" +) +*114 (NameColHdr +tm "GenericNameColHdrMgr" +) +*115 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*116 (InitColHdr +tm "GenericValueColHdrMgr" +) +*117 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*118 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*119 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *120 (MRCItem +litem &107 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*121 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 3354,0 +) +*122 (MRCItem +litem &109 +pos 1 +dimension 23 +uid 3355,0 +) +*123 (MRCItem +litem &110 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*124 (MRCItem +litem &111 +pos 0 +dimension 20 +uid 3358,0 +) +*125 (MRCItem +litem &113 +pos 1 +dimension 50 +uid 3359,0 +) +*126 (MRCItem +litem &114 +pos 2 +dimension 100 +uid 3360,0 +) +*127 (MRCItem +litem &115 +pos 3 +dimension 100 +uid 3361,0 +) +*128 (MRCItem +litem &116 +pos 4 +dimension 50 +uid 3362,0 +) +*129 (MRCItem +litem &117 +pos 5 +dimension 50 +uid 3363,0 +) +*130 (MRCItem +litem &118 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RS232_test/hds/serial@port@transmitter_tb/symbol.sb b/Libs/RS232_test/hds/serial@port@transmitter_tb/symbol.sb new file mode 100644 index 0000000..08bef15 --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@transmitter_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:02" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/RS232/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortFIFO_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serial@port@f@i@f@o_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/RS232_test/hds/serialPortFIFO_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:02" +) +(vvPair +variable "unit" +value "serialPortFIFO_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,36550,22900" +st "RS232_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,40750,23900" +st "serialPortFIFO_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,45200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/RS232_test/hds/serial@port@transmitter_tester/interface b/Libs/RS232_test/hds/serial@port@transmitter_tester/interface new file mode 100644 index 0000000..4f28779 --- /dev/null +++ b/Libs/RS232_test/hds/serial@port@transmitter_tester/interface @@ -0,0 +1,1755 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 234,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 8,0 +) +) +uid 236,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 238,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 10,0 +) +) +uid 240,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 11,0 +) +) +uid 242,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 12,0 +) +) +uid 244,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 235,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 237,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 239,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 241,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 243,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 245,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "" +) +uid 173,0 +) +*52 (LogGeneric +generic (GiElement +name "baudRate" +type "real" +value "" +) +uid 175,0 +) +*53 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "" +) +uid 177,0 +) +*54 (LogGeneric +generic (GiElement +name "stopBitNb" +type "positive" +value "" +) +uid 179,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *56 (MRCItem +litem &39 +pos 4 +dimension 20 +) +uid 138,0 +optionalChildren [ +*57 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*58 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*59 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*60 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 174,0 +) +*61 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 176,0 +) +*62 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 178,0 +) +*63 (MRCItem +litem &54 +pos 3 +dimension 20 +uid 180,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*64 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*65 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*66 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*67 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*68 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*69 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*70 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serialPortTransmitter_tester" +) +(vvPair +variable "date" +value "08/07/23" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "serialPortTransmitter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/07/23" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "13:24:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "serialPortTransmitter_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serial@port@transmitter_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projets/Comet_Camera/FPGA/Prefs/../Libs/RS232_test/hds/serialPortTransmitter_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:24:28" +) +(vvPair +variable "unit" +value "serialPortTransmitter_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2023" +) +(vvPair +variable "yy" +value "23" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 207,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9000" +st "busy" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,59000,3600" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 4 +suid 7,0 +) +) +) +*73 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "clock" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,59000,4500" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 8,0 +) +) +) +*74 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "dataIn" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,73500,5400" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +) +*75 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,9500" +st "reset" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5400,59000,6300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 10,0 +) +) +) +*76 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 227,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,9000" +st "send" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,58000,7200" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 11,0 +) +) +) +*77 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,8500" +st "TxD" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,59000,2700" +st "TxD : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_ulogic" +o 2 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,63000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31750,9100,37250,10000" +st "RS232_test" +blo "31750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31750,10000,46250,10900" +st "serialPortTransmitter_tester" +blo "31750,10700" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "12000,6000,25500,11400" +st "Generic Declarations + +clockFrequency real +baudRate real +dataBitNb positive +stopBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "" +) +(GiElement +name "baudRate" +type "real" +value "" +) +(GiElement +name "dataBitNb" +type "positive" +value "" +) +(GiElement +name "stopBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,54700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,40700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*92 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "652,1292,1667,1982" +viewArea "-500,-500,70780,46210" +cachedDiagramExtent "0,0,73500,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "RS232_test" +entityName "serialPortTransmitter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,7200,44500,8100" +st "User:" +blo "42000,7900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8100,44000,8100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 245,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd b/Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd new file mode 100644 index 0000000..5b6ffb3 --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd @@ -0,0 +1,3407 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +instances [ +(Instance +name "I_file2trans" +duLibraryName "UVM" +duName "driverControl" +elements [ +(GiElement +name "driverFileSpec" +type "string" +value "driverFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "driverVerbosity" +) +] +mwi 0 +uid 1172,0 +) +(Instance +name "U_trans2File" +duLibraryName "UVM" +duName "monitorSupervision" +elements [ +(GiElement +name "monitorFileSpec" +type "string" +value "monitorFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "monitorVerbosity" +) +] +mwi 0 +uid 1186,0 +) +(Instance +name "I_mon" +duLibraryName "RS232_test" +duName "uvmRs232Monitor" +elements [ +] +mwi 0 +uid 1321,0 +) +(Instance +name "I_driv" +duLibraryName "RS232_test" +duName "uvmRs232Driver" +elements [ +] +mwi 0 +uid 1486,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.3 (Build 4)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@agent@hw" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvmRs232AgentHw" +) +(vvPair +variable "date" +value "03/01/22" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232AgentHw" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/01/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "08:51:20" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "uvmRs232AgentHw" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@agent@hw/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvmRs232AgentHw/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:51:20" +) +(vvPair +variable "unit" +value "uvmRs232AgentHw" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,73000,88400,74000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,69000,92800,70000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,71000,88400,72000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,71000,71800,72000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,70200,102400,71200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "93200,69000,95000,70000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "74000,69500,83000,70500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,72000,71200,73000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,73000,71800,74000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,72000,89000,73000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 455,0 +decl (Decl +n "driverTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 4 +suid 9,0 +) +declText (MLText +uid 456,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,33000,15500" +st "SIGNAL driverTransaction : string(1 TO ahbTransactionLength)" +) +) +*13 (Net +uid 461,0 +decl (Decl +n "monitorTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 5 +suid 10,0 +) +declText (MLText +uid 462,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,33000,16400" +st "SIGNAL monitorTransaction : string(1 TO ahbTransactionLength)" +) +) +*14 (Net +uid 1040,0 +decl (Decl +n "baudRate" +t "real" +o 3 +suid 17,0 +) +declText (MLText +uid 1041,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,18500,14600" +st "SIGNAL baudRate : real" +) +) +*15 (Net +uid 1092,0 +decl (Decl +n "TxD" +t "std_uLogic" +o 1 +suid 19,0 +) +declText (MLText +uid 1093,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18000,10100" +st "TxD : std_uLogic" +) +) +*16 (PortIoIn +uid 1094,0 +shape (CompositeShape +uid 1095,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1096,0 +sl 0 +ro 90 +xt "92500,35625,94000,36375" +) +(Line +uid 1097,0 +sl 0 +ro 90 +xt "92000,36000,92500,36000" +pts [ +"92500,36000" +"92000,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1098,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1099,0 +va (VaSet +isHidden 1 +) +xt "95000,35500,96800,36500" +st "TxD" +blo "95000,36300" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 1100,0 +shape (CompositeShape +uid 1101,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1102,0 +sl 0 +ro 270 +xt "92500,17625,94000,18375" +) +(Line +uid 1103,0 +sl 0 +ro 270 +xt "92000,18000,92500,18000" +pts [ +"92000,18000" +"92500,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1104,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1105,0 +va (VaSet +isHidden 1 +) +xt "95000,17500,96800,18500" +st "RxD" +blo "95000,18300" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 1106,0 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 20,0 +) +declText (MLText +uid 1107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18000,11000" +st "RxD : std_uLogic" +) +) +*19 (SaComponent +uid 1172,0 +optionalChildren [ +*20 (CptPort +uid 1168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,17625,52750,18375" +) +tg (CPTG +uid 1170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1171,0 +va (VaSet +font "courier,12,0" +) +xt "38400,17300,51000,18600" +st "driverTransaction" +ju 2 +blo "51000,18300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "driverTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 1173,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,14000,52000,22000" +) +oxt "29000,12000,45000,20000" +ttg (MlTextGroup +uid 1174,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 1175,0 +va (VaSet +) +xt "36100,21700,37900,22700" +st "UVM" +blo "36100,22500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 1176,0 +va (VaSet +) +xt "36100,22700,44500,23700" +st "driverControl" +blo "36100,23500" +tm "CptNameMgr" +) +*23 (Text +uid 1177,0 +va (VaSet +) +xt "36100,23700,43900,24700" +st "I_file2trans" +blo "36100,24500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1178,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1179,0 +text (MLText +uid 1180,0 +va (VaSet +font "courier,8,0" +) +xt "36000,26000,61000,27800" +st "driverFileSpec = driverFileSpec ( string ) +verbosity = driverVerbosity ( natural ) " +) +header "" +) +elements [ +(GiElement +name "driverFileSpec" +type "string" +value "driverFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "driverVerbosity" +) +] +) +viewicon (ZoomableIcon +uid 1181,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,20250,37750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (SaComponent +uid 1186,0 +optionalChildren [ +*25 (CptPort +uid 1182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1183,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,33625,52750,34375" +) +tg (CPTG +uid 1184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1185,0 +va (VaSet +font "courier,12,0" +) +xt "37700,33300,51000,34600" +st "monitorTransaction" +ju 2 +blo "51000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "monitorTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +] +shape (Rectangle +uid 1187,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,30000,52000,38000" +) +oxt "29000,12000,45000,20000" +ttg (MlTextGroup +uid 1188,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 1189,0 +va (VaSet +) +xt "36100,37700,37900,38700" +st "UVM" +blo "36100,38500" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 1190,0 +va (VaSet +) +xt "36100,38700,47500,39700" +st "monitorSupervision" +blo "36100,39500" +tm "CptNameMgr" +) +*28 (Text +uid 1191,0 +va (VaSet +) +xt "36100,39700,43900,40700" +st "U_trans2File" +blo "36100,40500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1192,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1193,0 +text (MLText +uid 1194,0 +va (VaSet +font "courier,8,0" +) +xt "36000,42000,62000,43800" +st "monitorFileSpec = monitorFileSpec ( string ) +verbosity = monitorVerbosity ( natural ) " +) +header "" +) +elements [ +(GiElement +name "monitorFileSpec" +type "string" +value "monitorFileSpec" +) +(GiElement +name "verbosity" +type "natural" +value "monitorVerbosity" +) +] +) +viewicon (ZoomableIcon +uid 1195,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,36250,37750,37750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*29 (SaComponent +uid 1321,0 +optionalChildren [ +*30 (CptPort +uid 1305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1306,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,33625,84750,34375" +) +tg (CPTG +uid 1307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1308,0 +va (VaSet +font "courier,12,0" +) +xt "80900,33300,83000,34600" +st "RxD" +ju 2 +blo "83000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_uLogic" +o 1 +suid 2061,0 +) +) +) +*31 (CptPort +uid 1309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1310,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,35625,84750,36375" +) +tg (CPTG +uid 1311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1312,0 +va (VaSet +font "courier,12,0" +) +xt "80900,35300,83000,36600" +st "TxD" +ju 2 +blo "83000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 2 +suid 2062,0 +) +) +) +*32 (CptPort +uid 1313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1314,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,33625,68000,34375" +) +tg (CPTG +uid 1315,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1316,0 +va (VaSet +font "courier,12,0" +) +xt "69000,33300,82300,34600" +st "monitorTransaction" +blo "69000,34300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 3 +suid 2071,0 +) +) +) +*33 (CptPort +uid 1317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1318,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,29250,76375,30000" +) +tg (CPTG +uid 1319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1320,0 +va (VaSet +font "courier,12,0" +) +xt "73000,31000,78600,32300" +st "baudRate" +ju 2 +blo "78600,32000" +) +) +thePort (LogicalPort +decl (Decl +n "baudRate" +t "real" +o 4 +suid 2075,0 +) +) +) +] +shape (Rectangle +uid 1322,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,30000,84000,40000" +) +oxt "47000,32000,63000,42000" +ttg (MlTextGroup +uid 1323,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 1324,0 +va (VaSet +) +xt "68100,39700,74700,40700" +st "RS232_test" +blo "68100,40500" +tm "BdLibraryNameMgr" +) +*35 (Text +uid 1325,0 +va (VaSet +) +xt "68100,40700,77700,41700" +st "uvmRs232Monitor" +blo "68100,41500" +tm "CptNameMgr" +) +*36 (Text +uid 1326,0 +va (VaSet +) +xt "68100,41700,71100,42700" +st "I_mon" +blo "68100,42500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1327,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1328,0 +text (MLText +uid 1329,0 +va (VaSet +font "courier,8,0" +) +xt "68000,44000,68000,44000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1330,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,38250,69750,39750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*37 (SaComponent +uid 1486,0 +optionalChildren [ +*38 (CptPort +uid 1474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,17625,84750,18375" +) +tg (CPTG +uid 1476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1477,0 +va (VaSet +font "courier,12,0" +) +xt "80900,17300,83000,18600" +st "RxD" +ju 2 +blo "83000,18300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 2063,0 +) +) +) +*39 (CptPort +uid 1478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,17625,68000,18375" +) +tg (CPTG +uid 1480,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1481,0 +va (VaSet +font "courier,12,0" +) +xt "69000,17300,81600,18600" +st "driverTransaction" +blo "69000,18300" +) +) +thePort (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +*40 (CptPort +uid 1482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1483,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,22000,76375,22750" +) +tg (CPTG +uid 1484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1485,0 +va (VaSet +font "courier,12,0" +) +xt "73000,19600,78600,20900" +st "baudRate" +blo "73000,20600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "baudRate" +t "real" +o 3 +suid 2075,0 +) +) +) +] +shape (Rectangle +uid 1487,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,14000,84000,22000" +) +oxt "47000,34000,63000,42000" +ttg (MlTextGroup +uid 1488,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 1489,0 +va (VaSet +) +xt "68100,21700,74700,22700" +st "RS232_test" +blo "68100,22500" +tm "BdLibraryNameMgr" +) +*42 (Text +uid 1490,0 +va (VaSet +) +xt "68100,22700,77100,23700" +st "uvmRs232Driver" +blo "68100,23500" +tm "CptNameMgr" +) +*43 (Text +uid 1491,0 +va (VaSet +) +xt "68100,23700,71700,24700" +st "I_driv" +blo "68100,24500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1492,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1493,0 +text (MLText +uid 1494,0 +va (VaSet +font "courier,8,0" +) +xt "68000,26000,68000,26000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1495,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,20250,69750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*44 (Wire +uid 457,0 +shape (OrthoPolyLine +uid 458,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,18000,67250,18000" +pts [ +"52750,18000" +"67250,18000" +] +) +start &20 +end &39 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 459,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 460,0 +va (VaSet +font "courier,12,0" +) +xt "54000,16600,66600,17900" +st "driverTransaction" +blo "54000,17600" +tm "WireNameMgr" +) +) +on &12 +) +*45 (Wire +uid 463,0 +shape (OrthoPolyLine +uid 464,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,34000,67250,34000" +pts [ +"52750,34000" +"67250,34000" +] +) +start &25 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 465,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 466,0 +va (VaSet +font "courier,12,0" +) +xt "54000,32600,67300,33900" +st "monitorTransaction" +blo "54000,33600" +tm "WireNameMgr" +) +) +on &13 +) +*46 (Wire +uid 727,0 +optionalChildren [ +*47 (BdJunction +uid 982,0 +ps "OnConnectorStrategy" +shape (Circle +uid 983,0 +va (VaSet +vasetType 1 +) +xt "87600,17600,88400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 728,0 +va (VaSet +vasetType 3 +) +xt "84750,18000,92000,18000" +pts [ +"84750,18000" +"92000,18000" +] +) +start &38 +end &17 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 731,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 732,0 +va (VaSet +font "courier,12,0" +) +xt "90000,16600,92100,17900" +st "RxD" +blo "90000,17600" +tm "WireNameMgr" +) +) +on &18 +) +*48 (Wire +uid 741,0 +shape (OrthoPolyLine +uid 742,0 +va (VaSet +vasetType 3 +) +xt "84750,36000,92000,36000" +pts [ +"92000,36000" +"84750,36000" +] +) +start &16 +end &31 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 745,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 746,0 +va (VaSet +font "courier,12,0" +) +xt "90000,34600,92100,35900" +st "TxD" +blo "90000,35600" +tm "WireNameMgr" +) +) +on &15 +) +*49 (Wire +uid 978,0 +shape (OrthoPolyLine +uid 979,0 +va (VaSet +vasetType 3 +) +xt "84750,18000,88000,34000" +pts [ +"88000,18000" +"88000,34000" +"84750,34000" +] +) +start &47 +end &30 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 980,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 981,0 +va (VaSet +) +xt "86750,33000,88550,34000" +st "RxD" +blo "86750,33800" +tm "WireNameMgr" +) +) +on &18 +) +*50 (Wire +uid 1042,0 +shape (OrthoPolyLine +uid 1043,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,22750,76000,29250" +pts [ +"76000,22750" +"76000,29250" +] +) +start &40 +end &33 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1044,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1045,0 +ro 270 +va (VaSet +) +xt "74800,23550,75800,28350" +st "baudRate" +blo "75600,28350" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *51 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*53 (MLText +uid 43,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*55 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*56 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*57 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*58 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*59 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*60 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "4142,560,5406,1407" +viewArea "-1500,-1500,88026,56808" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1541,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*62 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*63 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*65 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*66 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*68 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*69 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*71 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*72 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*74 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*75 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*77 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*79 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*81 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,11000,4500,11900" +st "Pre User:" +blo "0,11700" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,25500,12800" +st "constant ahbTransactionLength: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,12800,8500,13700" +st "Diagram Signals:" +blo "0,13500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,5500,8300" +st "Post User:" +blo "0,8100" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 21,0 +usingSuid 1 +emptyRow *82 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*83 (RefLabelRowHdr +) +*84 (TitleRowHdr +) +*85 (FilterRowHdr +) +*86 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*87 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*88 (GroupColHdr +tm "GroupColHdrMgr" +) +*89 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*90 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*91 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*92 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*93 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*94 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "driverTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 4 +suid 9,0 +) +) +uid 467,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "monitorTransaction" +t "string" +b "(1 TO ahbTransactionLength)" +o 5 +suid 10,0 +) +) +uid 469,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "baudRate" +t "real" +o 3 +suid 17,0 +) +) +uid 1046,0 +) +*98 (LeafLogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 1 +suid 19,0 +) +) +uid 1108,0 +) +*99 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 20,0 +) +) +uid 1110,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*100 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *101 (MRCItem +litem &82 +pos 5 +dimension 20 +) +uid 69,0 +optionalChildren [ +*102 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 70,0 +) +*103 (MRCItem +litem &84 +pos 1 +dimension 23 +uid 71,0 +) +*104 (MRCItem +litem &85 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*105 (MRCItem +litem &95 +pos 2 +dimension 20 +uid 468,0 +) +*106 (MRCItem +litem &96 +pos 3 +dimension 20 +uid 470,0 +) +*107 (MRCItem +litem &97 +pos 4 +dimension 20 +uid 1047,0 +) +*108 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 1109,0 +) +*109 (MRCItem +litem &99 +pos 1 +dimension 20 +uid 1111,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*110 (MRCItem +litem &86 +pos 0 +dimension 20 +uid 74,0 +) +*111 (MRCItem +litem &88 +pos 1 +dimension 50 +uid 75,0 +) +*112 (MRCItem +litem &89 +pos 2 +dimension 100 +uid 76,0 +) +*113 (MRCItem +litem &90 +pos 3 +dimension 50 +uid 77,0 +) +*114 (MRCItem +litem &91 +pos 4 +dimension 100 +uid 78,0 +) +*115 (MRCItem +litem &92 +pos 5 +dimension 100 +uid 79,0 +) +*116 (MRCItem +litem &93 +pos 6 +dimension 50 +uid 80,0 +) +*117 (MRCItem +litem &94 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *118 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*119 (RefLabelRowHdr +) +*120 (TitleRowHdr +) +*121 (FilterRowHdr +) +*122 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*123 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*124 (GroupColHdr +tm "GroupColHdrMgr" +) +*125 (NameColHdr +tm "GenericNameColHdrMgr" +) +*126 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*127 (InitColHdr +tm "GenericValueColHdrMgr" +) +*128 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*129 (EolColHdr +tm "GenericEolColHdrMgr" +) +*130 (LogGeneric +generic (GiElement +name "driverVerbosity" +type "integer" +value "" +) +uid 629,0 +) +*131 (LogGeneric +generic (GiElement +name "monitorVerbosity" +type "integer" +value "" +) +uid 631,0 +) +*132 (LogGeneric +generic (GiElement +name "driverFileSpec" +type "string" +value "" +) +uid 633,0 +) +*133 (LogGeneric +generic (GiElement +name "monitorFileSpec" +type "string" +value "" +) +uid 635,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*134 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *135 (MRCItem +litem &118 +pos 4 +dimension 20 +) +uid 97,0 +optionalChildren [ +*136 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 98,0 +) +*137 (MRCItem +litem &120 +pos 1 +dimension 23 +uid 99,0 +) +*138 (MRCItem +litem &121 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*139 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 628,0 +) +*140 (MRCItem +litem &131 +pos 2 +dimension 20 +uid 630,0 +) +*141 (MRCItem +litem &132 +pos 1 +dimension 20 +uid 632,0 +) +*142 (MRCItem +litem &133 +pos 3 +dimension 20 +uid 634,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*143 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 102,0 +) +*144 (MRCItem +litem &124 +pos 1 +dimension 50 +uid 103,0 +) +*145 (MRCItem +litem &125 +pos 2 +dimension 100 +uid 104,0 +) +*146 (MRCItem +litem &126 +pos 3 +dimension 100 +uid 105,0 +) +*147 (MRCItem +litem &127 +pos 4 +dimension 50 +uid 106,0 +) +*148 (MRCItem +litem &128 +pos 5 +dimension 50 +uid 107,0 +) +*149 (MRCItem +litem &129 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb b/Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb new file mode 100644 index 0000000..edf91ae --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb @@ -0,0 +1,1500 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 1 +suid 21,0 +) +) +uid 436,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 24,0 +) +) +uid 442,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 68,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 437,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 443,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "driverVerbosity" +type "integer" +value "" +) +uid 525,0 +) +*44 (LogGeneric +generic (GiElement +name "monitorVerbosity" +type "integer" +value "" +) +uid 527,0 +) +*45 (LogGeneric +generic (GiElement +name "driverFileSpec" +type "string" +value "" +) +uid 529,0 +) +*46 (LogGeneric +generic (GiElement +name "monitorFileSpec" +type "string" +value "" +) +uid 531,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &31 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*49 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 97,0 +) +*50 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 98,0 +) +*51 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*52 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 526,0 +) +*53 (MRCItem +litem &44 +pos 2 +dimension 20 +uid 528,0 +) +*54 (MRCItem +litem &45 +pos 1 +dimension 20 +uid 530,0 +) +*55 (MRCItem +litem &46 +pos 3 +dimension 20 +uid 532,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@agent@hw" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232AgentHw" +) +(vvPair +variable "date" +value "03/01/22" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232AgentHw" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/01/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "08:17:08" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "uvmRs232AgentHw" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@agent@hw/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232AgentHw/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:17:08" +) +(vvPair +variable "unit" +value "uvmRs232AgentHw" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 833,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,18625,47750,19375" +) +tg (CPTG +uid 384,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 385,0 +va (VaSet +font "courier,9,0" +) +xt "43200,18400,46000,19600" +st "TxD" +ju 2 +blo "46000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 386,0 +va (VaSet +font "courier,8,0" +) +xt "0,7400,13500,8300" +st "TxD : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 1 +suid 21,0 +) +) +) +*65 (CptPort +uid 397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 834,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47000,20625,47750,21375" +) +tg (CPTG +uid 399,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,9,0" +) +xt "43200,20400,46000,21600" +st "RxD" +ju 2 +blo "46000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 401,0 +va (VaSet +font "courier,8,0" +) +xt "0,8300,12500,9200" +st "RxD : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,15000,47000,25000" +) +oxt "15000,6000,27000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "30600,25000,36100,25900" +st "RS232_test" +blo "30600,25700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "30600,25900,38600,26800" +st "uvmRs232AgentHw" +blo "30600,26600" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "31000,29200,45000,34600" +st "Generic Declarations + +driverVerbosity integer +driverFileSpec string +monitorVerbosity integer +monitorFileSpec string " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "driverVerbosity" +type "integer" +value "" +) +(GiElement +name "driverFileSpec" +type "string" +value "" +) +(GiElement +name "monitorVerbosity" +type "integer" +value "" +) +(GiElement +name "monitorFileSpec" +type "string" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,50400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,51000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "128,494,1407,1335" +viewArea "-3043,-1062,74805,50395" +cachedDiagramExtent "-2000,0,71000,49000" +pageSetupInfo (PageSetupInfo +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,5400,3400,6400" +st "Declarations" +blo "-2000,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,6400,700,7400" +st "Ports:" +blo "-2000,7200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9200,500,10100" +st "User:" +blo "-2000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,5400,3800,6400" +st "Internal User:" +blo "-2000,6200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,10100,0,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,5400,-2000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1064,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb b/Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb new file mode 100644 index 0000000..dca2d2d --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb @@ -0,0 +1,1534 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2075,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 2063,0 +) +) +uid 2546,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 1 +suid 2071,0 +) +) +uid 2934,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "baudRate" +t "real" +o 3 +suid 2075,0 +) +) +uid 3143,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 254,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2547,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2935,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 3144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *46 (MRCItem +litem &33 +pos 0 +dimension 20 +) +uid 293,0 +optionalChildren [ +*47 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 296,0 +) +*48 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 298,0 +) +*49 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*50 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 302,0 +) +*51 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 306,0 +) +*52 (MRCItem +litem &40 +pos 2 +dimension 199 +uid 308,0 +) +*53 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 310,0 +) +*54 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 312,0 +) +*55 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 314,0 +) +*56 (MRCItem +litem &44 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@driver" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232Driver" +) +(vvPair +variable "date" +value "03/01/22" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232Driver" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/01/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "08:15:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "uvmRs232Driver" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232@driver/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232Driver/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:15:36" +) +(vvPair +variable "unit" +value "uvmRs232Driver" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,37625,63750,38375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "courier,12,0" +) +xt "58800,37300,62000,38700" +st "RxD" +ju 2 +blo "62000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,22500,13200" +st "RxD : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 2063,0 +) +) +) +*59 (CptPort +uid 2912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,37625,47000,38375" +) +tg (CPTG +uid 2914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2915,0 +va (VaSet +font "courier,12,0" +) +xt "48000,37300,60500,38700" +st "driverTransaction" +blo "48000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2916,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,20500,12300" +st "driverTransaction : IN string ; +" +) +thePort (LogicalPort +decl (Decl +n "driverTransaction" +t "string" +o 1 +suid 2071,0 +) +) +) +*60 (CptPort +uid 3138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3139,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,42000,55375,42750" +) +tg (CPTG +uid 3140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3141,0 +va (VaSet +font "courier,12,0" +) +xt "52000,39600,58900,41000" +st "baudRate" +blo "52000,40800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 3142,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,18500,14100" +st "baudRate : OUT real +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "baudRate" +t "real" +o 3 +suid 2075,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,34000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,53700,42700" +st "RS232_test" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,56100,43700" +st "uvmRs232Driver" +blo "47100,43500" +) +) +gi *61 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "47000,46000,57500,46900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*62 (Grouping +uid 136,0 +optionalChildren [ +*63 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,77400,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,77400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,60800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*67 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,91400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*68 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,97800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "63000,61500,72000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,60200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,60800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,77400,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*73 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 103,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "109,494,1426,1347" +viewArea "-1400,-1400,95966,61790" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14100,2500,15000" +st "User:" +blo "0,14800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,2000,15000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3259,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb b/Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb new file mode 100644 index 0000000..4eae53d --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb @@ -0,0 +1,1618 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2075,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_uLogic" +o 1 +suid 2061,0 +) +) +uid 2542,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 2 +suid 2062,0 +) +) +uid 2544,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 3 +suid 2071,0 +) +) +uid 2934,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "baudRate" +t "real" +o 4 +suid 2075,0 +) +) +uid 3128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 254,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2543,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2545,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2935,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 3129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "reportStart" +type "string" +value "\"uart\"" +) +uid 3199,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 296,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 298,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 3200,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 302,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 306,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 199 +uid 308,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 310,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 312,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 314,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@monitor" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvmRs232Monitor" +) +(vvPair +variable "date" +value "07/05/22" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "05" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232Monitor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "07/05/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "10:53:31" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "uvmRs232Monitor" +) +(vvPair +variable "month" +value "Jul" +) +(vvPair +variable "month_long" +value "July" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvm@rs232@monitor/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Projects/CometCamera/FPGA/Prefs/../Libs/RS232_test/hds/uvmRs232Monitor/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:53:31" +) +(vvPair +variable "unit" +value "uvmRs232Monitor" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2970,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,35625,63750,36375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +font "courier,12,0" +) +xt "58800,35300,62000,36700" +st "RxD" +ju 2 +blo "62000,36500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2508,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,23000,12300" +st "RxD : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_uLogic" +o 1 +suid 2061,0 +) +) +) +*63 (CptPort +uid 2509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2971,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "63000,37625,63750,38375" +) +tg (CPTG +uid 2511,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2512,0 +va (VaSet +font "courier,12,0" +) +xt "58900,37300,62000,38700" +st "TxD" +ju 2 +blo "62000,38500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2513,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,23000,13200" +st "TxD : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 2 +suid 2062,0 +) +) +) +*64 (CptPort +uid 2912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3030,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46250,35625,47000,36375" +) +tg (CPTG +uid 2914,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2915,0 +va (VaSet +font "courier,12,0" +) +xt "48000,35300,61700,36700" +st "monitorTransaction" +blo "48000,36500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2916,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,20000,15000" +st "monitorTransaction : OUT string " +) +thePort (LogicalPort +m 1 +decl (Decl +n "monitorTransaction" +t "string" +o 3 +suid 2071,0 +) +) +) +*65 (CptPort +uid 3123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3124,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,31250,55375,32000" +) +tg (CPTG +uid 3125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3126,0 +va (VaSet +font "courier,12,0" +) +xt "51000,33000,57900,34400" +st "baudRate" +ju 2 +blo "57900,34200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 3127,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,20000,14100" +st "baudRate : IN real ;" +) +thePort (LogicalPort +decl (Decl +n "baudRate" +t "real" +o 4 +suid 2075,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,32000,63000,42000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "47100,41700,53700,42700" +st "RS232_test" +blo "47100,42500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "47100,42700,56700,43700" +st "uvmRs232Monitor" +blo "47100,43500" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "47000,46000,61000,48700" +st "Generic Declarations + +reportStart string \"uart\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "reportStart" +type "string" +value "\"uart\"" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 136,0 +optionalChildren [ +*68 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,65000,78000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,65000,77400,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,82000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,81800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,63000,78000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,63000,77400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,61000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,60800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,62000,98000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,62200,91400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*73 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "82000,61000,98000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "82200,61000,97800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,61000,78000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "63000,61500,72000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,61000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,60200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,61000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,60800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "61000,64000,78000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "61200,64000,78000,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "57000,61000,98000,66000" +) +oxt "14000,66000,55000,71000" +) +*78 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"47000,44000" +"63000,44000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "47000,44000,63000,44000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 103,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "4268,634,5582,1487" +viewArea "-1500,5160,110910,66540" +cachedDiagramExtent "0,0,98000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3200,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RS232_test/hds/uvm@rs232_tb/struct.bd b/Libs/RS232_test/hds/uvm@rs232_tb/struct.bd new file mode 100644 index 0000000..5bf3b06 --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232_tb/struct.bd @@ -0,0 +1,3774 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "RS232_test" +duName "uvmRs232_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "rs232BitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232BaudRate" +) +] +mwi 0 +uid 1426,0 +) +(Instance +name "I_Rx" +duLibraryName "RS232" +duName "serialPortReceiver" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/rs232BaudRate + 0.5)" +) +] +mwi 0 +uid 1480,0 +) +(Instance +name "I_Tx" +duLibraryName "RS232" +duName "serialPortTransmitter" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/rs232BaudRate + 0.5)" +) +] +mwi 0 +uid 1514,0 +) +(Instance +name "U_DUT" +duLibraryName "RS232_test" +duName "uvmRs232AgentHw" +elements [ +(GiElement +name "driverVerbosity" +type "integer" +value "uvmDriverVerbosity" +) +(GiElement +name "driverFileSpec" +type "string" +value "uvmDriverFileSpec" +) +(GiElement +name "monitorVerbosity" +type "integer" +value "uvmMonitorVerbosity" +) +(GiElement +name "monitorFileSpec" +type "string" +value "uvmMonitorFileSpec" +) +] +mwi 0 +uid 1724,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.3 (Build 4)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232_tb" +) +(vvPair +variable "date" +value "03/01/22" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "01" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "03/01/22" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphrodite" +) +(vvPair +variable "graphical_source_time" +value "08:18:54" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphrodite" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "03" +) +(vvPair +variable "module_name" +value "uvmRs232_tb" +) +(vvPair +variable "month" +value "Mar" +) +(vvPair +variable "month_long" +value "March" +) +(vvPair +variable "p" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvm@rs232_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/SEm_local/SEm_labs/05-Morse/../Libs/RS232_test/hds/uvmRs232_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:18:54" +) +(vvPair +variable "unit" +value "uvmRs232_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,73000,89000,74000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,73000,88400,74000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,69000,93000,70000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,69000,92800,70000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,71000,89000,72000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,71000,88400,72000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,71000,72000,72000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,71000,71800,72000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,70000,109000,74000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,70200,102400,71200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "93000,69000,109000,70000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "93200,69000,95000,70000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,69000,89000,71000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "74000,69500,83000,70500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,72000,72000,73000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,72000,71200,73000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "68000,73000,72000,74000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "68200,73000,71800,74000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,72000,89000,73000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,72000,86600,73000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "68000,69000,109000,74000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 1426,0 +shape (Rectangle +uid 1427,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,51000,100000,59000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1428,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1429,0 +va (VaSet +font "courier,8,1" +) +xt "15700,59500,21200,60400" +st "RS232_test" +blo "15700,60200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1430,0 +va (VaSet +font "courier,8,1" +) +xt "15700,60500,23700,61400" +st "uvmRs232_tester" +blo "15700,61200" +tm "BlkNameMgr" +) +*15 (Text +uid 1431,0 +va (VaSet +font "courier,8,1" +) +xt "15700,61500,19700,62400" +st "I_tester" +blo "15700,62200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1432,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1433,0 +text (MLText +uid 1434,0 +va (VaSet +font "courier,8,0" +) +xt "16000,63000,41000,65700" +st "clockFrequency = clockFrequency ( real ) +rs232BitNb = rs232BitNb ( positive ) +rs232BaudRate = rs232BaudRate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "rs232BitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232BaudRate" +) +] +) +viewicon (ZoomableIcon +uid 1435,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "16250,57250,17750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 1436,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 21,0 +) +declText (MLText +uid 1437,0 +va (VaSet +font "courier,8,0" +) +xt "2000,25400,17000,26300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 1444,0 +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 22,0 +) +declText (MLText +uid 1445,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21800,17000,22700" +st "SIGNAL clock : std_ulogic" +) +) +*18 (SaComponent +uid 1480,0 +optionalChildren [ +*19 (CptPort +uid 1460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1461,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,34625,60000,35375" +) +tg (CPTG +uid 1462,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1463,0 +va (VaSet +) +xt "61000,34400,62800,35400" +st "RxD" +blo "61000,35200" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*20 (CptPort +uid 1464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,38625,60000,39375" +) +tg (CPTG +uid 1466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1467,0 +va (VaSet +) +xt "61000,38400,64000,39400" +st "clock" +blo "61000,39200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*21 (CptPort +uid 1468,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1469,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,40625,60000,41375" +) +tg (CPTG +uid 1470,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1471,0 +va (VaSet +) +xt "61000,40400,64000,41400" +st "reset" +blo "61000,41200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*22 (CptPort +uid 1472,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1473,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,34625,76750,35375" +) +tg (CPTG +uid 1474,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1475,0 +va (VaSet +) +xt "70801,34400,75001,35400" +st "dataOut" +ju 2 +blo "75001,35200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*23 (CptPort +uid 1476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1477,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,36625,76750,37375" +) +tg (CPTG +uid 1478,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1479,0 +va (VaSet +) +xt "69600,36400,75000,37400" +st "dataValid" +ju 2 +blo "75000,37200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1481,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "60000,31000,76000,43000" +) +oxt "34000,16000,50000,28000" +ttg (MlTextGroup +uid 1482,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 1483,0 +va (VaSet +font "courier,9,1" +) +xt "60600,42800,63100,43700" +st "RS232" +blo "60600,43500" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 1484,0 +va (VaSet +font "courier,9,1" +) +xt "60600,44000,70100,44900" +st "serialPortReceiver" +blo "60600,44700" +tm "CptNameMgr" +) +*26 (Text +uid 1485,0 +va (VaSet +font "courier,9,1" +) +xt "60600,45200,62600,46100" +st "I_Rx" +blo "60600,45900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1486,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1487,0 +text (MLText +uid 1488,0 +va (VaSet +font "courier,8,0" +) +xt "60000,47000,99500,48800" +st "dataBitNb = rs232BitNb ( positive ) +baudRateDivide = integer(clockFrequency/rs232BaudRate + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/rs232BaudRate + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 1489,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,41250,61750,42750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*27 (SaComponent +uid 1514,0 +optionalChildren [ +*28 (CptPort +uid 1490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1491,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59250,11625,60000,12375" +) +tg (CPTG +uid 1492,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1493,0 +va (VaSet +) +xt "61000,11400,62800,12400" +st "TxD" +blo "61000,12200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "TxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*29 (CptPort +uid 1494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1495,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,19625,76750,20375" +) +tg (CPTG +uid 1496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1497,0 +va (VaSet +) +xt "72000,19400,75000,20400" +st "clock" +ju 2 +blo "75000,20200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*30 (CptPort +uid 1498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1499,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,21625,76750,22375" +) +tg (CPTG +uid 1500,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1501,0 +va (VaSet +) +xt "72000,21400,75000,22400" +st "reset" +ju 2 +blo "75000,22200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*31 (CptPort +uid 1502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1503,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,11625,76750,12375" +) +tg (CPTG +uid 1504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1505,0 +va (VaSet +) +xt "71401,11400,75001,12400" +st "dataIn" +ju 2 +blo "75001,12200" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*32 (CptPort +uid 1506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1507,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,13625,76750,14375" +) +tg (CPTG +uid 1508,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1509,0 +va (VaSet +) +xt "72600,13400,75000,14400" +st "send" +ju 2 +blo "75000,14200" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*33 (CptPort +uid 1510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76000,15625,76750,16375" +) +tg (CPTG +uid 1512,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1513,0 +va (VaSet +) +xt "72600,15400,75000,16400" +st "busy" +ju 2 +blo "75000,16200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 1515,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "60000,8000,76000,24000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 1516,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 1517,0 +va (VaSet +font "courier,9,1" +) +xt "60600,23800,63100,24700" +st "RS232" +blo "60600,24500" +tm "BdLibraryNameMgr" +) +*35 (Text +uid 1518,0 +va (VaSet +font "courier,9,1" +) +xt "60600,25000,71600,25900" +st "serialPortTransmitter" +blo "60600,25700" +tm "CptNameMgr" +) +*36 (Text +uid 1519,0 +va (VaSet +font "courier,9,1" +) +xt "60600,26200,62600,27100" +st "I_Tx" +blo "60600,26900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1520,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1521,0 +text (MLText +uid 1522,0 +va (VaSet +font "courier,8,0" +) +xt "60000,28000,99500,29800" +st "dataBitNb = rs232BitNb ( positive ) +baudRateDivide = integer(clockFrequency/rs232BaudRate + 0.5) ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232BitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "integer(clockFrequency/rs232BaudRate + 0.5)" +) +] +) +viewicon (ZoomableIcon +uid 1523,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "60250,22250,61750,23750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*37 (Net +uid 1524,0 +decl (Decl +n "RxD" +t "std_uLogic" +o 1 +suid 24,0 +) +declText (MLText +uid 1525,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,17000,20000" +st "SIGNAL RxD : std_uLogic" +) +) +*38 (Net +uid 1530,0 +decl (Decl +n "TxD" +t "std_uLogic" +o 2 +suid 25,0 +) +declText (MLText +uid 1531,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20000,17000,20900" +st "SIGNAL TxD : std_uLogic" +) +) +*39 (Net +uid 1536,0 +decl (Decl +n "dataValid" +t "std_ulogic" +o 7 +suid 26,0 +) +declText (MLText +uid 1537,0 +va (VaSet +font "courier,8,0" +) +xt "2000,24500,17000,25400" +st "SIGNAL dataValid : std_ulogic" +) +) +*40 (Net +uid 1544,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +declText (MLText +uid 1545,0 +va (VaSet +font "courier,8,0" +) +xt "2000,23600,32000,24500" +st "SIGNAL dataOut : std_ulogic_vector(rs232BitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 1552,0 +decl (Decl +n "busy" +t "std_ulogic" +o 3 +suid 28,0 +) +declText (MLText +uid 1553,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,17000,21800" +st "SIGNAL busy : std_ulogic" +) +) +*42 (Net +uid 1560,0 +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 29,0 +) +declText (MLText +uid 1561,0 +va (VaSet +font "courier,8,0" +) +xt "2000,26300,17000,27200" +st "SIGNAL send : std_ulogic" +) +) +*43 (Net +uid 1568,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 5 +suid 30,0 +) +declText (MLText +uid 1569,0 +va (VaSet +font "courier,8,0" +) +xt "2000,22700,32000,23600" +st "SIGNAL dataIn : std_ulogic_vector(rs232BitNb-1 DOWNTO 0)" +) +) +*44 (SaComponent +uid 1724,0 +optionalChildren [ +*45 (CptPort +uid 1716,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1717,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,32625,44750,33375" +) +tg (CPTG +uid 1718,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1719,0 +va (VaSet +font "courier,9,0" +) +xt "41500,32400,43000,33300" +st "TxD" +ju 2 +blo "43000,33100" +) +) +thePort (LogicalPort +decl (Decl +n "TxD" +t "std_uLogic" +o 1 +suid 21,0 +) +) +) +*46 (CptPort +uid 1720,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1721,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,34625,44750,35375" +) +tg (CPTG +uid 1722,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1723,0 +va (VaSet +font "courier,9,0" +) +xt "41500,34400,43000,35300" +st "RxD" +ju 2 +blo "43000,35100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_uLogic" +o 2 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 1725,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,29000,44000,39000" +) +oxt "31000,15000,47000,25000" +ttg (MlTextGroup +uid 1726,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 1727,0 +va (VaSet +font "courier,9,1" +) +xt "27600,39000,33100,39900" +st "RS232_test" +blo "27600,39700" +tm "BdLibraryNameMgr" +) +*48 (Text +uid 1728,0 +va (VaSet +font "courier,9,1" +) +xt "27600,39900,35600,40800" +st "uvmRs232AgentHw" +blo "27600,40600" +tm "CptNameMgr" +) +*49 (Text +uid 1729,0 +va (VaSet +font "courier,9,1" +) +xt "27600,40800,30100,41700" +st "U_DUT" +blo "27600,41500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1730,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1731,0 +text (MLText +uid 1732,0 +va (VaSet +font "courier,8,0" +) +xt "28000,43200,56000,46800" +st "driverVerbosity = uvmDriverVerbosity ( integer ) +driverFileSpec = uvmDriverFileSpec ( string ) +monitorVerbosity = uvmMonitorVerbosity ( integer ) +monitorFileSpec = uvmMonitorFileSpec ( string ) " +) +header "" +) +elements [ +(GiElement +name "driverVerbosity" +type "integer" +value "uvmDriverVerbosity" +) +(GiElement +name "driverFileSpec" +type "string" +value "uvmDriverFileSpec" +) +(GiElement +name "monitorVerbosity" +type "integer" +value "uvmMonitorVerbosity" +) +(GiElement +name "monitorFileSpec" +type "string" +value "uvmMonitorFileSpec" +) +] +) +viewicon (ZoomableIcon +uid 1733,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,37250,29750,38750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*50 (Wire +uid 1438,0 +shape (OrthoPolyLine +uid 1439,0 +va (VaSet +vasetType 3 +) +xt "58000,41000,59250,51000" +pts [ +"59250,41000" +"58000,41000" +"58000,51000" +] +) +start &21 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 1442,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1443,0 +va (VaSet +) +xt "55250,40000,58250,41000" +st "reset" +blo "55250,40800" +tm "WireNameMgr" +) +) +on &16 +) +*51 (Wire +uid 1446,0 +shape (OrthoPolyLine +uid 1447,0 +va (VaSet +vasetType 3 +) +xt "56000,39000,59250,51000" +pts [ +"59250,39000" +"56000,39000" +"56000,51000" +] +) +start &20 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 1450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1451,0 +va (VaSet +) +xt "55250,37800,58250,38800" +st "clock" +blo "55250,38600" +tm "WireNameMgr" +) +) +on &17 +) +*52 (Wire +uid 1526,0 +shape (OrthoPolyLine +uid 1527,0 +va (VaSet +vasetType 3 +) +xt "44750,35000,59250,35000" +pts [ +"44750,35000" +"59250,35000" +] +) +start &46 +end &19 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1528,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1529,0 +va (VaSet +) +xt "46750,33800,48550,34800" +st "RxD" +blo "46750,34600" +tm "WireNameMgr" +) +) +on &37 +) +*53 (Wire +uid 1532,0 +shape (OrthoPolyLine +uid 1533,0 +va (VaSet +vasetType 3 +) +xt "44750,12000,59250,33000" +pts [ +"44750,33000" +"52000,33000" +"52000,12000" +"59250,12000" +] +) +start &45 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1534,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1535,0 +va (VaSet +) +xt "46750,31800,48550,32800" +st "TxD" +blo "46750,32600" +tm "WireNameMgr" +) +) +on &38 +) +*54 (Wire +uid 1538,0 +shape (OrthoPolyLine +uid 1539,0 +va (VaSet +vasetType 3 +) +xt "76750,37000,80000,51000" +pts [ +"76750,37000" +"80000,37000" +"80000,51000" +] +) +start &23 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1542,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1543,0 +va (VaSet +) +xt "78750,35800,84150,36800" +st "dataValid" +blo "78750,36600" +tm "WireNameMgr" +) +) +on &39 +) +*55 (Wire +uid 1546,0 +shape (OrthoPolyLine +uid 1547,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76750,35000,82000,51000" +pts [ +"76750,35000" +"82000,35000" +"82000,51000" +] +) +start &22 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1550,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1551,0 +va (VaSet +) +xt "78750,33800,82950,34800" +st "dataOut" +blo "78750,34600" +tm "WireNameMgr" +) +) +on &40 +) +*56 (Wire +uid 1554,0 +shape (OrthoPolyLine +uid 1555,0 +va (VaSet +vasetType 3 +) +xt "76750,16000,86000,51000" +pts [ +"76750,16000" +"86000,16000" +"86000,51000" +] +) +start &33 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1558,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1559,0 +va (VaSet +) +xt "78750,14800,81150,15800" +st "busy" +blo "78750,15600" +tm "WireNameMgr" +) +) +on &41 +) +*57 (Wire +uid 1562,0 +shape (OrthoPolyLine +uid 1563,0 +va (VaSet +vasetType 3 +) +xt "76750,14000,88000,51000" +pts [ +"76750,14000" +"88000,14000" +"88000,51000" +] +) +start &32 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1566,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1567,0 +va (VaSet +) +xt "78750,12800,81150,13800" +st "send" +blo "78750,13600" +tm "WireNameMgr" +) +) +on &42 +) +*58 (Wire +uid 1570,0 +shape (OrthoPolyLine +uid 1571,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76750,12000,90000,51000" +pts [ +"76750,12000" +"90000,12000" +"90000,51000" +] +) +start &31 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1574,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1575,0 +va (VaSet +) +xt "78750,10800,82350,11800" +st "dataIn" +blo "78750,11600" +tm "WireNameMgr" +) +) +on &43 +) +*59 (Wire +uid 1592,0 +shape (OrthoPolyLine +uid 1593,0 +va (VaSet +vasetType 3 +) +xt "76750,22000,80000,22000" +pts [ +"76750,22000" +"80000,22000" +] +) +start &30 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 1598,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1599,0 +va (VaSet +) +xt "79250,20800,82250,21800" +st "reset" +blo "79250,21600" +tm "WireNameMgr" +) +) +on &16 +) +*60 (Wire +uid 1600,0 +shape (OrthoPolyLine +uid 1601,0 +va (VaSet +vasetType 3 +) +xt "76750,20000,80000,20000" +pts [ +"76750,20000" +"80000,20000" +] +) +start &29 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 1606,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1607,0 +va (VaSet +) +xt "79000,18800,82000,19800" +st "clock" +blo "79000,19600" +tm "WireNameMgr" +) +) +on &17 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *61 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*63 (MLText +uid 43,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*65 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*66 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*67 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*68 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*69 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*70 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "3281,358,4545,1205" +viewArea "-1604,-1604,122609,75955" +cachedDiagramExtent "0,0,109000,74000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1733,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*72 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*73 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*75 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*76 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*78 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*79 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*81 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*82 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*84 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*85 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*87 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*89 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*91 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,9200,4500,10100" +st "Pre User:" +blo "0,9900" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,39000,18200" +st "constant clockFrequency: real := 66.0E6; + +constant rs232BaudRate: real := 115.2E3; +constant rs232BitNb: positive := 8; + +constant uvmDriverVerbosity: natural := 1; +constant uvmMonitorVerbosity: natural := 1; +constant uvmDriverFileSpec: string := \"$SIMULATION_DIR/uartDriver.txt\"; +constant uvmMonitorFileSpec: string := \"$SIMULATION_DIR/uartMonitor.txt\";" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,18200,8500,19100" +st "Diagram Signals:" +blo "0,18900" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,5500,8300" +st "Post User:" +blo "0,8100" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *92 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*93 (RefLabelRowHdr +) +*94 (TitleRowHdr +) +*95 (FilterRowHdr +) +*96 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*97 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*98 (GroupColHdr +tm "GroupColHdrMgr" +) +*99 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*100 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*101 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*102 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*103 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*104 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*105 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 21,0 +) +) +uid 1608,0 +) +*106 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 4 +suid 22,0 +) +) +uid 1610,0 +) +*107 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_uLogic" +o 1 +suid 24,0 +) +) +uid 1612,0 +) +*108 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "TxD" +t "std_uLogic" +o 2 +suid 25,0 +) +) +uid 1614,0 +) +*109 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataValid" +t "std_ulogic" +o 7 +suid 26,0 +) +) +uid 1616,0 +) +*110 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 6 +suid 27,0 +) +) +uid 1618,0 +) +*111 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 3 +suid 28,0 +) +) +uid 1620,0 +) +*112 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 29,0 +) +) +uid 1622,0 +) +*113 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 5 +suid 30,0 +) +) +uid 1624,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *115 (MRCItem +litem &92 +pos 9 +dimension 20 +) +uid 69,0 +optionalChildren [ +*116 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 70,0 +) +*117 (MRCItem +litem &94 +pos 1 +dimension 23 +uid 71,0 +) +*118 (MRCItem +litem &95 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*119 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 1609,0 +) +*120 (MRCItem +litem &106 +pos 1 +dimension 20 +uid 1611,0 +) +*121 (MRCItem +litem &107 +pos 2 +dimension 20 +uid 1613,0 +) +*122 (MRCItem +litem &108 +pos 3 +dimension 20 +uid 1615,0 +) +*123 (MRCItem +litem &109 +pos 4 +dimension 20 +uid 1617,0 +) +*124 (MRCItem +litem &110 +pos 5 +dimension 20 +uid 1619,0 +) +*125 (MRCItem +litem &111 +pos 6 +dimension 20 +uid 1621,0 +) +*126 (MRCItem +litem &112 +pos 7 +dimension 20 +uid 1623,0 +) +*127 (MRCItem +litem &113 +pos 8 +dimension 20 +uid 1625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*128 (MRCItem +litem &96 +pos 0 +dimension 20 +uid 74,0 +) +*129 (MRCItem +litem &98 +pos 1 +dimension 50 +uid 75,0 +) +*130 (MRCItem +litem &99 +pos 2 +dimension 100 +uid 76,0 +) +*131 (MRCItem +litem &100 +pos 3 +dimension 50 +uid 77,0 +) +*132 (MRCItem +litem &101 +pos 4 +dimension 100 +uid 78,0 +) +*133 (MRCItem +litem &102 +pos 5 +dimension 100 +uid 79,0 +) +*134 (MRCItem +litem &103 +pos 6 +dimension 50 +uid 80,0 +) +*135 (MRCItem +litem &104 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *136 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*137 (RefLabelRowHdr +) +*138 (TitleRowHdr +) +*139 (FilterRowHdr +) +*140 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*141 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*142 (GroupColHdr +tm "GroupColHdrMgr" +) +*143 (NameColHdr +tm "GenericNameColHdrMgr" +) +*144 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*145 (InitColHdr +tm "GenericValueColHdrMgr" +) +*146 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*147 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*148 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *149 (MRCItem +litem &136 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*150 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 98,0 +) +*151 (MRCItem +litem &138 +pos 1 +dimension 23 +uid 99,0 +) +*152 (MRCItem +litem &139 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*153 (MRCItem +litem &140 +pos 0 +dimension 20 +uid 102,0 +) +*154 (MRCItem +litem &142 +pos 1 +dimension 50 +uid 103,0 +) +*155 (MRCItem +litem &143 +pos 2 +dimension 100 +uid 104,0 +) +*156 (MRCItem +litem &144 +pos 3 +dimension 100 +uid 105,0 +) +*157 (MRCItem +litem &145 +pos 4 +dimension 50 +uid 106,0 +) +*158 (MRCItem +litem &146 +pos 5 +dimension 50 +uid 107,0 +) +*159 (MRCItem +litem &147 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RS232_test/hds/uvm@rs232_tb/symbol.sb b/Libs/RS232_test/hds/uvm@rs232_tb/symbol.sb new file mode 100644 index 0000000..d89f899 --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232_tb/symbol.sb @@ -0,0 +1,1274 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tb" +) +(vvPair +variable "d_logical" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvmRs232_tb" +) +(vvPair +variable "date" +value "02/25/2022" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "25" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "uvmRs232_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "02/25/2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7525" +) +(vvPair +variable "graphical_source_time" +value "14:48:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7525" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "uvmRs232_tb" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvmRs232_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:48:34" +) +(vvPair +variable "unit" +value "uvmRs232_tb" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,15000,47000,25000" +) +oxt "15000,6000,27000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,25000,37600,26200" +st "RS232_test" +blo "30600,26000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "30600,26200,38600,27400" +st "uvmRs232_tb" +blo "30600,27200" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,29200,40700,30200" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48500,34200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44500,51200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46500,34200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46500,30200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,65300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44500,55200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "35350,44400,45650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47500,30200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48500,30200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47500,34200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "-2000,0,4900,1000" +st "Package List" +blo "-2000,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,15500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "136,41,1416,882" +viewArea "-3100,-1000,72644,48320" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-2000,5400,5000,6400" +st "Declarations" +blo "-2000,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-2000,6400,1400,7400" +st "Ports:" +blo "-2000,7200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-2000,7400,1000,8400" +st "User:" +blo "-2000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2000,5400,5600,6400" +st "Internal User:" +blo "-2000,6200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "0,8400,0,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-2000,5400,-2000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1064,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RS232_test/hds/uvm@rs232_tester/interface b/Libs/RS232_test/hds/uvm@rs232_tester/interface new file mode 100644 index 0000000..1df3719 --- /dev/null +++ b/Libs/RS232_test/hds/uvm@rs232_tester/interface @@ -0,0 +1,1770 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 35,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 29,0 +) +) +uid 529,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 30,0 +) +) +uid 531,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 9 +suid 31,0 +) +) +uid 533,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +uid 535,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 33,0 +) +) +uid 537,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 34,0 +) +) +uid 539,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 8 +suid 35,0 +) +) +uid 541,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 530,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 532,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 534,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 536,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 538,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 540,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 542,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "rs232BitNb" +type "positive" +value "8" +) +uid 180,0 +) +*54 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 277,0 +) +*55 (LogGeneric +generic (GiElement +name "rs232BaudRate" +type "real" +value "9.6E3" +) +uid 374,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 181,0 +) +*62 (MRCItem +litem &54 +pos 0 +dimension 20 +uid 278,0 +) +*63 (MRCItem +litem &55 +pos 1 +dimension 20 +uid 375,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tester" +) +(vvPair +variable "d_logical" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvmRs232_tester" +) +(vvPair +variable "date" +value "02/25/2022" +) +(vvPair +variable "day" +value "Fri" +) +(vvPair +variable "day_long" +value "Friday" +) +(vvPair +variable "dd" +value "25" +) +(vvPair +variable "entity_name" +value "uvmRs232_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois.corthay" +) +(vvPair +variable "graphical_source_date" +value "02/25/2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7525" +) +(vvPair +variable "graphical_source_time" +value "14:42:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7525" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "RS232_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/RS232_test" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "uvmRs232_tester" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvm@rs232_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\francois.corthay\\Documents\\SEm_labs\\05-Morse\\..\\Libs\\RS232_test\\hds\\uvmRs232_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:42:40" +) +(vvPair +variable "unit" +value "uvmRs232_tester" +) +(vvPair +variable "user" +value "francois.corthay" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,5250,85375,6000" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 497,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "84300,7000,85700,10800" +st "busy" +ju 2 +blo "85500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 29,0 +) +) +) +*73 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10800" +st "clock" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 30,0 +) +) +) +*74 (CptPort +uid 504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 505,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,5250,89375,6000" +) +tg (CPTG +uid 506,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 507,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,7000,89700,12000" +st "dataIn" +ju 2 +blo "89500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 508,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,77000,6400" +st "dataIn : OUT std_ulogic_vector (rs232BitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 9 +suid 31,0 +) +) +) +*75 (CptPort +uid 509,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 510,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80625,5250,81375,6000" +) +tg (CPTG +uid 511,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 512,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "80300,7000,81700,13000" +st "dataOut" +ju 2 +blo "81500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 513,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,77000,4000" +st "dataOut : IN std_ulogic_vector (rs232BitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(rs232BitNb-1 DOWNTO 0)" +o 6 +suid 32,0 +) +) +) +*76 (CptPort +uid 514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 515,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +tg (CPTG +uid 516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 517,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "78300,7000,79700,13700" +st "dataValid" +ju 2 +blo "79500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 518,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "dataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 33,0 +) +) +) +*77 (CptPort +uid 519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 522,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,11100" +st "reset" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 523,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61500,7200" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 34,0 +) +) +) +*78 (CptPort +uid 524,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 525,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86625,5250,87375,6000" +) +tg (CPTG +uid 526,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 527,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "86300,7000,87700,10900" +st "send" +ju 2 +blo "87500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 528,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,60500,8000" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 8 +suid 35,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,99000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "52000,8800,59000,10000" +st "RS232_test" +blo "52000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "52000,10000,62000,11200" +st "uvmRs232_tester" +blo "52000,11000" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "27500,6000,45500,10000" +st "Generic Declarations + +clockFrequency real 100.0E6 +rs232BaudRate real 9.6E3 +rs232BitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "rs232BaudRate" +type "real" +value "9.6E3" +) +(GiElement +name "rs232BitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,49100,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "24,390,1043,1080" +viewArea "-500,-500,70690,47560" +cachedDiagramExtent "0,0,99000,49000" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "RS232_test" +entityName "uvmRs232_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 542,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RS232_test/sim/serialPortTransmitter.do b/Libs/RS232_test/sim/serialPortTransmitter.do new file mode 100644 index 0000000..81ab60a --- /dev/null +++ b/Libs/RS232_test/sim/serialPortTransmitter.do @@ -0,0 +1,32 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /serialporttransmitter_tb/clock +add wave -noupdate /serialporttransmitter_tb/reset +add wave -noupdate -divider Controls +add wave -noupdate -radix hexadecimal -radixshowbase 0 /serialporttransmitter_tb/dataIn +add wave -noupdate /serialporttransmitter_tb/send +add wave -noupdate -divider Internals +add wave -noupdate /serialporttransmitter_tb/I_DUT/dividerCounterReset +add wave -noupdate /serialporttransmitter_tb/I_DUT/txSendingByte +add wave -noupdate /serialporttransmitter_tb/I_DUT/txSendingByteAndStop +add wave -noupdate -divider {Serial out} +add wave -noupdate /serialporttransmitter_tb/busy +add wave -noupdate /serialporttransmitter_tb/TxD +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {33909547739 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 142 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ms +update +WaveRestoreZoom {0 ps} {315 us} diff --git a/Libs/RS232_test/sim/uartDriver.txt b/Libs/RS232_test/sim/uartDriver.txt new file mode 100644 index 0000000..f3be4de --- /dev/null +++ b/Libs/RS232_test/sim/uartDriver.txt @@ -0,0 +1,9 @@ +at 1 us +info setting baud rate +uart_baud 115200 + +at 100 us +uart_send Hello + +wait 1 ms +uart_send world diff --git a/Libs/RS232_test/sim/uvmRs232.do b/Libs/RS232_test/sim/uvmRs232.do new file mode 100644 index 0000000..f509539 --- /dev/null +++ b/Libs/RS232_test/sim/uvmRs232.do @@ -0,0 +1,37 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group {Reset and clock} /uvmrs232_tb/reset +add wave -noupdate -group {Reset and clock} /uvmrs232_tb/clock +add wave -noupdate -expand -group {UART signals} /uvmrs232_tb/RxD +add wave -noupdate -expand -group {UART signals} /uvmrs232_tb/TxD +add wave -noupdate -expand -group {UART Tx} /uvmrs232_tb/I_tester/rs232TxString +add wave -noupdate -expand -group {UART Tx} /uvmrs232_tb/I_tester/rs232SendString +add wave -noupdate -expand -group {UART Tx} /uvmrs232_tb/dataIn +add wave -noupdate -expand -group {UART Tx} /uvmrs232_tb/send +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/U_DUT/I_driv/outString +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/U_DUT/I_driv/sendString +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/U_DUT/I_driv/outChar +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/U_DUT/I_driv/sendChar +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/dataOut +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/dataValid +add wave -noupdate -expand -group {UART Rx} /uvmrs232_tb/I_tester/rs232RxChar +add wave -noupdate -expand -group Transactions /uvmrs232_tb/U_DUT/driverTransaction +add wave -noupdate -expand -group Transactions /uvmrs232_tb/U_DUT/monitorTransaction +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 247 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2100 us} diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd b/Libs/RiscV/HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd new file mode 100644 index 0000000..64f7d5a --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hdl/instrDecoder_rtl.vhd @@ -0,0 +1,18 @@ + +ARCHITECTURE rtl OF instrDecoder IS +BEGIN + + decode : process(op) + begin + case op is + when "0000011" => immSrc <= "00"; -- lw + when "0100011" => immSrc <= "01"; -- sw + when "0110011" => immSrc <= "--"; -- R-type + when "1100011" => immSrc <= "10"; -- beq + when "0010011" => immSrc <= "00"; -- l-type ALU + when "1101111" => immSrc <= "11"; -- jal + when others => immSrc <= "--"; -- unknwon + end case; + end process decode; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hdl/instructionForwarder_rtl.vhd b/Libs/RiscV/HEIRV32/MultiCycle/hdl/instructionForwarder_rtl.vhd new file mode 100644 index 0000000..6438c9b --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hdl/instructionForwarder_rtl.vhd @@ -0,0 +1,26 @@ + +ARCHITECTURE rtl OF instructionForwarder IS + signal lvec_irMem : std_ulogic_vector(readData'range); +BEGIN + +-- forwardIR : process(rst, clk) +-- begin +-- if rst = '1' then +-- lvec_irMem <= (others => '0'); +-- elsif rising_edge(clk) then +-- if en = '1' and IRWrite = '1' then +-- lvec_irMem <= readData; +-- end if; +-- end if; +-- end process forwardIR; + + forwardIR : process(readData, irWrite) + begin + if irWrite = '1' then + lvec_irMem <= readData; + end if; + end process forwardIR; + + instruction <= lvec_irMem; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_controlunit._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_controlunit._epf new file mode 100644 index 0000000..d61749d --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_controlunit._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom control@unit/struct.bd diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_heirv32_mc._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_heirv32_mc._epf new file mode 100644 index 0000000..ec27f95 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_heirv32_mc._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_FILE atom heirv32_mc/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_instrdecoder._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instrdecoder._epf new file mode 100644 index 0000000..bb7952a --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instrdecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom instrDecoder_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructiondatamemory._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructiondatamemory._epf new file mode 100644 index 0000000..d4472ca --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructiondatamemory._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom instruction@data@memory/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructionforwarder._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructionforwarder._epf new file mode 100644 index 0000000..8c24fdd --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_instructionforwarder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom instructionForwarder_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/_mainfsm._epf b/Libs/RiscV/HEIRV32/MultiCycle/hds/_mainfsm._epf new file mode 100644 index 0000000..d9c4b8f --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/_mainfsm._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom main@f@s@m/fsm.sm diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/struct.bd b/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/struct.bd new file mode 100644 index 0000000..f822d73 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/struct.bd @@ -0,0 +1,6095 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_0" +duLibraryName "gates" +duName "or2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 395,0 +) +(Instance +name "U_1" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 420,0 +) +(Instance +name "U_instrDecoder" +duLibraryName "HEIRV32_MC" +duName "instrDecoder" +elements [ +] +mwi 0 +uid 955,0 +) +(Instance +name "U_mainFSM" +duLibraryName "HEIRV32_MC" +duName "mainFSM" +elements [ +] +mwi 0 +uid 1230,0 +) +(Instance +name "U_aluDecoder" +duLibraryName "HEIRV32" +duName "aluDecoder" +elements [ +(GiElement +name "g_tDec" +type "time" +value "25 ps" +) +] +mwi 0 +uid 1415,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\controlUnit" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "controlUnit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:25:25" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_MC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/MultiCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "controlUnit" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\controlUnit\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:25:25" +) +(vvPair +variable "unit" +value "controlUnit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 310,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "34000,44625,35500,45375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "35500,45000,36000,45000" +pts [ +"35500,45000" +"36000,45000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "30800,44400,33000,45600" +st "clk" +ju 2 +blo "33000,45400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,35000,3200" +st "clk : std_ulogic +" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "33000,57625,34500,58375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "34500,58000,35000,58000" +pts [ +"34500,58000" +"35000,58000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "28100,57400,32000,58600" +st "funct3" +ju 2 +blo "32000,58400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,45000,4800" +st "funct3 : std_ulogic_vector(2 DOWNTO 0) +" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "33000,59625,34500,60375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "34500,60000,35000,60000" +pts [ +"34500,60000" +"35000,60000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "28100,59400,32000,60600" +st "funct7" +ju 2 +blo "32000,60400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,35000,5600" +st "funct7 : std_ulogic +" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "27000,33625,28500,34375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "28500,34000,29000,34000" +pts [ +"28500,34000" +"29000,34000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "24100,33400,26000,34600" +st "op" +ju 2 +blo "26000,34400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 5 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,45000,6400" +st "op : std_ulogic_vector(6 DOWNTO 0) +" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "34000,46625,35500,47375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "35500,47000,36000,47000" +pts [ +"35500,47000" +"36000,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "30900,46400,33000,47600" +st "rst" +ju 2 +blo "33000,47400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 6 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35000,7200" +st "rst : std_ulogic +" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "34000,24625,35500,25375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "35500,25000,36000,25000" +pts [ +"35500,25000" +"36000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "30200,24400,33000,25600" +st "zero" +ju 2 +blo "33000,25400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35000,8000" +st "zero : std_ulogic +" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "56500,58625,58000,59375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "56000,59000,56500,59000" +pts [ +"56000,59000" +"56500,59000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "59000,58400,66300,59600" +st "ALUControl" +blo "59000,59400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 8 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,45000,8800" +st "ALUControl : std_ulogic_vector(2 DOWNTO 0) +" +) +) +*15 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "56500,44625,58000,45375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "56000,45000,56500,45000" +pts [ +"56000,45000" +"56500,45000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "59000,44400,64400,45600" +st "ALUSrcA" +blo "59000,45400" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +lang 11 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 9 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8800,45000,9600" +st "ALUSrcA : std_ulogic_vector(1 DOWNTO 0) +" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "56500,42625,58000,43375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "56000,43000,56500,43000" +pts [ +"56000,43000" +"56500,43000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "59000,42400,64300,43600" +st "ALUSrcB" +blo "59000,43400" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 133,0 +lang 11 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9600,45000,10400" +st "ALUSrcB : std_ulogic_vector(1 DOWNTO 0) +" +) +) +*19 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "56500,38625,58000,39375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "56000,39000,56500,39000" +pts [ +"56000,39000" +"56500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +) +xt "59000,38400,63500,39600" +st "IRWrite" +blo "59000,39400" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 147,0 +lang 11 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 11 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10400,35000,11200" +st "IRWrite : std_ulogic +" +) +) +*21 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "79500,28625,81000,29375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "79000,29000,79500,29000" +pts [ +"79000,29000" +"79500,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +) +xt "82000,28400,86800,29600" +st "PCWrite" +blo "82000,29400" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 161,0 +lang 11 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 12 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,11200,35000,12000" +st "PCWrite : std_ulogic +" +) +) +*23 (PortIoOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 270 +xt "57500,69625,59000,70375" +) +(Line +uid 180,0 +sl 0 +ro 270 +xt "57000,70000,57500,70000" +pts [ +"57000,70000" +"57500,70000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +) +xt "60000,69400,64500,70600" +st "immSrc" +blo "60000,70400" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 189,0 +lang 11 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12800,45000,13600" +st "immSrc : std_ulogic_vector(1 DOWNTO 0) +" +) +) +*25 (PortIoOut +uid 191,0 +shape (CompositeShape +uid 192,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 193,0 +sl 0 +ro 270 +xt "56500,36625,58000,37375" +) +(Line +uid 194,0 +sl 0 +ro 270 +xt "56000,37000,56500,37000" +pts [ +"56000,37000" +"56500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 195,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "59000,36400,64700,37600" +st "memWrite" +blo "59000,37400" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 203,0 +lang 11 +decl (Decl +n "memWrite" +t "std_ulogic" +o 15 +suid 14,0 +) +declText (MLText +uid 204,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,13600,35000,14400" +st "memWrite : std_ulogic +" +) +) +*27 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "56500,34625,58000,35375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "56000,35000,56500,35000" +pts [ +"56000,35000" +"56500,35000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +) +xt "59000,34400,63700,35600" +st "regwrite" +blo "59000,35400" +tm "WireNameMgr" +) +) +) +*28 (Net +uid 217,0 +lang 11 +decl (Decl +n "regwrite" +t "std_ulogic" +o 16 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14400,35000,15200" +st "regwrite : std_ulogic +" +) +) +*29 (PortIoOut +uid 219,0 +shape (CompositeShape +uid 220,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 221,0 +sl 0 +ro 270 +xt "56500,40625,58000,41375" +) +(Line +uid 222,0 +sl 0 +ro 270 +xt "56000,41000,56500,41000" +pts [ +"56000,41000" +"56500,41000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 223,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "59000,40400,64300,41600" +st "resultSrc" +blo "59000,41400" +tm "WireNameMgr" +) +) +) +*30 (Net +uid 231,0 +lang 11 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 17 +suid 16,0 +) +declText (MLText +uid 232,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,15200,45000,16000" +st "resultSrc : std_ulogic_vector(1 DOWNTO 0) +" +) +) +*31 (Grouping +uid 267,0 +optionalChildren [ +*32 (CommentText +uid 269,0 +shape (Rectangle +uid 270,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,4000,70000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 271,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,4000,64700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 272,0 +shape (Rectangle +uid 273,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,0,74000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 274,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "70200,0,73200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 275,0 +shape (Rectangle +uid 276,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,2000,70000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 277,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,2000,63200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 278,0 +shape (Rectangle +uid 279,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,2000,53000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 280,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,2000,51300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 281,0 +shape (Rectangle +uid 282,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,1000,90000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 283,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "70200,1200,79600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*37 (CommentText +uid 284,0 +shape (Rectangle +uid 285,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,0,90000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 286,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,0,75800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*38 (CommentText +uid 287,0 +shape (Rectangle +uid 288,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,0,70000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 289,0 +va (VaSet +fg "32768,0,0" +) +xt "54350,400,64650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*39 (CommentText +uid 290,0 +shape (Rectangle +uid 291,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,3000,53000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 292,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,3000,51300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*40 (CommentText +uid 293,0 +shape (Rectangle +uid 294,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,4000,53000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 295,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,4000,51900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*41 (CommentText +uid 296,0 +shape (Rectangle +uid 297,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,3000,70000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 298,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,3000,65300,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 268,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "49000,0,90000,5000" +) +oxt "14000,66000,55000,71000" +) +*42 (PortIoOut +uid 369,0 +shape (CompositeShape +uid 370,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 371,0 +sl 0 +ro 270 +xt "56500,46625,58000,47375" +) +(Line +uid 372,0 +sl 0 +ro 270 +xt "56000,47000,56500,47000" +pts [ +"56000,47000" +"56500,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 373,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 374,0 +va (VaSet +) +xt "59000,46500,63000,47700" +st "adrSrc" +blo "59000,47500" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 381,0 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 13 +suid 17,0 +) +declText (MLText +uid 382,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12000,35000,12800" +st "adrSrc : std_uLogic +" +) +) +*44 (SaComponent +uid 395,0 +optionalChildren [ +*45 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "67585,26625,68335,27375" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 386,0 +va (VaSet +isHidden 1 +) +xt "68557,26250,70857,27450" +st "in1" +blo "68557,27250" +) +s (Text +uid 405,0 +va (VaSet +isHidden 1 +) +xt "68557,27450,68557,27450" +blo "68557,27450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*46 (CptPort +uid 387,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 388,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "67584,30625,68334,31375" +) +tg (CPTG +uid 389,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 390,0 +va (VaSet +isHidden 1 +) +xt "68557,30250,70857,31450" +st "in2" +blo "68557,31250" +) +s (Text +uid 406,0 +va (VaSet +isHidden 1 +) +xt "68557,31450,68557,31450" +blo "68557,31450" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*47 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "75000,28625,75750,29375" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 394,0 +va (VaSet +isHidden 1 +) +xt "71000,28250,74000,29450" +st "out1" +ju 2 +blo "74000,29250" +) +s (Text +uid 407,0 +va (VaSet +isHidden 1 +) +xt "74000,29450,74000,29450" +ju 2 +blo "74000,29450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 396,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,26000,75000,32000" +) +showPorts 0 +oxt "35000,14000,42000,20000" +ttg (MlTextGroup +uid 397,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 398,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "68600,31700,71700,32700" +st "gates" +blo "68600,32500" +tm "BdLibraryNameMgr" +) +*49 (Text +uid 399,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "68600,32700,70800,33700" +st "or2" +blo "68600,33500" +tm "CptNameMgr" +) +*50 (Text +uid 400,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "68600,32700,71100,33700" +st "U_0" +blo "68600,33500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 401,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 402,0 +text (MLText +uid 403,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "68000,34600,82100,35600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 404,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "68250,30250,69750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*51 (SaComponent +uid 420,0 +optionalChildren [ +*52 (CptPort +uid 408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 409,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58250,24625,59000,25375" +) +tg (CPTG +uid 410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 411,0 +va (VaSet +isHidden 1 +) +xt "59000,24600,68500,25800" +st "in1 : std_uLogic" +blo "59000,25600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 413,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "58250,28625,59000,29375" +) +tg (CPTG +uid 414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 415,0 +va (VaSet +isHidden 1 +) +xt "59000,28600,68500,29800" +st "in2 : std_uLogic" +blo "59000,29600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*54 (CptPort +uid 416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 417,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "65950,26625,66700,27375" +) +tg (CPTG +uid 418,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 419,0 +va (VaSet +isHidden 1 +) +xt "55800,26550,66000,27750" +st "out1 : std_uLogic" +ju 2 +blo "66000,27550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 421,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "59000,24000,66000,30000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 422,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 423,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "59600,29700,62700,30700" +st "gates" +blo "59600,30500" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 424,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "59600,30700,62500,31700" +st "and2" +blo "59600,31500" +tm "CptNameMgr" +) +*57 (Text +uid 425,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "59600,30700,62100,31700" +st "U_1" +blo "59600,31500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 426,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 427,0 +text (MLText +uid 428,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "59000,33600,73100,34600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 429,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "59250,28250,60750,29750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (Net +uid 430,0 +decl (Decl +n "out1" +t "std_uLogic" +o 21 +suid 18,0 +) +declText (MLText +uid 431,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,19600,38500,20400" +st "SIGNAL out1 : std_uLogic +" +) +) +*59 (Net +uid 444,0 +decl (Decl +n "branch" +t "std_uLogic" +o 20 +suid 20,0 +) +declText (MLText +uid 445,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,18800,38500,19600" +st "SIGNAL branch : std_uLogic +" +) +) +*60 (Net +uid 490,0 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 19 +suid 23,0 +) +declText (MLText +uid 491,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,18000,38500,18800" +st "SIGNAL PCupdate : std_uLogic +" +) +) +*61 (Net +uid 619,0 +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 25,0 +) +declText (MLText +uid 620,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,17200,48500,18000" +st "SIGNAL ALUOp : std_ulogic_vector(1 DOWNTO 0) +" +) +) +*62 (SaComponent +uid 955,0 +optionalChildren [ +*63 (CptPort +uid 947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 948,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,69625,52750,70375" +) +tg (CPTG +uid 949,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 950,0 +va (VaSet +font "Verdana,12,0" +) +xt "45800,69300,51000,70700" +st "immSrc" +ju 2 +blo "51000,70500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 13 +suid 1,0 +) +) +) +*64 (CptPort +uid 951,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 952,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,69625,42000,70375" +) +tg (CPTG +uid 953,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 954,0 +va (VaSet +font "Verdana,12,0" +) +xt "43000,69300,45400,70700" +st "op" +blo "43000,70500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 4 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 956,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,68000,52000,73000" +) +oxt "20000,16000,30000,21000" +ttg (MlTextGroup +uid 957,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 958,0 +va (VaSet +font "Verdana,9,1" +) +xt "42250,73300,49850,74500" +st "HEIRV32_MC" +blo "42250,74300" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 959,0 +va (VaSet +font "Verdana,9,1" +) +xt "42250,74500,49750,75700" +st "instrDecoder" +blo "42250,75500" +tm "CptNameMgr" +) +*67 (Text +uid 960,0 +va (VaSet +font "Verdana,9,1" +) +xt "42250,75700,51050,76900" +st "U_instrDecoder" +blo "42250,76700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 961,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 962,0 +text (MLText +uid 963,0 +va (VaSet +font "Courier New,8,0" +) +xt "42000,77200,42000,77200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 964,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "42250,71250,43750,72750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*68 (PortIoIn +uid 1017,0 +shape (CompositeShape +uid 1018,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1019,0 +sl 0 +ro 270 +xt "34000,42625,35500,43375" +) +(Line +uid 1020,0 +sl 0 +ro 270 +xt "35500,43000,36000,43000" +pts [ +"35500,43000" +"36000,43000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1021,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1022,0 +va (VaSet +) +xt "31100,42500,33000,43700" +st "en" +ju 2 +blo "33000,43500" +tm "WireNameMgr" +) +) +) +*69 (Net +uid 1029,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 26,0 +) +declText (MLText +uid 1030,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,35000,4000" +st "en : std_ulogic +" +) +) +*70 (SaComponent +uid 1230,0 +optionalChildren [ +*71 (CptPort +uid 1174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,46625,52750,47375" +) +tg (CPTG +uid 1176,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1177,0 +va (VaSet +font "Verdana,12,0" +) +xt "46200,46300,51000,47700" +st "adrSrc" +ju 2 +blo "51000,47500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 10 +suid 1,0 +) +) +) +*72 (CptPort +uid 1178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1179,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,50000,44375,50750" +) +tg (CPTG +uid 1180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1181,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "43300,44000,44700,49000" +st "ALUOp" +blo "44500,49000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 5 +suid 2,0 +) +) +) +*73 (CptPort +uid 1182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,44625,52750,45375" +) +tg (CPTG +uid 1184,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1185,0 +va (VaSet +font "Verdana,12,0" +) +xt "45100,44300,51000,45700" +st "ALUSrcA" +ju 2 +blo "51000,45500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*74 (CptPort +uid 1186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,42625,52750,43375" +) +tg (CPTG +uid 1188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1189,0 +va (VaSet +font "Verdana,12,0" +) +xt "45100,42300,51000,43700" +st "ALUSrcB" +ju 2 +blo "51000,43500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*75 (CptPort +uid 1190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1191,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,30625,52750,31375" +) +tg (CPTG +uid 1192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1193,0 +va (VaSet +font "Verdana,12,0" +) +xt "45900,30300,51000,31700" +st "branch" +ju 2 +blo "51000,31500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 11 +suid 5,0 +) +) +) +*76 (CptPort +uid 1194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,44625,39000,45375" +) +tg (CPTG +uid 1196,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1197,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,44300,42400,45700" +st "clk" +blo "40000,45500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*77 (CptPort +uid 1198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1199,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,38625,52750,39375" +) +tg (CPTG +uid 1200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1201,0 +va (VaSet +font "Verdana,12,0" +) +xt "45500,38300,51000,39700" +st "IRWrite" +ju 2 +blo "51000,39500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 8 +suid 7,0 +) +) +) +*78 (CptPort +uid 1202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,36625,52750,37375" +) +tg (CPTG +uid 1204,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1205,0 +va (VaSet +font "Verdana,12,0" +) +xt "43800,36300,51000,37700" +st "memWrite" +ju 2 +blo "51000,37500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 12 +suid 8,0 +) +) +) +*79 (CptPort +uid 1206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,33625,39000,34375" +) +tg (CPTG +uid 1208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1209,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,33300,42400,34700" +st "op" +blo "40000,34500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*80 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,32625,52750,33375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,32300,51000,33700" +st "PCupdate" +ju 2 +blo "51000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 9 +suid 10,0 +) +) +) +*81 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,34625,52750,35375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "Verdana,12,0" +) +xt "44900,34300,51000,35700" +st "regwrite" +ju 2 +blo "51000,35500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 13 +suid 11,0 +) +) +) +*82 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,40625,52750,41375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "Verdana,12,0" +) +xt "44700,40300,51000,41700" +st "resultSrc" +ju 2 +blo "51000,41500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 12,0 +) +) +) +*83 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,46625,39000,47375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,46300,42500,47700" +st "rst" +blo "40000,47500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 13,0 +) +) +) +*84 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,42625,39000,43375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,42300,42400,43700" +st "en" +blo "40000,43500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 1231,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,30000,52000,50000" +) +oxt "12000,6000,25000,26000" +ttg (MlTextGroup +uid 1232,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 1233,0 +va (VaSet +font "Verdana,9,1" +) +xt "39500,25800,47100,27000" +st "HEIRV32_MC" +blo "39500,26800" +tm "BdLibraryNameMgr" +) +*86 (Text +uid 1234,0 +va (VaSet +font "Verdana,9,1" +) +xt "39500,27000,44500,28200" +st "mainFSM" +blo "39500,28000" +tm "CptNameMgr" +) +*87 (Text +uid 1235,0 +va (VaSet +font "Verdana,9,1" +) +xt "39500,28200,45800,29400" +st "U_mainFSM" +blo "39500,29200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1236,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1237,0 +text (MLText +uid 1238,0 +va (VaSet +font "Courier New,8,0" +) +xt "41000,29000,41000,29000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 1239,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "39250,48250,40750,49750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*88 (SaComponent +uid 1415,0 +optionalChildren [ +*89 (CptPort +uid 1395,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1396,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,58625,53750,59375" +) +tg (CPTG +uid 1397,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1398,0 +va (VaSet +font "Verdana,12,0" +) +xt "43400,58300,52000,59700" +st "ALUControl" +ju 2 +blo "52000,59500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 1,0 +) +) +) +*90 (CptPort +uid 1399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1400,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,53250,44375,54000" +) +tg (CPTG +uid 1401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1402,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "43300,55000,44700,60000" +st "ALUOp" +ju 2 +blo "44500,55000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 20 +suid 2,0 +) +) +) +*91 (CptPort +uid 1403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1404,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,57625,37000,58375" +) +tg (CPTG +uid 1405,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1406,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,57300,42700,58700" +st "funct3" +blo "38000,58500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*92 (CptPort +uid 1407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,59625,37000,60375" +) +tg (CPTG +uid 1409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1410,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,59300,42700,60700" +st "funct7" +blo "38000,60500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*93 (CptPort +uid 1411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,55625,37000,56375" +) +tg (CPTG +uid 1413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1414,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,55300,40400,56700" +st "op" +blo "38000,56500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1416,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,54000,53000,63000" +) +oxt "20000,12000,36000,21000" +ttg (MlTextGroup +uid 1417,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 1418,0 +va (VaSet +font "Verdana,9,1" +) +xt "53650,60800,58650,62000" +st "HEIRV32" +blo "53650,61800" +tm "BdLibraryNameMgr" +) +*95 (Text +uid 1419,0 +va (VaSet +font "Verdana,9,1" +) +xt "53650,62000,60350,63200" +st "aluDecoder" +blo "53650,63000" +tm "CptNameMgr" +) +*96 (Text +uid 1420,0 +va (VaSet +font "Verdana,9,1" +) +xt "53650,63200,61650,64400" +st "U_aluDecoder" +blo "53650,64200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1421,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1422,0 +text (MLText +uid 1423,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "54000,64200,69500,65000" +st "g_tDec = 25 ps ( time ) +" +) +header "" +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "25 ps" +) +] +) +viewicon (ZoomableIcon +uid 1424,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "37250,61250,38750,62750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*97 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "36000,45000,38250,45000" +pts [ +"36000,45000" +"38250,45000" +] +) +start &1 +end &76 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "37000,43800,39200,45000" +st "clk" +blo "37000,44800" +tm "WireNameMgr" +) +) +on &2 +) +*98 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "35000,58000,36250,58000" +pts [ +"35000,58000" +"36250,58000" +] +) +start &3 +end &91 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "30000,56800,38200,58000" +st "funct3 : (2:0)" +blo "30000,57800" +tm "WireNameMgr" +) +) +on &4 +) +*99 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "35000,60000,36250,60000" +pts [ +"35000,60000" +"36250,60000" +] +) +start &5 +end &92 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "35000,58800,38900,60000" +st "funct7" +blo "35000,59800" +tm "WireNameMgr" +) +) +on &6 +) +*100 (Wire +uid 57,0 +optionalChildren [ +*101 (Ripper +uid 488,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"31000,34000" +"30000,35000" +] +uid 489,0 +va (VaSet +vasetType 3 +) +xt "30000,34000,31000,35000" +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "29000,34000,38250,34000" +pts [ +"29000,34000" +"38250,34000" +] +) +start &7 +end &79 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "30000,32800,36200,34000" +st "op : (6:0)" +blo "30000,33800" +tm "WireNameMgr" +) +) +on &8 +) +*102 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "36000,47000,38250,47000" +pts [ +"36000,47000" +"38250,47000" +] +) +start &9 +end &83 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "37000,45800,39100,47000" +st "rst" +blo "37000,46800" +tm "WireNameMgr" +) +) +on &10 +) +*103 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "36000,25000,59000,25000" +pts [ +"36000,25000" +"59000,25000" +] +) +start &11 +end &52 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "38000,23800,40800,25000" +st "zero" +blo "38000,24800" +tm "WireNameMgr" +) +) +on &12 +) +*104 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "53750,59000,56000,59000" +pts [ +"56000,59000" +"53750,59000" +] +) +start &13 +end &89 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "54000,57800,64900,59000" +st "ALUControl : (2:0)" +blo "54000,58800" +tm "WireNameMgr" +) +) +on &14 +) +*105 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,45000,56000,45000" +pts [ +"56000,45000" +"52750,45000" +] +) +start &15 +end &73 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "52000,43800,61700,45000" +st "ALUSrcA : (1:0)" +blo "52000,44800" +tm "WireNameMgr" +) +) +on &16 +) +*106 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,43000,56000,43000" +pts [ +"56000,43000" +"52750,43000" +] +) +start &17 +end &74 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +) +xt "52000,41800,61600,43000" +st "ALUSrcB : (1:0)" +blo "52000,42800" +tm "WireNameMgr" +) +) +on &18 +) +*107 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "52750,39000,56000,39000" +pts [ +"56000,39000" +"52750,39000" +] +) +start &19 +end &77 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "50000,37800,54500,39000" +st "IRWrite" +blo "50000,38800" +tm "WireNameMgr" +) +) +on &20 +) +*108 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "75000,29000,79000,29000" +pts [ +"79000,29000" +"75000,29000" +] +) +start &21 +end &47 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +) +xt "78000,27800,82800,29000" +st "PCWrite" +blo "78000,28800" +tm "WireNameMgr" +) +) +on &22 +) +*109 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,70000,57000,70000" +pts [ +"57000,70000" +"52750,70000" +] +) +start &23 +end &63 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +isHidden 1 +) +xt "56000,68800,64800,70000" +st "immSrc : (1:0)" +blo "56000,69800" +tm "WireNameMgr" +) +) +on &24 +) +*110 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "52750,37000,56000,37000" +pts [ +"56000,37000" +"52750,37000" +] +) +start &25 +end &78 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +isHidden 1 +) +xt "50000,35800,55700,37000" +st "memWrite" +blo "50000,36800" +tm "WireNameMgr" +) +) +on &26 +) +*111 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "52750,35000,56000,35000" +pts [ +"56000,35000" +"52750,35000" +] +) +start &27 +end &81 +ss 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +isHidden 1 +) +xt "50000,33800,54700,35000" +st "regwrite" +blo "50000,34800" +tm "WireNameMgr" +) +) +on &28 +) +*112 (Wire +uid 225,0 +shape (OrthoPolyLine +uid 226,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52750,41000,56000,41000" +pts [ +"56000,41000" +"52750,41000" +] +) +start &29 +end &82 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +isHidden 1 +) +xt "52000,39800,61600,41000" +st "resultSrc : (1:0)" +blo "52000,40800" +tm "WireNameMgr" +) +) +on &30 +) +*113 (Wire +uid 375,0 +shape (OrthoPolyLine +uid 376,0 +va (VaSet +vasetType 3 +) +xt "52750,47000,56000,47000" +pts [ +"52750,47000" +"56000,47000" +] +) +start &71 +end &42 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 379,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 380,0 +va (VaSet +isHidden 1 +) +xt "51000,45800,55000,47000" +st "adrSrc" +blo "51000,46800" +tm "WireNameMgr" +) +) +on &43 +) +*114 (Wire +uid 432,0 +shape (OrthoPolyLine +uid 433,0 +va (VaSet +vasetType 3 +) +xt "65950,27000,68335,27000" +pts [ +"65950,27000" +"68335,27000" +] +) +start &54 +end &45 +sat 32 +eat 32 +sf 1 +si 0 +tg (WTG +uid 434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 435,0 +va (VaSet +isHidden 1 +) +xt "65950,25800,76150,27000" +st "out1 : std_uLogic" +blo "65950,26800" +tm "WireNameMgr" +) +) +on &58 +) +*115 (Wire +uid 438,0 +shape (OrthoPolyLine +uid 439,0 +va (VaSet +vasetType 3 +) +xt "52750,29000,59000,31000" +pts [ +"59000,29000" +"55000,29000" +"55000,31000" +"52750,31000" +] +) +start &53 +end &75 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 442,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 443,0 +va (VaSet +) +xt "55000,27800,59100,29000" +st "branch" +blo "55000,28800" +tm "WireNameMgr" +) +) +on &59 +) +*116 (Wire +uid 448,0 +shape (OrthoPolyLine +uid 449,0 +va (VaSet +vasetType 3 +) +xt "52750,31000,68334,33000" +pts [ +"68334,31000" +"56000,31000" +"56000,33000" +"52750,33000" +] +) +start &46 +end &80 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 452,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 453,0 +va (VaSet +) +xt "63000,29800,68600,31000" +st "PCupdate" +blo "63000,30800" +tm "WireNameMgr" +) +s (Text +uid 549,0 +va (VaSet +isHidden 1 +) +xt "63000,31000,63000,31000" +blo "63000,31000" +tm "SignalTypeMgr" +) +) +on &60 +) +*117 (Wire +uid 482,0 +shape (OrthoPolyLine +uid 483,0 +va (VaSet +vasetType 3 +) +xt "30000,35000,36250,56000" +pts [ +"30000,35000" +"30000,56000" +"36250,56000" +] +) +start &101 +end &93 +sat 32 +eat 32 +sl "(5)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 486,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 487,0 +va (VaSet +) +xt "32000,54800,35400,56000" +st "op(5)" +blo "32000,55800" +tm "WireNameMgr" +) +) +on &8 +) +*118 (Wire +uid 611,0 +shape (OrthoPolyLine +uid 612,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "44000,50750,44000,53250" +pts [ +"44000,50750" +"44000,53250" +] +) +start &72 +end &90 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 617,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 618,0 +va (VaSet +) +xt "45000,51800,49400,53000" +st "ALUOp" +blo "45000,52800" +tm "WireNameMgr" +) +) +on &61 +) +*119 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38000,70000,41250,70000" +pts [ +"38000,70000" +"41250,70000" +] +) +end &64 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 639,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 640,0 +va (VaSet +) +xt "39000,68800,40900,70000" +st "op" +blo "39000,69800" +tm "WireNameMgr" +) +) +on &8 +) +*120 (Wire +uid 1023,0 +shape (OrthoPolyLine +uid 1024,0 +va (VaSet +vasetType 3 +) +xt "36000,43000,38250,43000" +pts [ +"36000,43000" +"38250,43000" +] +) +start &68 +end &84 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1027,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1028,0 +va (VaSet +isHidden 1 +) +xt "38000,41800,39900,43000" +st "en" +blo "38000,42800" +tm "WireNameMgr" +) +) +on &69 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *121 (PackageList +uid 299,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 300,0 +va (VaSet +font "Verdana,9,1" +) +xt "-6000,800,1600,2000" +st "Package List" +blo "-6000,1800" +) +*123 (MLText +uid 301,0 +va (VaSet +) +xt "-6000,2000,11500,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 302,0 +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 303,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*125 (Text +uid 304,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*126 (MLText +uid 305,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*127 (Text +uid 306,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*128 (MLText +uid 307,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*129 (Text +uid 308,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*130 (MLText +uid 309,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-7400,-1500,139891,76800" +cachedDiagramExtent "-6000,0,90000,76900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 1424,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*132 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*133 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*135 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*136 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*138 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*139 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*141 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*142 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*143 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*144 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*145 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*147 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*149 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*150 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*151 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,16000,29500,17200" +st "Diagram Signals:" +blo "20000,17000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *152 (LEmptyRow +) +uid 312,0 +optionalChildren [ +*153 (RefLabelRowHdr +) +*154 (TitleRowHdr +) +*155 (FilterRowHdr +) +*156 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*157 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*158 (GroupColHdr +tm "GroupColHdrMgr" +) +*159 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*160 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*161 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*162 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*163 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*164 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*165 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 12 +suid 11,0 +) +) +uid 233,0 +) +*166 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 15 +suid 14,0 +) +) +uid 237,0 +) +*167 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 11 +suid 10,0 +) +) +uid 239,0 +) +*168 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 5 +suid 4,0 +) +) +uid 241,0 +) +*169 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 243,0 +) +*170 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 245,0 +) +*171 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 247,0 +) +*172 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 249,0 +) +*173 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 17 +suid 16,0 +) +) +uid 251,0 +) +*174 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 8 +suid 7,0 +) +) +uid 253,0 +) +*175 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 6 +suid 5,0 +) +) +uid 255,0 +) +*176 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 9,0 +) +) +uid 257,0 +) +*177 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +uid 259,0 +) +*178 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 13,0 +) +) +uid 261,0 +) +*179 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 16 +suid 15,0 +) +) +uid 263,0 +) +*180 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 13 +suid 17,0 +) +) +uid 368,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 21 +suid 18,0 +) +) +uid 476,0 +) +*182 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "branch" +t "std_uLogic" +o 20 +suid 20,0 +) +) +uid 478,0 +) +*183 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 19 +suid 23,0 +) +) +uid 492,0 +) +*184 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 25,0 +) +) +uid 621,0 +) +*185 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 1016,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 325,0 +optionalChildren [ +*186 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *187 (MRCItem +litem &152 +pos 21 +dimension 20 +) +uid 327,0 +optionalChildren [ +*188 (MRCItem +litem &153 +pos 0 +dimension 20 +uid 328,0 +) +*189 (MRCItem +litem &154 +pos 1 +dimension 23 +uid 329,0 +) +*190 (MRCItem +litem &155 +pos 2 +hidden 1 +dimension 20 +uid 330,0 +) +*191 (MRCItem +litem &165 +pos 8 +dimension 20 +uid 234,0 +) +*192 (MRCItem +litem &166 +pos 7 +dimension 20 +uid 238,0 +) +*193 (MRCItem +litem &167 +pos 6 +dimension 20 +uid 240,0 +) +*194 (MRCItem +litem &168 +pos 5 +dimension 20 +uid 242,0 +) +*195 (MRCItem +litem &169 +pos 12 +dimension 20 +uid 244,0 +) +*196 (MRCItem +litem &170 +pos 13 +dimension 20 +uid 246,0 +) +*197 (MRCItem +litem &171 +pos 11 +dimension 20 +uid 248,0 +) +*198 (MRCItem +litem &172 +pos 14 +dimension 20 +uid 250,0 +) +*199 (MRCItem +litem &173 +pos 10 +dimension 20 +uid 252,0 +) +*200 (MRCItem +litem &174 +pos 1 +dimension 20 +uid 254,0 +) +*201 (MRCItem +litem &175 +pos 15 +dimension 20 +uid 256,0 +) +*202 (MRCItem +litem &176 +pos 3 +dimension 20 +uid 258,0 +) +*203 (MRCItem +litem &177 +pos 2 +dimension 20 +uid 260,0 +) +*204 (MRCItem +litem &178 +pos 4 +dimension 20 +uid 262,0 +) +*205 (MRCItem +litem &179 +pos 9 +dimension 20 +uid 264,0 +) +*206 (MRCItem +litem &180 +pos 0 +dimension 20 +uid 367,0 +) +*207 (MRCItem +litem &181 +pos 17 +dimension 20 +uid 477,0 +) +*208 (MRCItem +litem &182 +pos 18 +dimension 20 +uid 479,0 +) +*209 (MRCItem +litem &183 +pos 19 +dimension 20 +uid 493,0 +) +*210 (MRCItem +litem &184 +pos 20 +dimension 20 +uid 622,0 +) +*211 (MRCItem +litem &185 +pos 16 +dimension 20 +uid 1015,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 331,0 +optionalChildren [ +*212 (MRCItem +litem &156 +pos 0 +dimension 20 +uid 332,0 +) +*213 (MRCItem +litem &158 +pos 1 +dimension 50 +uid 333,0 +) +*214 (MRCItem +litem &159 +pos 2 +dimension 100 +uid 334,0 +) +*215 (MRCItem +litem &160 +pos 3 +dimension 50 +uid 335,0 +) +*216 (MRCItem +litem &161 +pos 4 +dimension 100 +uid 336,0 +) +*217 (MRCItem +litem &162 +pos 5 +dimension 100 +uid 337,0 +) +*218 (MRCItem +litem &163 +pos 6 +dimension 50 +uid 338,0 +) +*219 (MRCItem +litem &164 +pos 7 +dimension 80 +uid 339,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 326,0 +vaOverrides [ +] +) +] +) +uid 311,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *220 (LEmptyRow +) +uid 341,0 +optionalChildren [ +*221 (RefLabelRowHdr +) +*222 (TitleRowHdr +) +*223 (FilterRowHdr +) +*224 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*225 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*226 (GroupColHdr +tm "GroupColHdrMgr" +) +*227 (NameColHdr +tm "GenericNameColHdrMgr" +) +*228 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*229 (InitColHdr +tm "GenericValueColHdrMgr" +) +*230 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*231 (EolColHdr +tm "GenericEolColHdrMgr" +) +*232 (LogGeneric +generic (GiElement +name "g_datawidth" +type "positive" +value "32" +) +uid 265,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 353,0 +optionalChildren [ +*233 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *234 (MRCItem +litem &220 +pos 1 +dimension 20 +) +uid 355,0 +optionalChildren [ +*235 (MRCItem +litem &221 +pos 0 +dimension 20 +uid 356,0 +) +*236 (MRCItem +litem &222 +pos 1 +dimension 23 +uid 357,0 +) +*237 (MRCItem +litem &223 +pos 2 +hidden 1 +dimension 20 +uid 358,0 +) +*238 (MRCItem +litem &232 +pos 0 +dimension 20 +uid 266,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 359,0 +optionalChildren [ +*239 (MRCItem +litem &224 +pos 0 +dimension 20 +uid 360,0 +) +*240 (MRCItem +litem &226 +pos 1 +dimension 50 +uid 361,0 +) +*241 (MRCItem +litem &227 +pos 2 +dimension 100 +uid 362,0 +) +*242 (MRCItem +litem &228 +pos 3 +dimension 100 +uid 363,0 +) +*243 (MRCItem +litem &229 +pos 4 +dimension 50 +uid 364,0 +) +*244 (MRCItem +litem &230 +pos 5 +dimension 50 +uid 365,0 +) +*245 (MRCItem +litem &231 +pos 6 +dimension 80 +uid 366,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 354,0 +vaOverrides [ +] +) +] +) +uid 340,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/symbol.sb new file mode 100644 index 0000000..635163f --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/control@unit/symbol.sb @@ -0,0 +1,2439 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 18,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 8 +suid 2,0 +) +) +uid 113,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 9 +suid 3,0 +) +) +uid 115,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 4,0 +) +) +uid 117,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 5,0 +) +) +uid 119,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 121,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 11 +suid 7,0 +) +) +uid 123,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 15 +suid 8,0 +) +) +uid 125,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 12 +suid 9,0 +) +) +uid 127,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 16 +suid 10,0 +) +) +uid 129,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 17 +suid 11,0 +) +) +uid 131,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 12,0 +) +) +uid 133,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 13,0 +) +) +uid 240,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 4 +suid 14,0 +) +) +uid 242,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 15,0 +) +) +uid 254,0 +) +*28 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 6 +suid 16,0 +) +) +uid 256,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 13 +suid 17,0 +) +) +uid 388,0 +) +*30 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 18,0 +) +) +uid 440,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*31 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *32 (MRCItem +litem &1 +pos 17 +dimension 20 +) +uid 152,0 +optionalChildren [ +*33 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*34 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*35 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*36 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 114,0 +) +*37 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 116,0 +) +*38 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 118,0 +) +*39 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 120,0 +) +*40 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 122,0 +) +*41 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 124,0 +) +*42 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 126,0 +) +*43 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 128,0 +) +*44 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 130,0 +) +*45 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 132,0 +) +*46 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 134,0 +) +*47 (MRCItem +litem &25 +pos 12 +dimension 20 +uid 241,0 +) +*48 (MRCItem +litem &26 +pos 13 +dimension 20 +uid 243,0 +) +*49 (MRCItem +litem &27 +pos 14 +dimension 20 +uid 255,0 +) +*50 (MRCItem +litem &28 +pos 15 +dimension 20 +uid 257,0 +) +*51 (MRCItem +litem &29 +pos 0 +dimension 20 +uid 387,0 +) +*52 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 441,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*53 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*54 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*55 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*56 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*57 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*58 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*59 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*60 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *61 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*62 (RefLabelRowHdr +) +*63 (TitleRowHdr +) +*64 (FilterRowHdr +) +*65 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*66 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*67 (GroupColHdr +tm "GroupColHdrMgr" +) +*68 (NameColHdr +tm "GenericNameColHdrMgr" +) +*69 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*70 (InitColHdr +tm "GenericValueColHdrMgr" +) +*71 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*72 (EolColHdr +tm "GenericEolColHdrMgr" +) +*73 (LogGeneric +generic (GiElement +name "g_datawidth" +type "positive" +value "32" +) +uid 215,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*74 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *75 (MRCItem +litem &61 +pos 1 +dimension 20 +) +uid 180,0 +optionalChildren [ +*76 (MRCItem +litem &62 +pos 0 +dimension 20 +uid 181,0 +) +*77 (MRCItem +litem &63 +pos 1 +dimension 23 +uid 182,0 +) +*78 (MRCItem +litem &64 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*79 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 216,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*80 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 185,0 +) +*81 (MRCItem +litem &67 +pos 1 +dimension 50 +uid 186,0 +) +*82 (MRCItem +litem &68 +pos 2 +dimension 100 +uid 187,0 +) +*83 (MRCItem +litem &69 +pos 3 +dimension 100 +uid 188,0 +) +*84 (MRCItem +litem &70 +pos 4 +dimension 50 +uid 189,0 +) +*85 (MRCItem +litem &71 +pos 5 +dimension 50 +uid 190,0 +) +*86 (MRCItem +litem &72 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\controlUnit" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "controlUnit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:25:37" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_MC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/MultiCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "controlUnit" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\control@unit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\controlUnit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:25:37" +) +(vvPair +variable "unit" +value "controlUnit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*87 (SymbolBody +uid 8,0 +optionalChildren [ +*88 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,23625,19750,24375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "9400,23300,18000,24700" +st "ALUControl" +ju 2 +blo "18000,24500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,72000,8800" +st "ALUControl : OUT std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 8 +suid 2,0 +) +) +) +*89 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,27625,19750,28375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "12100,27300,18000,28700" +st "ALUSrcA" +ju 2 +blo "18000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,72000,9600" +st "ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 9 +suid 3,0 +) +) +) +*90 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,25625,19750,26375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "12100,25300,18000,26700" +st "ALUSrcB" +ju 2 +blo "18000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,72000,10400" +st "ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 4,0 +) +) +) +*91 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,29625,19750,30375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "12800,29300,18000,30700" +st "immSrc" +ju 2 +blo "18000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12800,72000,13600" +st "immSrc : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 5,0 +) +) +) +*92 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,29625,2000,30375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,29300,5400,30700" +st "op" +blo "3000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,72000,6400" +st "op : IN std_ulogic_vector (6 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*93 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,26625,2000,27375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,26300,8500,27700" +st "IRWrite" +blo "3000,27500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,62000,11200" +st "IRWrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 11 +suid 7,0 +) +) +) +*94 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,24625,2000,25375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,24300,10200,25700" +st "memWrite" +blo "3000,25500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13600,62000,14400" +st "memWrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 15 +suid 8,0 +) +) +) +*95 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,20625,2000,21375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,20300,8900,21700" +st "PCWrite" +blo "3000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11200,62000,12000" +st "PCWrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 12 +suid 9,0 +) +) +) +*96 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,31625,19750,32375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "Verdana,12,0" +) +xt "11900,31300,18000,32700" +st "regwrite" +ju 2 +blo "18000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,14400,62000,15200" +st "regwrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 16 +suid 10,0 +) +) +) +*97 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19000,21625,19750,22375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,12,0" +) +xt "11700,21300,18000,22700" +st "resultSrc" +ju 2 +blo "18000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,15200,71000,16000" +st "resultSrc : OUT std_ulogic_vector (1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 17 +suid 11,0 +) +) +) +*98 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9625,37000,10375,37750" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 109,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "9300,32400,10700,36000" +st "zero" +blo "10500,36000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,62000,8000" +st "zero : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 12,0 +) +) +) +*99 (CptPort +uid 244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 245,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,31625,2000,32375" +) +tg (CPTG +uid 246,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 247,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,31300,7700,32700" +st "funct3" +blo "3000,32500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 248,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,72000,4800" +st "funct3 : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 13,0 +) +) +) +*100 (CptPort +uid 249,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,33625,2000,34375" +) +tg (CPTG +uid 251,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 252,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,33300,7700,34700" +st "funct7" +blo "3000,34500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 253,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62000,5600" +st "funct7 : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 4 +suid 14,0 +) +) +) +*101 (CptPort +uid 258,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 259,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "5625,15250,6375,16000" +) +tg (CPTG +uid 260,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 261,0 +va (VaSet +font "Verdana,12,0" +) +xt "4550,16450,6950,17850" +st "clk" +ju 2 +blo "6950,17650" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 262,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62000,3200" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 15,0 +) +) +) +*102 (CptPort +uid 263,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 264,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "9625,15250,10375,16000" +) +tg (CPTG +uid 265,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 266,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "9300,17000,10700,19500" +st "rst" +ju 2 +blo "10500,17000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 267,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,62000,7200" +st "rst : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 6 +suid 16,0 +) +) +) +*103 (CptPort +uid 389,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 390,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "1250,22625,2000,23375" +) +tg (CPTG +uid 391,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 392,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,22300,7800,23700" +st "adrSrc" +blo "3000,23500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 393,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12000,62000,12800" +st "adrSrc : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 13 +suid 17,0 +) +) +) +*104 (CptPort +uid 442,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 443,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12625,15250,13375,16000" +) +tg (CPTG +uid 444,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 445,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "12300,17000,13700,19400" +st "en" +ju 2 +blo "13500,17000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 446,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62000,4000" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 18,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "2000,16000,19000,37000" +) +oxt "15000,6000,23000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "12600,37800,20200,39000" +st "HEIRV32_MC" +blo "12600,38800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "12600,39000,19400,40200" +st "controlUnit" +blo "12600,40000" +) +) +gi *105 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "13000,40600,27000,43000" +st "Generic Declarations + +g_datawidth positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*106 (Grouping +uid 16,0 +optionalChildren [ +*107 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*108 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*109 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*110 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*112 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*113 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*117 (CommentGraphic +uid 268,0 +shape (PolyLine2D +pts [ +"4000,16000" +"6000,20000" +] +uid 269,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "4000,16000,6000,20000" +) +oxt "16000,16000,18000,20000" +) +*118 (CommentGraphic +uid 270,0 +shape (PolyLine2D +pts [ +"6000,20000" +"8000,16000" +] +uid 271,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "6000,16000,8000,20000" +) +oxt "18000,16000,20000,20000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *119 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*121 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1021,690" +viewArea "-22200,4000,49170,49720" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *122 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *123 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,16000,45200,17200" +st "User:" +blo "42000,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,17200,44000,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 538,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/struct.bd b/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/struct.bd new file mode 100644 index 0000000..7ce79a7 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/struct.bd @@ -0,0 +1,13169 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_pcMux" +duLibraryName "gates" +duName "mux2to1Unsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 822,0 +) +(Instance +name "U_resultToUnsigned" +duLibraryName "gates" +duName "transUlogUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 2835,0 +) +(Instance +name "U_aluBuffer" +duLibraryName "HEIRV32" +duName "bufferStdULogEnable" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 3778,0 +) +(Instance +name "U_0" +duLibraryName "gates" +duName "transUnsignedUlog" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 4044,0 +) +(Instance +name "U_pcBuffer" +duLibraryName "HEIRV32" +duName "bufferStdULogEnable" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 4064,0 +) +(Instance +name "U_and1" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 4528,0 +) +(Instance +name "U_and2" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 4546,0 +) +(Instance +name "U_instrDataMemory" +duLibraryName "HEIRV32_MC" +duName "instructionDataMemory" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_addrWidth" +type "positive" +value "c_bramAddrWidth" +) +(GiElement +name "g_programFile" +type "string" +value "g_programFile" +) +] +mwi 0 +uid 6827,0 +) +(Instance +name "U_instrForward" +duLibraryName "HEIRV32_MC" +duName "instructionForwarder" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 6849,0 +) +(Instance +name "U_controlUnit" +duLibraryName "HEIRV32_MC" +duName "controlUnit" +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 6931,0 +) +(Instance +name "U_pcLoadBuffer" +duLibraryName "HEIRV32" +duName "bufferUnsignedEnable" +elements [ +(GiElement +name "g_bitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tPC" +type "time" +value "35 ps" +) +] +mwi 0 +uid 7823,0 +) +(Instance +name "U_srcBSel" +duLibraryName "HEIRV32" +duName "mux4To1ULogVec" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +mwi 0 +uid 7857,0 +) +(Instance +name "U_srcASel" +duLibraryName "HEIRV32" +duName "mux4To1ULogVec" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +mwi 0 +uid 7891,0 +) +(Instance +name "U_resultSel" +duLibraryName "HEIRV32" +duName "mux4To1ULogVec" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +mwi 0 +uid 7925,0 +) +(Instance +name "U_extend" +duLibraryName "HEIRV32" +duName "extend" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tExt" +type "time" +value "35 ps" +) +] +mwi 0 +uid 8060,0 +) +(Instance +name "U_alu" +duLibraryName "HEIRV32" +duName "ALU" +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tALU" +type "time" +value "120 ps" +) +] +mwi 0 +uid 8090,0 +) +(Instance +name "U_registerFile" +duLibraryName "HEIRV32" +duName "registerFile" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_btnsNb" +type "positive" +value "g_btnsNb" +) +(GiElement +name "g_tRfRd" +type "time" +value "100 ps" +) +(GiElement +name "g_tRfWr" +type "time" +value "60 ps" +) +(GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +] +mwi 0 +uid 8152,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "heirv32_mc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:29:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_MC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/MultiCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_mc" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:29:12" +) +(vvPair +variable "unit" +value "heirv32_mc" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,4000,76000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,4000,70700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,0,80000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "76200,0,79200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,2000,76000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,2000,69200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,2000,59000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,2000,57300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,1000,96000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "76200,1200,85600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,0,96000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "80200,0,81800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,0,76000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,400,70650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,3000,59000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,3000,57300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,4000,59000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,4000,57900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,3000,76000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,3000,71600,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,0,96000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 362,0 +shape (CompositeShape +uid 363,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 364,0 +sl 0 +ro 270 +xt "9000,37625,10500,38375" +) +(Line +uid 365,0 +sl 0 +ro 270 +xt "10500,38000,11000,38000" +pts [ +"10500,38000" +"11000,38000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 366,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 367,0 +va (VaSet +) +xt "5800,37400,8000,38600" +st "clk" +ju 2 +blo "8000,38400" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 368,0 +shape (CompositeShape +uid 369,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 370,0 +sl 0 +ro 270 +xt "9000,39625,10500,40375" +) +(Line +uid 371,0 +sl 0 +ro 270 +xt "10500,40000,11000,40000" +pts [ +"10500,40000" +"11000,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 372,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 373,0 +va (VaSet +) +xt "5900,39400,8000,40600" +st "rst" +ju 2 +blo "8000,40400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 486,0 +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 13 +suid 18,0 +) +declText (MLText +uid 487,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,16000,51500,16800" +st "SIGNAL PC : unsigned(c_dataWidth - 1 downto 0)" +) +) +*15 (Net +uid 672,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 20,0 +) +declText (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,35500,5600" +st "rst : std_ulogic" +) +) +*16 (Net +uid 674,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 21,0 +) +declText (MLText +uid 675,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,35500,4000" +st "clk : std_ulogic" +) +) +*17 (Net +uid 722,0 +lang 11 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 15 +suid 23,0 +) +declText (MLText +uid 723,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,17600,39000,18400" +st "SIGNAL PCWrite : std_ulogic" +) +) +*18 (Net +uid 796,0 +lang 11 +decl (Decl +n "PCNext" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 14 +suid 26,0 +) +declText (MLText +uid 797,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,16800,51500,17600" +st "SIGNAL PCNext : unsigned(c_dataWidth - 1 downto 0)" +) +) +*19 (SaComponent +uid 822,0 +optionalChildren [ +*20 (CptPort +uid 806,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 807,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "25250,78625,26000,79375" +) +tg (CPTG +uid 808,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 809,0 +va (VaSet +font "Verdana,8,0" +) +xt "26339,78427,28039,79427" +st "in0" +blo "26339,79227" +) +s (Text +uid 832,0 +va (VaSet +) +xt "26339,79427,26339,79427" +blo "26339,79427" +) +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*21 (CptPort +uid 810,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 811,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "30000,79625,30750,80375" +) +tg (CPTG +uid 812,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 813,0 +va (VaSet +fg "65535,65535,65535" +font "Verdana,8,0" +) +xt "30300,78500,34000,79500" +st "muxOut" +ju 2 +blo "34000,79300" +) +s (Text +uid 833,0 +va (VaSet +) +xt "34000,79500,34000,79500" +ju 2 +blo "34000,79500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*22 (CptPort +uid 814,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 815,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "28625,77750,29375,78500" +) +tg (CPTG +uid 816,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 817,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "28400,78833,29400,80433" +st "sel" +ju 2 +blo "29200,78833" +) +s (Text +uid 834,0 +ro 270 +va (VaSet +) +xt "29400,78833,29400,78833" +ju 2 +blo "29400,78833" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*23 (CptPort +uid 818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 819,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "25250,80625,26000,81375" +) +tg (CPTG +uid 820,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 821,0 +va (VaSet +font "Verdana,8,0" +) +xt "26367,80527,28067,81527" +st "in1" +blo "26367,81327" +) +s (Text +uid 835,0 +va (VaSet +) +xt "26367,81527,26367,81527" +blo "26367,81527" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 823,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,77000,30000,83000" +) +showPorts 0 +oxt "38000,2000,44000,18000" +ttg (MlTextGroup +uid 824,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 825,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22600,82700,25700,83700" +st "gates" +blo "22600,83500" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 826,0 +va (VaSet +font "Verdana,8,1" +) +xt "22600,83700,32300,84700" +st "mux2to1Unsigned" +blo "22600,84500" +tm "CptNameMgr" +) +*26 (Text +uid 827,0 +va (VaSet +font "Verdana,8,1" +) +xt "22600,84700,27500,85700" +st "U_pcMux" +blo "22600,85500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 828,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 829,0 +text (MLText +uid 830,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "22000,86000,40500,88000" +st "dataBitNb = c_dataWidth ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 831,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "26250,81250,27750,82750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*27 (Net +uid 858,0 +decl (Decl +n "adr" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 18 +suid 30,0 +) +declText (MLText +uid 859,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,20000,50500,20800" +st "SIGNAL adr : unsigned(c_dataWidth-1 DOWNTO 0)" +) +) +*28 (Net +uid 906,0 +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 35 +suid 33,0 +) +declText (MLText +uid 907,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,33600,55000,34400" +st "SIGNAL writeData : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*29 (Net +uid 1112,0 +lang 11 +decl (Decl +n "memWrite" +t "std_ulogic" +o 27 +suid 36,0 +) +declText (MLText +uid 1113,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,27200,39000,28000" +st "SIGNAL memWrite : std_ulogic" +) +) +*30 (Net +uid 1162,0 +lang 11 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 12 +suid 37,0 +) +declText (MLText +uid 1163,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,15200,39000,16000" +st "SIGNAL IRWrite : std_ulogic" +) +) +*31 (Net +uid 1172,0 +lang 11 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 20 +suid 38,0 +) +declText (MLText +uid 1173,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,21600,55000,22400" +st "SIGNAL data : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*32 (Net +uid 1310,0 +lang 11 +decl (Decl +n "oldPC" +t "std_ulogic_vector" +b "(c_dataWidth - 1 DOWNTO 0)" +o 28 +suid 44,0 +) +declText (MLText +uid 1311,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,28000,56000,28800" +st "SIGNAL oldPC : std_ulogic_vector(c_dataWidth - 1 DOWNTO 0)" +) +) +*33 (Net +uid 1362,0 +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 36 +suid 46,0 +) +declText (MLText +uid 1363,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,34400,39000,35200" +st "SIGNAL zero : std_ulogic" +) +) +*34 (Net +uid 1376,0 +lang 11 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 32 +suid 48,0 +) +declText (MLText +uid 1377,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,31200,49000,32000" +st "SIGNAL resultSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*35 (Net +uid 1388,0 +lang 11 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 50,0 +) +declText (MLText +uid 1389,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,11200,49000,12000" +st "SIGNAL ALUControl : std_ulogic_vector(2 DOWNTO 0)" +) +) +*36 (Net +uid 1430,0 +lang 11 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 55,0 +) +declText (MLText +uid 1431,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14400,49000,15200" +st "SIGNAL ALUSrcB : std_ulogic_vector(1 DOWNTO 0)" +) +) +*37 (Net +uid 1432,0 +lang 11 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 56,0 +) +declText (MLText +uid 1433,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,13600,49000,14400" +st "SIGNAL ALUSrcA : std_ulogic_vector(1 DOWNTO 0)" +) +) +*38 (Net +uid 1434,0 +lang 11 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 25 +suid 57,0 +) +declText (MLText +uid 1435,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,25600,49000,26400" +st "SIGNAL immSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*39 (Net +uid 1436,0 +lang 11 +decl (Decl +n "regwrite" +t "std_ulogic" +o 30 +suid 58,0 +) +declText (MLText +uid 1437,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,29600,39000,30400" +st "SIGNAL regwrite : std_ulogic" +) +) +*40 (Net +uid 1662,0 +lang 11 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 26 +suid 59,0 +) +declText (MLText +uid 1663,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,26400,55000,27200" +st "SIGNAL instruction : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*41 (Net +uid 1884,0 +lang 11 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 17 +suid 62,0 +) +declText (MLText +uid 1885,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,19200,55000,20000" +st "SIGNAL RD1 : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*42 (Net +uid 2122,0 +lang 11 +decl (Decl +n "immExt" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 24 +suid 65,0 +) +declText (MLText +uid 2123,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,24800,55000,25600" +st "SIGNAL immExt : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*43 (HdlText +uid 2376,0 +optionalChildren [ +*44 (EmbeddedText +uid 2382,0 +commentText (CommentText +uid 2383,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2384,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "103000,37000,137000,40000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2385,0 +va (VaSet +) +xt "103200,37200,137000,39600" +st " +four_zeros <= (c_dataWidth - 1 downto 0 => '0'); +four_four <= std_ulogic_vector(to_unsigned(4, c_dataWidth)); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 3000 +visibleWidth 34000 +) +) +) +] +shape (Rectangle +uid 2377,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "103000,36000,137000,42000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2378,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 2379,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "110800,41800,113200,43000" +st "eb1" +blo "110800,42800" +tm "HdlTextNameMgr" +) +*46 (Text +uid 2380,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "110800,43000,112000,44200" +st "1" +blo "110800,44000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 2381,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "103250,40250,104750,41750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*47 (Net +uid 2450,0 +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 33 +suid 74,0 +) +declText (MLText +uid 2451,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,32000,55000,32800" +st "SIGNAL srcA : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*48 (Net +uid 2452,0 +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 34 +suid 75,0 +) +declText (MLText +uid 2453,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,32800,55000,33600" +st "SIGNAL srcB : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*49 (Net +uid 2595,0 +lang 11 +decl (Decl +n "ALUResult" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 9 +suid 79,0 +) +declText (MLText +uid 2596,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12800,55000,13600" +st "SIGNAL ALUResult : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*50 (Net +uid 2763,0 +lang 11 +decl (Decl +n "ALUOut" +t "std_ulogic_vector" +b "(c_dataWidth - 1 DOWNTO 0)" +o 8 +suid 82,0 +) +declText (MLText +uid 2764,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12000,56000,12800" +st "SIGNAL ALUOut : std_ulogic_vector(c_dataWidth - 1 DOWNTO 0)" +) +) +*51 (SaComponent +uid 2835,0 +optionalChildren [ +*52 (CptPort +uid 2827,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2828,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "33000,100625,33750,101375" +) +tg (CPTG +uid 2829,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2830,0 +va (VaSet +isHidden 1 +) +xt "30700,100100,33000,101300" +st "in1" +ju 2 +blo "33000,101100" +) +s (Text +uid 2845,0 +va (VaSet +isHidden 1 +) +xt "33000,101300,33000,101300" +ju 2 +blo "33000,101300" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 2831,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2832,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "27250,100625,28000,101375" +) +tg (CPTG +uid 2833,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2834,0 +va (VaSet +isHidden 1 +) +xt "28000,100100,31000,101300" +st "out1" +blo "28000,101100" +) +s (Text +uid 2846,0 +va (VaSet +isHidden 1 +) +xt "28000,101300,28000,101300" +blo "28000,101300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 2836,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,98000,33000,104000" +) +showPorts 0 +oxt "36000,15000,41000,21000" +ttg (MlTextGroup +uid 2837,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 2838,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "28310,103600,31410,104600" +st "gates" +blo "28310,104400" +tm "BdLibraryNameMgr" +) +*55 (Text +uid 2839,0 +va (VaSet +font "Verdana,8,1" +) +xt "28310,104600,38510,105600" +st "transUlogUnsigned" +blo "28310,105400" +tm "CptNameMgr" +) +*56 (Text +uid 2840,0 +va (VaSet +font "Verdana,8,1" +) +xt "28310,105600,39010,106600" +st "U_resultToUnsigned" +blo "28310,106400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2841,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2842,0 +text (MLText +uid 2843,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "28000,108000,46500,110000" +st "delay = gateDelay ( time ) +dataBitNb = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 2844,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "28250,102250,29750,103750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*57 (Net +uid 2853,0 +decl (Decl +n "result" +t "std_uLogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 31 +suid 84,0 +) +declText (MLText +uid 2854,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,30400,55000,31200" +st "SIGNAL result : std_uLogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*58 (Net +uid 2935,0 +decl (Decl +n "four_zeros" +t "std_ulogic_vector" +b "(c_dataWidth-1 downto 0)" +o 23 +suid 85,0 +) +declText (MLText +uid 2936,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,24000,55000,24800" +st "SIGNAL four_zeros : std_ulogic_vector(c_dataWidth-1 downto 0)" +) +) +*59 (Net +uid 2937,0 +decl (Decl +n "four_four" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 22 +suid 86,0 +) +declText (MLText +uid 2938,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,23200,55000,24000" +st "SIGNAL four_four : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*60 (Net +uid 3181,0 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 19 +suid 88,0 +) +declText (MLText +uid 3182,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,20800,39000,21600" +st "SIGNAL adrSrc : std_uLogic" +) +) +*61 (SaComponent +uid 3778,0 +optionalChildren [ +*62 (CptPort +uid 3754,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3755,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "153250,85625,154000,86375" +) +tg (CPTG +uid 3756,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3757,0 +va (VaSet +font "Verdana,8,0" +) +xt "154236,85500,155736,86500" +st "rst" +blo "154236,86300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*63 (CptPort +uid 3758,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3759,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "155625,88000,156375,88750" +) +tg (CPTG +uid 3760,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3761,0 +va (VaSet +font "Verdana,8,0" +) +xt "155342,86579,156842,87579" +st "en" +blo "155342,87379" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_uLogic" +o 2 +suid 4,0 +) +) +) +*64 (CptPort +uid 3762,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3763,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "155625,80250,156375,81000" +) +tg (CPTG +uid 3764,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3765,0 +va (VaSet +font "Verdana,8,0" +) +xt "155108,81148,156708,82148" +st "clk" +ju 2 +blo "156708,81948" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*65 (CptPort +uid 3766,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3767,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "153250,83625,154000,84375" +) +tg (CPTG +uid 3768,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3769,0 +va (VaSet +font "Verdana,8,0" +) +xt "154177,83530,155877,84530" +st "in1" +blo "154177,84330" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 3770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3771,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "158000,83625,158750,84375" +) +tg (CPTG +uid 3772,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3773,0 +va (VaSet +font "Verdana,8,0" +) +xt "155612,83500,157912,84500" +st "out1" +ju 2 +blo "157912,84300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 5 +suid 1,0 +) +) +) +*67 (CommentGraphic +uid 3774,0 +shape (PolyLine2D +pts [ +"156000,83000" +"158000,81000" +] +uid 3775,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "156000,81000,158000,83000" +) +oxt "15000,20000,17000,22000" +) +*68 (CommentGraphic +uid 3776,0 +shape (PolyLine2D +pts [ +"154000,81000" +"156000,83000" +] +uid 3777,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "154000,81000,156000,83000" +) +oxt "13000,20000,15000,22000" +) +] +shape (Rectangle +uid 3779,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "154000,81000,158000,88000" +fos 1 +) +oxt "13000,20000,17000,27000" +ttg (MlTextGroup +uid 3780,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 3781,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "156050,89300,161050,90500" +st "HEIRV32" +blo "156050,90300" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 3782,0 +va (VaSet +font "Verdana,9,1" +) +xt "156050,90500,167950,91700" +st "bufferStdULogEnable" +blo "156050,91500" +tm "CptNameMgr" +) +*71 (Text +uid 3783,0 +va (VaSet +font "Verdana,9,1" +) +xt "156050,91700,163350,92900" +st "U_aluBuffer" +blo "156050,92700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3784,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3785,0 +text (MLText +uid 3786,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "158000,94200,181500,95000" +st "g_dataWidth = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 3787,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "154250,86250,155750,87750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*72 (SaComponent +uid 4044,0 +optionalChildren [ +*73 (CptPort +uid 4036,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4037,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "34250,65625,35000,66375" +) +tg (CPTG +uid 4038,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4039,0 +va (VaSet +isHidden 1 +) +xt "35000,65700,37300,66900" +st "in1" +blo "35000,66700" +) +s (Text +uid 4054,0 +va (VaSet +isHidden 1 +) +xt "35000,66900,35000,66900" +blo "35000,66900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*74 (CptPort +uid 4040,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4041,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40000,65625,40750,66375" +) +tg (CPTG +uid 4042,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4043,0 +va (VaSet +isHidden 1 +) +xt "37000,65700,40000,66900" +st "out1" +ju 2 +blo "40000,66700" +) +s (Text +uid 4055,0 +va (VaSet +isHidden 1 +) +xt "40000,66900,40000,66900" +ju 2 +blo "40000,66900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 4045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,63000,40000,69000" +) +showPorts 0 +oxt "36000,15000,41000,21000" +ttg (MlTextGroup +uid 4046,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 4047,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "69310,79600,72410,80600" +st "gates" +blo "69310,80400" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 4048,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "69310,80800,79510,81800" +st "transUnsignedUlog" +blo "69310,81600" +tm "CptNameMgr" +) +*77 (Text +uid 4049,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "69310,80600,71810,81600" +st "U_0" +blo "69310,81400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4050,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4051,0 +text (MLText +uid 4052,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "35000,70600,53500,72600" +st "delay = gateDelay ( time ) +dataBitNb = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 4053,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,67250,36750,68750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 4062,0 +lang 11 +decl (Decl +n "PCu" +t "std_ulogic_vector" +b "(c_dataWidth - 1 downto 0)" +o 16 +suid 92,0 +) +declText (MLText +uid 4063,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,18400,56000,19200" +st "SIGNAL PCu : std_ulogic_vector(c_dataWidth - 1 downto 0)" +) +) +*79 (SaComponent +uid 4064,0 +optionalChildren [ +*80 (CptPort +uid 4074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,67625,53000,68375" +) +tg (CPTG +uid 4076,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4077,0 +va (VaSet +font "Verdana,8,0" +) +xt "53236,67500,54736,68500" +st "rst" +blo "53236,68300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +) +) +) +*81 (CptPort +uid 4078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4079,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,70000,55375,70750" +) +tg (CPTG +uid 4080,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4081,0 +va (VaSet +font "Verdana,8,0" +) +xt "54342,68579,55842,69579" +st "en" +blo "54342,69379" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_uLogic" +o 2 +) +) +) +*82 (CptPort +uid 4082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4083,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,62250,55375,63000" +) +tg (CPTG +uid 4084,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4085,0 +va (VaSet +font "Verdana,8,0" +) +xt "54108,63148,55708,64148" +st "clk" +ju 2 +blo "55708,63948" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +) +) +) +*83 (CptPort +uid 4086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,65625,53000,66375" +) +tg (CPTG +uid 4088,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4089,0 +va (VaSet +font "Verdana,8,0" +) +xt "53177,65530,54877,66530" +st "in1" +blo "53177,66330" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +) +) +) +*84 (CptPort +uid 4090,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4091,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57000,65625,57750,66375" +) +tg (CPTG +uid 4092,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4093,0 +va (VaSet +font "Verdana,8,0" +) +xt "54612,65500,56912,66500" +st "out1" +ju 2 +blo "56912,66300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 5 +) +) +) +*85 (CommentGraphic +uid 4094,0 +shape (PolyLine2D +pts [ +"55000,65000" +"57000,63000" +] +uid 4095,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "55000,63000,57000,65000" +) +oxt "15000,20000,17000,22000" +) +*86 (CommentGraphic +uid 4096,0 +shape (PolyLine2D +pts [ +"53000,63000" +"55000,65000" +] +uid 4097,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "53000,63000,55000,65000" +) +oxt "13000,20000,15000,22000" +) +] +shape (Rectangle +uid 4065,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,63000,57000,70000" +fos 1 +) +oxt "13000,20000,17000,27000" +ttg (MlTextGroup +uid 4066,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 4067,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "58050,66300,63050,67500" +st "HEIRV32" +blo "58050,67300" +tm "BdLibraryNameMgr" +) +*88 (Text +uid 4068,0 +va (VaSet +font "Verdana,9,1" +) +xt "58050,67500,69950,68700" +st "bufferStdULogEnable" +blo "58050,68500" +tm "CptNameMgr" +) +*89 (Text +uid 4069,0 +va (VaSet +font "Verdana,9,1" +) +xt "58050,68700,64950,69900" +st "U_pcBuffer" +blo "58050,69700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4070,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4071,0 +text (MLText +uid 4072,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "57000,76200,80500,77000" +st "g_dataWidth = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 4073,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,68250,54750,69750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*90 (Net +uid 4351,0 +lang 11 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 93,0 +) +declText (MLText +uid 4352,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,46000,6400" +st "dbg_leds : std_ulogic_vector(31 DOWNTO 0)" +) +) +*91 (PortIoOut +uid 4365,0 +shape (CompositeShape +uid 4366,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4367,0 +sl 0 +ro 270 +xt "173500,13625,175000,14375" +) +(Line +uid 4368,0 +sl 0 +ro 270 +xt "173000,14000,173500,14000" +pts [ +"173000,14000" +"173500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4369,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4370,0 +va (VaSet +) +xt "176000,13400,181200,14600" +st "dbg_leds" +blo "176000,14400" +tm "WireNameMgr" +) +) +) +*92 (HdlText +uid 4373,0 +optionalChildren [ +*93 (EmbeddedText +uid 4478,0 +commentText (CommentText +uid 4479,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4480,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "118000,10000,170000,26000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4481,0 +va (VaSet +) +xt "118200,10200,170100,22200" +st " + + +dbg_leds(7 downto 0) <= std_ulogic_vector(adr(9 downto 2)); -- no need to read LSBs since does +4 each time + +dbg_leds(15 downto 8) <= std_ulogic_vector(instruction(7 downto 0)); + +dbg_leds(23 downto 16) <= std_ulogic_vector(ALUControl & \"000\" & resultSrc); + +dbg_leds(31 downto 24) <= std_ulogic_vector(regwrite & immSrc & '0' & ALUSrcB & ALUSrcA); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 52000 +) +) +) +] +shape (Rectangle +uid 4374,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "118000,9000,170000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4375,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 4376,0 +va (VaSet +font "Verdana,9,1" +) +xt "127800,28800,130200,30000" +st "eb2" +blo "127800,29800" +tm "HdlTextNameMgr" +) +*95 (Text +uid 4377,0 +va (VaSet +font "Verdana,9,1" +) +xt "127800,30000,129000,31200" +st "2" +blo "127800,31000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 4378,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "118250,26250,119750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*96 (PortIoIn +uid 4490,0 +shape (CompositeShape +uid 4491,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4492,0 +sl 0 +ro 270 +xt "9000,41625,10500,42375" +) +(Line +uid 4493,0 +sl 0 +ro 270 +xt "10500,42000,11000,42000" +pts [ +"10500,42000" +"11000,42000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4494,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4495,0 +va (VaSet +) +xt "6100,41400,8000,42600" +st "en" +ju 2 +blo "8000,42400" +tm "WireNameMgr" +) +) +) +*97 (Net +uid 4496,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 97,0 +) +declText (MLText +uid 4497,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,35500,4800" +st "en : std_ulogic" +) +) +*98 (SaComponent +uid 4528,0 +optionalChildren [ +*99 (CptPort +uid 4516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4517,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "14625,88000,15375,88750" +) +tg (CPTG +uid 4518,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4519,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "14600,78500,15800,88000" +st "in1 : std_uLogic" +blo "15600,88000" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*100 (CptPort +uid 4520,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4521,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "16625,88000,17375,88750" +) +tg (CPTG +uid 4522,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4523,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "16600,78500,17800,88000" +st "in2 : std_uLogic" +blo "17600,88000" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*101 (CptPort +uid 4524,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4525,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "15625,84300,16375,85050" +) +tg (CPTG +uid 4526,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4527,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "15550,85000,16750,95200" +st "out1 : std_uLogic" +ju 2 +blo "16550,85000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 4529,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,85000,18000,88000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 4530,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +uid 4531,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "15100,89200,18200,90200" +st "gates" +blo "15100,90000" +tm "BdLibraryNameMgr" +) +*103 (Text +uid 4532,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "15100,90200,18000,91200" +st "and2" +blo "15100,91000" +tm "CptNameMgr" +) +*104 (Text +uid 4533,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "15100,90200,19300,91200" +st "U_and1" +blo "15100,91000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4534,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4535,0 +text (MLText +uid 4536,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "14500,93100,28600,94100" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 4537,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,86250,15750,87750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*105 (Net +uid 4538,0 +decl (Decl +n "out1" +t "std_uLogic" +o 29 +suid 98,0 +) +declText (MLText +uid 4539,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,28800,39000,29600" +st "SIGNAL out1 : std_uLogic" +) +) +*106 (SaComponent +uid 4546,0 +optionalChildren [ +*107 (CptPort +uid 4556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4557,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55625,75000,56375,75750" +) +tg (CPTG +uid 4558,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4559,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "49600,65500,50800,75000" +st "in1 : std_uLogic" +blo "50600,75000" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*108 (CptPort +uid 4560,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4561,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "53625,75000,54375,75750" +) +tg (CPTG +uid 4562,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4563,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "47600,65500,48800,75000" +st "in2 : std_uLogic" +blo "48600,75000" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*109 (CptPort +uid 4564,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4565,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "54625,71300,55375,72050" +) +tg (CPTG +uid 4566,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4567,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "48550,72000,49750,82200" +st "out1 : std_uLogic" +ju 2 +blo "49550,72000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 4547,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,72000,57000,75000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 4548,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 4549,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "54100,76200,57200,77200" +st "gates" +blo "54100,77000" +tm "BdLibraryNameMgr" +) +*111 (Text +uid 4550,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "54100,77200,57000,78200" +st "and2" +blo "54100,78000" +tm "CptNameMgr" +) +*112 (Text +uid 4551,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "54100,77200,58300,78200" +st "U_and2" +blo "54100,78000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4552,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4553,0 +text (MLText +uid 4554,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "53500,80100,67600,81100" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 4555,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,73250,54750,74750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*113 (Net +uid 4586,0 +decl (Decl +n "en1" +t "std_uLogic" +o 21 +suid 100,0 +) +declText (MLText +uid 4587,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,22400,39000,23200" +st "SIGNAL en1 : std_uLogic" +) +) +*114 (Net +uid 5835,0 +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 101,0 +) +declText (MLText +uid 5836,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,50000,3200" +st "btns : std_ulogic_vector(g_btnsNb-1 DOWNTO 0)" +) +) +*115 (PortIoIn +uid 5841,0 +shape (CompositeShape +uid 5842,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5843,0 +sl 0 +ro 180 +xt "72625,109500,73375,111000" +) +(Line +uid 5844,0 +sl 0 +ro 180 +xt "73000,109000,73000,109500" +pts [ +"73000,109500" +"73000,109000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 5845,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5846,0 +ro 90 +va (VaSet +) +xt "72400,112000,73600,114900" +st "btns" +blo "72600,112000" +tm "WireNameMgr" +) +) +) +*116 (Net +uid 5847,0 +lang 11 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 102,0 +) +declText (MLText +uid 5848,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,51500,7200" +st "leds : std_ulogic_vector(g_dataWidth-1 DOWNTO 0)" +) +) +*117 (PortIoOut +uid 5853,0 +shape (CompositeShape +uid 5854,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5855,0 +sl 0 +xt "74625,109500,75375,111000" +) +(Line +uid 5856,0 +sl 0 +xt "75000,109000,75000,109500" +pts [ +"75000,109000" +"75000,109500" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 5857,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5858,0 +ro 90 +va (VaSet +) +xt "74400,112000,75600,114800" +st "leds" +blo "74600,112000" +tm "WireNameMgr" +) +) +) +*118 (SaComponent +uid 6827,0 +optionalChildren [ +*119 (CptPort +uid 6799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,79625,37000,80375" +) +tg (CPTG +uid 6801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6802,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,79500,41600,80500" +st "address" +blo "38000,80300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "address" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +) +*120 (CptPort +uid 6803,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6804,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,77250,39375,78000" +) +tg (CPTG +uid 6805,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6806,0 +va (VaSet +font "Verdana,8,0" +) +xt "38480,78000,40080,79000" +st "clk" +blo "38480,78800" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 28,0 +) +) +) +*121 (CptPort +uid 6807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6808,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,80625,51750,81375" +) +tg (CPTG +uid 6809,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6810,0 +va (VaSet +font "Verdana,8,0" +) +xt "45800,80500,50000,81500" +st "readData" +ju 2 +blo "50000,81300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 29,0 +) +) +) +*122 (CptPort +uid 6811,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6812,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,81625,37000,82375" +) +tg (CPTG +uid 6813,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6814,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,81500,42400,82500" +st "writeData" +blo "38000,82300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 31,0 +) +) +) +*123 (CptPort +uid 6815,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6816,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43625,77250,44375,78000" +) +tg (CPTG +uid 6817,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6818,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "43500,78000,44500,83700" +st "writeEnable" +ju 2 +blo "44300,78000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnable" +t "std_ulogic" +o 5 +suid 32,0 +) +) +) +*124 (CptPort +uid 6819,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6820,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45625,77250,46375,78000" +) +tg (CPTG +uid 6821,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6822,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "45500,79000,46500,80500" +st "en" +ju 2 +blo "46300,79000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 38,0 +) +) +) +*125 (CommentGraphic +uid 6823,0 +shape (PolyLine2D +pts [ +"38000,78000" +"39000,80000" +] +uid 6824,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "38000,78000,39000,80000" +) +oxt "20000,15000,21000,17000" +) +*126 (CommentGraphic +uid 6825,0 +shape (PolyLine2D +pts [ +"40000,78000" +"39000,80000" +] +uid 6826,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "39000,78000,40000,80000" +) +oxt "21000,15000,22000,17000" +) +] +shape (Rectangle +uid 6828,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,78000,51000,85000" +fos 1 +) +oxt "19000,15000,33000,22000" +ttg (MlTextGroup +uid 6829,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +uid 6830,0 +va (VaSet +font "Verdana,9,1" +) +xt "36750,85800,44350,87000" +st "HEIRV32_MC" +blo "36750,86800" +tm "BdLibraryNameMgr" +) +*128 (Text +uid 6831,0 +va (VaSet +font "Verdana,9,1" +) +xt "36750,87000,50250,88200" +st "instructionDataMemory" +blo "36750,88000" +tm "CptNameMgr" +) +*129 (Text +uid 6832,0 +va (VaSet +font "Verdana,9,1" +) +xt "36750,88200,47850,89400" +st "U_instrDataMemory" +blo "36750,89200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6833,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6834,0 +text (MLText +uid 6835,0 +va (VaSet +font "Courier New,8,0" +) +xt "32000,90600,58500,93000" +st "g_dataWidth = c_dataWidth ( positive ) +g_addrWidth = c_bramAddrWidth ( positive ) +g_programFile = g_programFile ( string ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_addrWidth" +type "positive" +value "c_bramAddrWidth" +) +(GiElement +name "g_programFile" +type "string" +value "g_programFile" +) +] +) +viewicon (ZoomableIcon +uid 6836,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "37250,83250,38750,84750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*130 (SaComponent +uid 6849,0 +optionalChildren [ +*131 (CptPort +uid 6837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,84625,65750,85375" +) +tg (CPTG +uid 6839,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6840,0 +va (VaSet +font "Verdana,8,0" +) +xt "58900,84500,64000,85500" +st "instruction" +ju 2 +blo "64000,85300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*132 (CptPort +uid 6841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6842,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,79250,61375,80000" +) +tg (CPTG +uid 6843,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6844,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "60500,81000,61500,84100" +st "irWrite" +ju 2 +blo "61300,81000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "irWrite" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*133 (CptPort +uid 6845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54250,80625,55000,81375" +) +tg (CPTG +uid 6847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6848,0 +va (VaSet +font "Verdana,8,0" +) +xt "56000,80500,60200,81500" +st "readData" +blo "56000,81300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 6850,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "55000,80000,65000,86000" +) +oxt "27000,15000,37000,21000" +ttg (MlTextGroup +uid 6851,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +uid 6852,0 +va (VaSet +font "Verdana,9,1" +) +xt "54850,86800,62450,88000" +st "HEIRV32_MC" +blo "54850,87800" +tm "BdLibraryNameMgr" +) +*135 (Text +uid 6853,0 +va (VaSet +font "Verdana,9,1" +) +xt "54850,88000,67150,89200" +st "instructionForwarder" +blo "54850,89000" +tm "CptNameMgr" +) +*136 (Text +uid 6854,0 +va (VaSet +font "Verdana,9,1" +) +xt "54850,89200,63750,90400" +st "U_instrForward" +blo "54850,90200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6855,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6856,0 +text (MLText +uid 6857,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "55000,91600,78500,92400" +st "g_dataWidth = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 6858,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "55250,84250,56750,85750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*137 (SaComponent +uid 6931,0 +optionalChildren [ +*138 (CptPort +uid 6859,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6860,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,45625,98750,46375" +) +tg (CPTG +uid 6861,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6862,0 +va (VaSet +font "Verdana,12,0" +) +xt "88400,45300,97000,46700" +st "ALUControl" +ju 2 +blo "97000,46500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 8 +suid 2,0 +) +) +) +*139 (CptPort +uid 6863,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6864,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,49625,98750,50375" +) +tg (CPTG +uid 6865,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6866,0 +va (VaSet +font "Verdana,12,0" +) +xt "91100,49300,97000,50700" +st "ALUSrcA" +ju 2 +blo "97000,50500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 9 +suid 3,0 +) +) +) +*140 (CptPort +uid 6867,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6868,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,47625,98750,48375" +) +tg (CPTG +uid 6869,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6870,0 +va (VaSet +font "Verdana,12,0" +) +xt "91100,47300,97000,48700" +st "ALUSrcB" +ju 2 +blo "97000,48500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 4,0 +) +) +) +*141 (CptPort +uid 6871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,51625,98750,52375" +) +tg (CPTG +uid 6873,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6874,0 +va (VaSet +font "Verdana,12,0" +) +xt "91800,51300,97000,52700" +st "immSrc" +ju 2 +blo "97000,52500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 5,0 +) +) +) +*142 (CptPort +uid 6875,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6876,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,51625,81000,52375" +) +tg (CPTG +uid 6877,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6878,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,51300,84400,52700" +st "op" +blo "82000,52500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*143 (CptPort +uid 6879,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6880,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,48625,81000,49375" +) +tg (CPTG +uid 6881,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6882,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,48300,87500,49700" +st "IRWrite" +blo "82000,49500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 11 +suid 7,0 +) +) +) +*144 (CptPort +uid 6883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6884,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,46625,81000,47375" +) +tg (CPTG +uid 6885,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6886,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,46300,89200,47700" +st "memWrite" +blo "82000,47500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 15 +suid 8,0 +) +) +) +*145 (CptPort +uid 6887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6888,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,42625,81000,43375" +) +tg (CPTG +uid 6889,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6890,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,42300,87900,43700" +st "PCWrite" +blo "82000,43500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 12 +suid 9,0 +) +) +) +*146 (CptPort +uid 6891,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6892,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,53625,98750,54375" +) +tg (CPTG +uid 6893,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6894,0 +va (VaSet +font "Verdana,12,0" +) +xt "90900,53300,97000,54700" +st "regwrite" +ju 2 +blo "97000,54500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 16 +suid 10,0 +) +) +) +*147 (CptPort +uid 6895,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,43625,98750,44375" +) +tg (CPTG +uid 6897,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6898,0 +va (VaSet +font "Verdana,12,0" +) +xt "90700,43300,97000,44700" +st "resultSrc" +ju 2 +blo "97000,44500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 17 +suid 11,0 +) +) +) +*148 (CptPort +uid 6899,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6900,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,59000,89375,59750" +) +tg (CPTG +uid 6901,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6902,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,54400,89700,58000" +st "zero" +blo "89500,58000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 7 +suid 12,0 +) +) +) +*149 (CptPort +uid 6903,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6904,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,53625,81000,54375" +) +tg (CPTG +uid 6905,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6906,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,53300,86700,54700" +st "funct3" +blo "82000,54500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 13,0 +) +) +) +*150 (CptPort +uid 6907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6908,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,55625,81000,56375" +) +tg (CPTG +uid 6909,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6910,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,55300,86700,56700" +st "funct7" +blo "82000,56500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 4 +suid 14,0 +) +) +) +*151 (CptPort +uid 6911,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6912,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,37250,85375,38000" +) +tg (CPTG +uid 6913,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6914,0 +va (VaSet +font "Verdana,12,0" +) +xt "83550,38450,85950,39850" +st "clk" +ju 2 +blo "85950,39650" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 15,0 +) +) +) +*152 (CptPort +uid 6915,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6916,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "88625,37250,89375,38000" +) +tg (CPTG +uid 6917,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6918,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "88300,39000,89700,41500" +st "rst" +ju 2 +blo "89500,39000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 6 +suid 16,0 +) +) +) +*153 (CptPort +uid 6919,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6920,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "80250,44625,81000,45375" +) +tg (CPTG +uid 6921,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6922,0 +va (VaSet +font "Verdana,12,0" +) +xt "82000,44300,86800,45700" +st "adrSrc" +blo "82000,45500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 13 +suid 17,0 +) +) +) +*154 (CptPort +uid 6923,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6924,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91625,37250,92375,38000" +) +tg (CPTG +uid 6925,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6926,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "91300,39000,92700,41400" +st "en" +ju 2 +blo "92500,39000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 18,0 +) +) +) +*155 (CommentGraphic +uid 6927,0 +shape (PolyLine2D +pts [ +"83000,38000" +"85000,42000" +] +uid 6928,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "83000,38000,85000,42000" +) +oxt "4000,16000,6000,20000" +) +*156 (CommentGraphic +uid 6929,0 +shape (PolyLine2D +pts [ +"85000,42000" +"87000,38000" +] +uid 6930,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "85000,38000,87000,42000" +) +oxt "6000,16000,8000,20000" +) +] +shape (Rectangle +uid 6932,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "81000,38000,98000,59000" +fos 1 +) +oxt "2000,16000,19000,37000" +ttg (MlTextGroup +uid 6933,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +uid 6934,0 +va (VaSet +font "Verdana,9,1" +) +xt "76600,59800,84200,61000" +st "HEIRV32_MC" +blo "76600,60800" +tm "BdLibraryNameMgr" +) +*158 (Text +uid 6935,0 +va (VaSet +font "Verdana,9,1" +) +xt "76600,61000,83400,62200" +st "controlUnit" +blo "76600,62000" +tm "CptNameMgr" +) +*159 (Text +uid 6936,0 +va (VaSet +font "Verdana,9,1" +) +xt "76600,62200,84700,63400" +st "U_controlUnit" +blo "76600,63200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6937,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6938,0 +text (MLText +uid 6939,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "92000,62600,115500,63400" +st "g_datawidth = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 6940,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "81250,57250,82750,58750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*160 (SaComponent +uid 7823,0 +optionalChildren [ +*161 (CptPort +uid 7799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7800,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15625,75250,16375,76000" +) +tg (CPTG +uid 7801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7802,0 +va (VaSet +font "Verdana,8,0" +) +xt "15184,76000,16784,77000" +st "clk" +blo "15184,76800" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*162 (CptPort +uid 7803,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7804,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18000,78625,18750,79375" +) +tg (CPTG +uid 7805,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7806,0 +va (VaSet +font "Verdana,8,0" +) +xt "15436,78454,17736,79454" +st "out1" +ju 2 +blo "17736,79254" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +*163 (CptPort +uid 7807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7808,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,78625,14000,79375" +) +tg (CPTG +uid 7809,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7810,0 +va (VaSet +font "Verdana,8,0" +) +xt "14310,78500,16010,79500" +st "in1" +blo "14310,79300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*164 (CptPort +uid 7811,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7812,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15625,83000,16375,83750" +) +tg (CPTG +uid 7813,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7814,0 +va (VaSet +font "Verdana,8,0" +) +xt "15414,82000,16914,83000" +st "en" +blo "15414,82800" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*165 (CptPort +uid 7815,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7816,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,80625,14000,81375" +) +tg (CPTG +uid 7817,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7818,0 +va (VaSet +font "Verdana,8,0" +) +xt "14264,80500,15764,81500" +st "rst" +blo "14264,81300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*166 (CommentGraphic +uid 7819,0 +shape (PolyLine2D +pts [ +"14000,76000" +"16000,78000" +] +uid 7820,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "14000,76000,16000,78000" +) +oxt "22000,13000,24000,15000" +) +*167 (CommentGraphic +uid 7821,0 +shape (PolyLine2D +pts [ +"16000,78000" +"18000,76000" +] +uid 7822,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "16000,76000,18000,78000" +) +oxt "24000,13000,26000,15000" +) +] +shape (Rectangle +uid 7824,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,76000,18000,83000" +fos 1 +) +oxt "22000,13000,26000,20000" +ttg (MlTextGroup +uid 7825,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +uid 7826,0 +va (VaSet +font "Verdana,8,1" +) +xt "10600,91800,15200,92800" +st "HEIRV32" +blo "10600,92600" +tm "BdLibraryNameMgr" +) +*169 (Text +uid 7827,0 +va (VaSet +font "Verdana,8,1" +) +xt "10600,92800,22500,93800" +st "bufferUnsignedEnable" +blo "10600,93600" +tm "CptNameMgr" +) +*170 (Text +uid 7828,0 +va (VaSet +font "Verdana,8,1" +) +xt "10600,93800,19100,94800" +st "U_pcLoadBuffer" +blo "10600,94600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7829,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7830,0 +text (MLText +uid 7831,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "12000,86600,33000,88200" +st "g_bitNb = c_dataWidth ( positive ) +g_tPC = 35 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_bitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tPC" +type "time" +value "35 ps" +) +] +) +viewicon (ZoomableIcon +uid 7832,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,81250,15750,82750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*171 (SaComponent +uid 7857,0 +optionalChildren [ +*172 (CptPort +uid 7833,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7834,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "127625,86749,128375,87499" +) +tg (CPTG +uid 7835,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7836,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "127500,88000,128500,89600" +st "sel" +ju 2 +blo "128300,88000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +) +*173 (CptPort +uid 7837,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7838,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,92625,125000,93375" +) +tg (CPTG +uid 7839,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7840,0 +va (VaSet +font "Verdana,8,0" +) +xt "125000,92500,126700,93500" +st "in4" +blo "125000,93300" +) +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +) +*174 (CptPort +uid 7841,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7842,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,88625,125000,89375" +) +tg (CPTG +uid 7843,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7844,0 +va (VaSet +font "Verdana,8,0" +) +xt "125000,88500,126700,89500" +st "in2" +blo "125000,89300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +) +*175 (CptPort +uid 7845,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7846,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,86625,125000,87375" +) +tg (CPTG +uid 7847,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7848,0 +va (VaSet +font "Verdana,8,0" +) +xt "125000,86500,126700,87500" +st "in1" +blo "125000,87300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*176 (CptPort +uid 7849,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7850,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "124250,90625,125000,91375" +) +tg (CPTG +uid 7851,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7852,0 +va (VaSet +font "Verdana,8,0" +) +xt "125000,90500,126700,91500" +st "in3" +blo "125000,91300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +) +*177 (CptPort +uid 7853,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7854,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "129000,89625,129750,90375" +) +tg (CPTG +uid 7855,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7856,0 +va (VaSet +font "Verdana,8,0" +) +xt "126700,89500,129000,90500" +st "out1" +ju 2 +blo "129000,90300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +) +] +shape (Mux +uid 7858,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "125000,85000,129000,95000" +) +oxt "20000,14000,24000,24000" +ttg (MlTextGroup +uid 7859,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*178 (Text +uid 7860,0 +va (VaSet +font "Verdana,9,1" +) +xt "119000,94800,124000,96000" +st "HEIRV32" +blo "119000,95800" +tm "BdLibraryNameMgr" +) +*179 (Text +uid 7861,0 +va (VaSet +font "Verdana,9,1" +) +xt "119000,96000,129000,97200" +st "mux4To1ULogVec" +blo "119000,97000" +tm "CptNameMgr" +) +*180 (Text +uid 7862,0 +va (VaSet +font "Verdana,9,1" +) +xt "119000,97200,124500,98400" +st "U_srcBSel" +blo "119000,98200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7863,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7864,0 +text (MLText +uid 7865,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "123000,99600,146500,101200" +st "g_dataWidth = c_dataWidth ( positive ) +g_tMux = 30 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +) +viewicon (ZoomableIcon +uid 7866,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "125250,93250,126750,94750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*181 (SaComponent +uid 7891,0 +optionalChildren [ +*182 (CptPort +uid 7867,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7868,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "123625,71749,124375,72499" +) +tg (CPTG +uid 7869,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7870,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "123500,73000,124500,74600" +st "sel" +ju 2 +blo "124300,73000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +) +*183 (CptPort +uid 7871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "120250,77625,121000,78375" +) +tg (CPTG +uid 7873,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7874,0 +va (VaSet +font "Verdana,8,0" +) +xt "121000,77500,122700,78500" +st "in4" +blo "121000,78300" +) +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +) +*184 (CptPort +uid 7875,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7876,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "120250,73625,121000,74375" +) +tg (CPTG +uid 7877,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7878,0 +va (VaSet +font "Verdana,8,0" +) +xt "121000,73500,122700,74500" +st "in2" +blo "121000,74300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +) +*185 (CptPort +uid 7879,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7880,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "120250,71625,121000,72375" +) +tg (CPTG +uid 7881,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7882,0 +va (VaSet +font "Verdana,8,0" +) +xt "121000,71500,122700,72500" +st "in1" +blo "121000,72300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*186 (CptPort +uid 7883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7884,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "120250,75625,121000,76375" +) +tg (CPTG +uid 7885,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7886,0 +va (VaSet +font "Verdana,8,0" +) +xt "121000,75500,122700,76500" +st "in3" +blo "121000,76300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +) +*187 (CptPort +uid 7887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7888,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "125000,74625,125750,75375" +) +tg (CPTG +uid 7889,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7890,0 +va (VaSet +font "Verdana,8,0" +) +xt "122700,74500,125000,75500" +st "out1" +ju 2 +blo "125000,75300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +) +] +shape (Mux +uid 7892,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "121000,70000,125000,80000" +) +oxt "20000,14000,24000,24000" +ttg (MlTextGroup +uid 7893,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*188 (Text +uid 7894,0 +va (VaSet +font "Verdana,9,1" +) +xt "115000,79800,120000,81000" +st "HEIRV32" +blo "115000,80800" +tm "BdLibraryNameMgr" +) +*189 (Text +uid 7895,0 +va (VaSet +font "Verdana,9,1" +) +xt "115000,81000,125000,82200" +st "mux4To1ULogVec" +blo "115000,82000" +tm "CptNameMgr" +) +*190 (Text +uid 7896,0 +va (VaSet +font "Verdana,9,1" +) +xt "115000,82200,120600,83400" +st "U_srcASel" +blo "115000,83200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7897,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7898,0 +text (MLText +uid 7899,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "119000,84600,142500,86200" +st "g_dataWidth = c_dataWidth ( positive ) +g_tMux = 30 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +) +viewicon (ZoomableIcon +uid 7900,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "121250,78250,122750,79750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*191 (SaComponent +uid 7925,0 +optionalChildren [ +*192 (CptPort +uid 7901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7902,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "178625,83749,179375,84499" +) +tg (CPTG +uid 7903,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7904,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "178500,85000,179500,86600" +st "sel" +ju 2 +blo "179300,85000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +) +*193 (CptPort +uid 7905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7906,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "175250,89625,176000,90375" +) +tg (CPTG +uid 7907,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7908,0 +va (VaSet +font "Verdana,8,0" +) +xt "176000,89500,177700,90500" +st "in4" +blo "176000,90300" +) +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +) +*194 (CptPort +uid 7909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "175250,85625,176000,86375" +) +tg (CPTG +uid 7911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7912,0 +va (VaSet +font "Verdana,8,0" +) +xt "176000,85500,177700,86500" +st "in2" +blo "176000,86300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +) +*195 (CptPort +uid 7913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "175250,83625,176000,84375" +) +tg (CPTG +uid 7915,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7916,0 +va (VaSet +font "Verdana,8,0" +) +xt "176000,83500,177700,84500" +st "in1" +blo "176000,84300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*196 (CptPort +uid 7917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "175250,87625,176000,88375" +) +tg (CPTG +uid 7919,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7920,0 +va (VaSet +font "Verdana,8,0" +) +xt "176000,87500,177700,88500" +st "in3" +blo "176000,88300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +) +*197 (CptPort +uid 7921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "180000,86625,180750,87375" +) +tg (CPTG +uid 7923,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7924,0 +va (VaSet +font "Verdana,8,0" +) +xt "177700,86500,180000,87500" +st "out1" +ju 2 +blo "180000,87300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +) +] +shape (Mux +uid 7926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "176000,82000,180000,92000" +) +oxt "20000,14000,24000,24000" +ttg (MlTextGroup +uid 7927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*198 (Text +uid 7928,0 +va (VaSet +font "Verdana,9,1" +) +xt "170000,91800,175000,93000" +st "HEIRV32" +blo "170000,92800" +tm "BdLibraryNameMgr" +) +*199 (Text +uid 7929,0 +va (VaSet +font "Verdana,9,1" +) +xt "170000,93000,180000,94200" +st "mux4To1ULogVec" +blo "170000,94000" +tm "CptNameMgr" +) +*200 (Text +uid 7930,0 +va (VaSet +font "Verdana,9,1" +) +xt "170000,94200,176900,95400" +st "U_resultSel" +blo "170000,95200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7932,0 +text (MLText +uid 7933,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "174000,96600,197500,98200" +st "g_dataWidth = c_dataWidth ( positive ) +g_tMux = 30 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +) +viewicon (ZoomableIcon +uid 7934,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "176250,90250,177750,91750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*201 (SaComponent +uid 8060,0 +optionalChildren [ +*202 (CptPort +uid 8044,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105000,92625,105750,93375" +) +tg (CPTG +uid 8046,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8047,0 +va (VaSet +font "Verdana,12,0" +) +xt "97200,92300,104000,93700" +st "extended" +ju 2 +blo "104000,93500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "extended" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +) +*203 (CptPort +uid 8048,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8049,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89250,92625,90000,93375" +) +tg (CPTG +uid 8050,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8051,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,92300,95000,93700" +st "input" +blo "91000,93500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(31 DOWNTO 7)" +o 1 +suid 2,0 +) +) +) +*204 (CptPort +uid 8052,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8053,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "105000,89625,105750,90375" +) +tg (CPTG +uid 8054,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8055,0 +va (VaSet +font "Verdana,12,0" +) +xt "101400,89300,104000,90700" +st "src" +ju 2 +blo "104000,90500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "src" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*205 (CommentGraphic +uid 8056,0 +shape (CustomPolygon +pts [ +"90000,92000" +"105000,92000" +"105000,95000" +"90000,95000" +"90000,92000" +] +uid 8057,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "90000,92000,105000,95000" +) +oxt "16000,13000,31000,16000" +) +*206 (CommentGraphic +uid 8058,0 +shape (CustomPolygon +pts [ +"90001,95000" +"90001,92000" +"104999,89000" +"104999,95000" +"90001,95000" +] +uid 8059,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "26368,26368,26368" +) +xt "90001,89000,104999,95000" +) +oxt "16001,10000,30999,16000" +) +] +shape (Rectangle +uid 8061,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "90000,89000,105000,95000" +fos 1 +) +oxt "16000,10000,31000,16000" +ttg (MlTextGroup +uid 8062,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*207 (Text +uid 8063,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105500,92300,110500,93500" +st "HEIRV32" +blo "105500,93300" +tm "BdLibraryNameMgr" +) +*208 (Text +uid 8064,0 +va (VaSet +font "Verdana,9,1" +) +xt "105500,93500,109600,94700" +st "extend" +blo "105500,94500" +tm "CptNameMgr" +) +*209 (Text +uid 8065,0 +va (VaSet +font "Verdana,9,1" +) +xt "105500,94700,110900,95900" +st "U_extend" +blo "105500,95700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8066,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8067,0 +text (MLText +uid 8068,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "89000,99800,112500,101400" +st "g_dataWidth = c_dataWidth ( positive ) +g_tExt = 35 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tExt" +type "time" +value "35 ps" +) +] +) +viewicon (ZoomableIcon +uid 8069,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "90250,93250,91750,94750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*210 (SaComponent +uid 8090,0 +optionalChildren [ +*211 (CptPort +uid 8070,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8071,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "140625,77027,141375,77777" +) +tg (CPTG +uid 8072,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8073,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "140300,78777,141700,81477" +st "ctrl" +ju 2 +blo "141500,78777" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ctrl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*212 (CptPort +uid 8074,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8075,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "145000,83625,145750,84375" +) +tg (CPTG +uid 8076,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8077,0 +va (VaSet +font "Verdana,12,0" +) +xt "141200,83300,144000,84700" +st "res" +ju 2 +blo "144000,84500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "res" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*213 (CptPort +uid 8078,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8079,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "135250,77625,136000,78375" +) +tg (CPTG +uid 8080,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8081,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,77300,140400,78700" +st "srcA" +blo "137000,78500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*214 (CptPort +uid 8082,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8083,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "135250,86625,136000,87375" +) +tg (CPTG +uid 8084,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8085,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,86300,140400,87700" +st "srcB" +blo "137000,87500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*215 (CptPort +uid 8086,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8087,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "145000,81625,145750,82375" +) +tg (CPTG +uid 8088,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8089,0 +va (VaSet +font "Verdana,12,0" +) +xt "140400,81300,144000,82700" +st "zero" +ju 2 +blo "144000,82500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Alu +uid 8091,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "136000,75000,145000,90000" +) +oxt "15000,9000,24000,24000" +ttg (MlTextGroup +uid 8092,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*216 (Text +uid 8093,0 +va (VaSet +font "Verdana,9,1" +) +xt "141500,88300,146500,89500" +st "HEIRV32" +blo "141500,89300" +tm "BdLibraryNameMgr" +) +*217 (Text +uid 8094,0 +va (VaSet +font "Verdana,9,1" +) +xt "141500,89500,144200,90700" +st "ALU" +blo "141500,90500" +tm "CptNameMgr" +) +*218 (Text +uid 8095,0 +va (VaSet +font "Verdana,9,1" +) +xt "141500,90700,144900,91900" +st "U_alu" +blo "141500,91700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8096,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8097,0 +text (MLText +uid 8098,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "136000,93600,159500,95200" +st "g_datawidth = c_dataWidth ( positive ) +g_tALU = 120 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tALU" +type "time" +value "120 ps" +) +] +) +viewicon (ZoomableIcon +uid 8099,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "136250,88250,137750,89750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*219 (SaComponent +uid 8152,0 +optionalChildren [ +*220 (CptPort +uid 8100,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8101,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "92625,70250,93375,71000" +) +tg (CPTG +uid 8102,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8103,0 +va (VaSet +font "Verdana,12,0" +) +xt "91424,71345,93824,72745" +st "clk" +ju 2 +blo "93824,72545" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*221 (CptPort +uid 8104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89250,75625,90000,76375" +) +tg (CPTG +uid 8106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8107,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,75300,95500,76700" +st "addr1" +blo "91000,76500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr1" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*222 (CptPort +uid 8108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89250,82625,90000,83375" +) +tg (CPTG +uid 8110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8111,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,82300,98000,83700" +st "writeData" +blo "91000,83500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*223 (CptPort +uid 8112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,75625,107750,76375" +) +tg (CPTG +uid 8114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8115,0 +va (VaSet +font "Verdana,12,0" +) +xt "102700,75300,106000,76700" +st "RD1" +ju 2 +blo "106000,76500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*224 (CptPort +uid 8116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "107000,79625,107750,80375" +) +tg (CPTG +uid 8118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8119,0 +va (VaSet +font "Verdana,12,0" +) +xt "102700,79300,106000,80700" +st "RD2" +ju 2 +blo "106000,80500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD2" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +) +*225 (CptPort +uid 8120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8121,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "99625,70250,100375,71000" +) +tg (CPTG +uid 8122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8123,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "99300,72000,100700,81900" +st "writeEnable3" +ju 2 +blo "100500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnable3" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*226 (CptPort +uid 8124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8125,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95625,70250,96375,71000" +) +tg (CPTG +uid 8126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8127,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "95300,72000,96700,74500" +st "rst" +ju 2 +blo "96500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*227 (CptPort +uid 8128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8129,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89250,77625,90000,78375" +) +tg (CPTG +uid 8130,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8131,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,77300,95500,78700" +st "addr2" +blo "91000,78500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr2" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*228 (CptPort +uid 8132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "89250,79625,90000,80375" +) +tg (CPTG +uid 8134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8135,0 +va (VaSet +font "Verdana,12,0" +) +xt "91000,79300,95500,80700" +st "addr3" +blo "91000,80500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr3" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*229 (CptPort +uid 8136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8137,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102625,70250,103375,71000" +) +tg (CPTG +uid 8138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8139,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "102300,72000,103700,74400" +st "en" +ju 2 +blo "103500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +*230 (CptPort +uid 8140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8141,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "101625,85000,102375,85750" +) +tg (CPTG +uid 8142,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8143,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "101300,80400,102700,84000" +st "btns" +blo "102500,84000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +) +*231 (CptPort +uid 8144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8145,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "103625,85000,104375,85750" +) +tg (CPTG +uid 8146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8147,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "103300,80600,104700,84000" +st "leds" +blo "104500,84000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 12 +suid 12,0 +) +) +) +*232 (CommentGraphic +uid 8148,0 +shape (PolyLine2D +pts [ +"91000,71000" +"93000,74000" +] +uid 8149,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "91000,71000,93000,74000" +) +oxt "17000,21000,19000,24000" +) +*233 (CommentGraphic +uid 8150,0 +shape (PolyLine2D +pts [ +"93000,74000" +"95000,71000" +] +uid 8151,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "93000,71000,95000,74000" +) +oxt "19000,21000,21000,24000" +) +] +shape (Rectangle +uid 8153,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "90000,71000,107000,85000" +fos 1 +) +oxt "16000,21000,33000,35000" +ttg (MlTextGroup +uid 8154,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*234 (Text +uid 8155,0 +va (VaSet +font "Verdana,9,1" +) +xt "80050,69300,85050,70500" +st "HEIRV32" +blo "80050,70300" +tm "BdLibraryNameMgr" +) +*235 (Text +uid 8156,0 +va (VaSet +font "Verdana,9,1" +) +xt "80050,70500,86950,71700" +st "registerFile" +blo "80050,71500" +tm "CptNameMgr" +) +*236 (Text +uid 8157,0 +va (VaSet +font "Verdana,9,1" +) +xt "80050,71700,88250,72900" +st "U_registerFile" +blo "80050,72700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8158,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8159,0 +text (MLText +uid 8160,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "91000,88600,114500,92600" +st "g_dataWidth = c_dataWidth ( positive ) +g_btnsNb = g_btnsNb ( positive ) +g_tRfRd = 100 ps ( time ) +g_tRfWr = 60 ps ( time ) +g_tSetup = 50 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_btnsNb" +type "positive" +value "g_btnsNb" +) +(GiElement +name "g_tRfRd" +type "time" +value "100 ps" +) +(GiElement +name "g_tRfWr" +type "time" +value "60 ps" +) +(GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +] +) +viewicon (ZoomableIcon +uid 8161,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "90250,83250,91750,84750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*237 (Wire +uid 342,0 +shape (OrthoPolyLine +uid 343,0 +va (VaSet +vasetType 3 +) +xt "11000,38000,14000,38000" +pts [ +"11000,38000" +"14000,38000" +] +) +start &12 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 349,0 +va (VaSet +isHidden 1 +) +xt "13000,36800,15200,38000" +st "clk" +blo "13000,37800" +tm "WireNameMgr" +) +) +on &16 +) +*238 (Wire +uid 350,0 +shape (OrthoPolyLine +uid 351,0 +va (VaSet +vasetType 3 +) +xt "11000,40000,14000,40000" +pts [ +"11000,40000" +"14000,40000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +isHidden 1 +) +xt "13000,38800,15100,40000" +st "rst" +blo "13000,39800" +tm "WireNameMgr" +) +) +on &15 +) +*239 (Wire +uid 396,0 +shape (OrthoPolyLine +uid 397,0 +va (VaSet +vasetType 3 +) +xt "16000,74000,16000,75250" +pts [ +"16000,74000" +"16000,75250" +] +) +end &161 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 403,0 +va (VaSet +) +xt "15059,72035,17259,73235" +st "clk" +blo "15059,73035" +tm "WireNameMgr" +) +) +on &16 +) +*240 (Wire +uid 426,0 +shape (OrthoPolyLine +uid 427,0 +va (VaSet +vasetType 3 +) +xt "10000,81000,13250,81000" +pts [ +"10000,81000" +"13250,81000" +] +) +end &165 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 432,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 433,0 +va (VaSet +) +xt "11000,79800,13100,81000" +st "rst" +blo "11000,80800" +tm "WireNameMgr" +) +) +on &15 +) +*241 (Wire +uid 716,0 +shape (OrthoPolyLine +uid 717,0 +va (VaSet +vasetType 3 +) +xt "17000,43000,80250,90000" +pts [ +"17000,88000" +"17000,90000" +"20000,90000" +"20000,43000" +"80250,43000" +] +) +start &100 +end &145 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 721,0 +va (VaSet +) +xt "17000,89800,21800,91000" +st "PCWrite" +blo "17000,90800" +tm "WireNameMgr" +) +) +on &17 +) +*242 (Wire +uid 782,0 +optionalChildren [ +*243 (BdJunction +uid 4060,0 +ps "OnConnectorStrategy" +shape (Circle +uid 4061,0 +va (VaSet +vasetType 1 +) +xt "21600,78600,22400,79400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 783,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "18750,79000,26000,79000" +pts [ +"18750,79000" +"26000,79000" +] +) +start &162 +end &20 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 787,0 +va (VaSet +) +xt "24000,77800,26200,79000" +st "PC" +blo "24000,78800" +tm "WireNameMgr" +) +) +on &14 +) +*244 (Wire +uid 790,0 +optionalChildren [ +*245 (BdJunction +uid 804,0 +ps "OnConnectorStrategy" +shape (Circle +uid 805,0 +va (VaSet +vasetType 1 +) +xt "21600,100600,22400,101400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 791,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "8000,79000,28000,101000" +pts [ +"13250,79000" +"8000,79000" +"8000,101000" +"28000,101000" +] +) +start &163 +end &53 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 794,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 795,0 +va (VaSet +) +xt "9000,77800,13600,79000" +st "PCNext" +blo "9000,78800" +tm "WireNameMgr" +) +) +on &18 +) +*246 (Wire +uid 798,0 +shape (OrthoPolyLine +uid 799,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,81000,26000,101000" +pts [ +"22000,101000" +"22000,81000" +"26000,81000" +] +) +start &245 +end &23 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 802,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 803,0 +va (VaSet +) +xt "22000,79800,26600,81000" +st "PCNext" +blo "22000,80800" +tm "WireNameMgr" +) +) +on &18 +) +*247 (Wire +uid 838,0 +shape (OrthoPolyLine +uid 839,0 +va (VaSet +vasetType 3 +) +xt "29000,45000,80250,78500" +pts [ +"29000,78500" +"29000,45000" +"80250,45000" +] +) +start &22 +end &153 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 842,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 843,0 +ro 270 +va (VaSet +) +xt "27800,73000,29000,77000" +st "adrSrc" +blo "28800,77000" +tm "WireNameMgr" +) +s (Text +uid 2894,0 +ro 270 +va (VaSet +) +xt "29000,77000,29000,77000" +blo "29100,77100" +tm "SignalTypeMgr" +) +) +on &60 +) +*248 (Wire +uid 852,0 +shape (OrthoPolyLine +uid 853,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,80000,36250,80000" +pts [ +"30000,80000" +"36250,80000" +] +) +start &21 +end &119 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 856,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 857,0 +va (VaSet +) +xt "33000,78800,35300,80000" +st "adr" +blo "33000,79800" +tm "WireNameMgr" +) +s (Text +uid 2895,0 +va (VaSet +) +xt "33000,80000,33000,80000" +blo "33000,80000" +tm "SignalTypeMgr" +) +) +on &27 +) +*249 (Wire +uid 872,0 +shape (OrthoPolyLine +uid 873,0 +va (VaSet +vasetType 3 +) +xt "39000,75000,39000,77250" +pts [ +"39000,75000" +"39000,77250" +] +) +end &120 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 878,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 879,0 +va (VaSet +) +xt "38000,72800,40200,74000" +st "clk" +blo "38000,73800" +tm "WireNameMgr" +) +) +on &16 +) +*250 (Wire +uid 880,0 +optionalChildren [ +*251 (BdJunction +uid 3596,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3597,0 +va (VaSet +vasetType 1 +) +xt "52600,80600,53400,81400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 881,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,81000,175250,99000" +pts [ +"51750,81000" +"53000,81000" +"53000,99000" +"168000,99000" +"168000,86000" +"175250,86000" +] +) +start &121 +end &194 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 886,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 887,0 +va (VaSet +) +xt "172000,84800,174900,86000" +st "data" +blo "172000,85800" +tm "WireNameMgr" +) +) +on &31 +) +*252 (Wire +uid 898,0 +optionalChildren [ +*253 (BdJunction +uid 2430,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2431,0 +va (VaSet +vasetType 1 +) +xt "111600,86600,112400,87400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 899,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,80000,112000,97000" +pts [ +"107750,80000" +"112000,80000" +"112000,97000" +"31000,97000" +"31000,82000" +"36250,82000" +] +) +start &224 +end &122 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 904,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 905,0 +va (VaSet +) +xt "31000,80800,36500,82000" +st "writeData" +blo "31000,81800" +tm "WireNameMgr" +) +) +on &28 +) +*254 (Wire +uid 1106,0 +shape (OrthoPolyLine +uid 1107,0 +va (VaSet +vasetType 3 +) +xt "44000,47000,80250,77250" +pts [ +"44000,77250" +"44000,47000" +"80250,47000" +] +) +start &123 +end &144 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1110,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1111,0 +ro 270 +va (VaSet +) +xt "42800,70300,44000,76000" +st "memWrite" +blo "43800,76000" +tm "WireNameMgr" +) +) +on &29 +) +*255 (Wire +uid 1164,0 +optionalChildren [ +*256 (BdJunction +uid 4578,0 +ps "OnConnectorStrategy" +shape (Circle +uid 4579,0 +va (VaSet +vasetType 1 +) +xt "53600,76600,54400,77400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1165,0 +va (VaSet +vasetType 3 +) +xt "47000,49000,80250,79250" +pts [ +"61000,79250" +"61000,77000" +"47000,77000" +"47000,49000" +"80250,49000" +] +) +start &132 +end &143 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 1168,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1169,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "59800,73250,61000,77750" +st "IRWrite" +blo "60800,77750" +tm "WireNameMgr" +) +) +on &30 +) +*257 (Wire +uid 1274,0 +optionalChildren [ +*258 (BdJunction +uid 2374,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2375,0 +va (VaSet +vasetType 1 +) +xt "48600,65600,49400,66400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 1275,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "40000,66000,52250,66000" +pts [ +"40000,66000" +"52250,66000" +] +) +start &74 +end &83 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1276,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1277,0 +va (VaSet +) +xt "50000,64800,52800,66000" +st "PCu" +blo "50000,65800" +tm "WireNameMgr" +) +) +on &78 +) +*259 (Wire +uid 1286,0 +shape (OrthoPolyLine +uid 1287,0 +va (VaSet +vasetType 3 +) +xt "49000,68000,52250,68000" +pts [ +"49000,68000" +"52250,68000" +] +) +end &80 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1293,0 +va (VaSet +) +xt "50000,66800,52100,68000" +st "rst" +blo "50000,67800" +tm "WireNameMgr" +) +) +on &15 +) +*260 (Wire +uid 1294,0 +shape (OrthoPolyLine +uid 1295,0 +va (VaSet +vasetType 3 +) +xt "55000,61000,55000,62250" +pts [ +"55000,61000" +"55000,62250" +] +) +end &82 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1300,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1301,0 +va (VaSet +) +xt "54059,59035,56259,60235" +st "clk" +blo "54059,60035" +tm "WireNameMgr" +) +) +on &16 +) +*261 (Wire +uid 1304,0 +shape (OrthoPolyLine +uid 1305,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "57750,66000,120250,74000" +pts [ +"57750,66000" +"112000,66000" +"112000,74000" +"120250,74000" +] +) +start &84 +end &184 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 1308,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1309,0 +va (VaSet +) +xt "115000,72800,118700,74000" +st "oldPC" +blo "115000,73800" +tm "WireNameMgr" +) +) +on &32 +) +*262 (Wire +uid 1314,0 +optionalChildren [ +*263 (Ripper +uid 1328,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,51050" +"67999,52050" +] +uid 1329,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66999,51050,67999,52050" +) +) +*264 (Ripper +uid 1339,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,53000" +"67999,54000" +] +uid 1340,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66999,53000,67999,54000" +) +) +*265 (Ripper +uid 1350,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,55000" +"67999,56000" +] +uid 1351,0 +va (VaSet +vasetType 3 +) +xt "66999,55000,67999,56000" +) +) +*266 (BdJunction +uid 1800,0 +ps "OnConnectorStrategy" +shape (Circle +uid 1801,0 +va (VaSet +vasetType 1 +) +xt "66600,84600,67400,85400" +radius 400 +) +) +*267 (Ripper +uid 1816,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,77000" +"67999,78000" +] +uid 1817,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66999,77000,67999,78000" +) +) +*268 (Ripper +uid 1824,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,79000" +"67999,80000" +] +uid 1825,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66999,79000,67999,80000" +) +) +*269 (Ripper +uid 1834,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"66999,75000" +"67999,76000" +] +uid 1835,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "66999,75000,67999,76000" +) +) +] +shape (OrthoPolyLine +uid 1315,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,50000,67000,85000" +pts [ +"65750,85000" +"67000,85000" +"67000,50000" +] +) +start &131 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1318,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1319,0 +va (VaSet +isHidden 1 +) +xt "67000,83800,73800,85000" +st "instruction" +blo "67000,84800" +tm "WireNameMgr" +) +) +on &40 +) +*270 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68005,52000,80250,52050" +pts [ +"68005,52050" +"74000,52050" +"74000,52000" +"80250,52000" +] +) +start &263 +end &142 +sat 32 +eat 32 +sty 1 +sl "(6 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +) +xt "71000,50800,80400,52000" +st "instruction(6:0)" +blo "71000,51800" +tm "WireNameMgr" +) +) +on &40 +) +*271 (Wire +uid 1333,0 +shape (OrthoPolyLine +uid 1334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68005,54000,80250,54000" +pts [ +"68005,54000" +"80250,54000" +] +) +start &264 +end &149 +sat 32 +eat 32 +sty 1 +sl "(14 DOWNTO 12)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1338,0 +va (VaSet +) +xt "71000,52800,81800,54000" +st "instruction(14:12)" +blo "71000,53800" +tm "WireNameMgr" +) +) +on &40 +) +*272 (Wire +uid 1344,0 +shape (OrthoPolyLine +uid 1345,0 +va (VaSet +vasetType 3 +) +xt "68005,56000,80250,56000" +pts [ +"68005,56000" +"80250,56000" +] +) +start &265 +end &150 +sat 32 +eat 32 +sl "(30)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1349,0 +va (VaSet +) +xt "71000,54800,80000,56000" +st "instruction(30)" +blo "71000,55800" +tm "WireNameMgr" +) +) +on &40 +) +*273 (Wire +uid 1354,0 +shape (OrthoPolyLine +uid 1355,0 +va (VaSet +vasetType 3 +) +xt "89000,59750,147000,82000" +pts [ +"145750,82000" +"147000,82000" +"147000,61000" +"89000,61000" +"89000,59750" +] +) +start &215 +end &148 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1360,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1361,0 +ro 270 +va (VaSet +) +xt "145800,78200,147000,81000" +st "zero" +blo "146800,81000" +tm "WireNameMgr" +) +) +on &33 +) +*274 (Wire +uid 1368,0 +shape (OrthoPolyLine +uid 1369,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,44000,179000,83749" +pts [ +"98750,44000" +"179000,44000" +"179000,83749" +] +) +start &147 +end &192 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1375,0 +ro 270 +va (VaSet +) +xt "177800,75700,179000,81000" +st "resultSrc" +blo "178800,81000" +tm "WireNameMgr" +) +) +on &34 +) +*275 (Wire +uid 1380,0 +shape (OrthoPolyLine +uid 1381,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,46000,141000,77027" +pts [ +"98750,46000" +"141000,46000" +"141000,77027" +] +) +start &138 +end &211 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1387,0 +ro 270 +va (VaSet +) +xt "139800,67700,141000,75000" +st "ALUControl" +blo "140800,75000" +tm "WireNameMgr" +) +) +on &35 +) +*276 (Wire +uid 1392,0 +shape (OrthoPolyLine +uid 1393,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,48000,128000,86749" +pts [ +"98750,48000" +"128000,48000" +"128000,86749" +] +) +start &140 +end &172 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1398,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1399,0 +ro 270 +va (VaSet +) +xt "126800,79700,128000,85000" +st "ALUSrcB" +blo "127800,85000" +tm "WireNameMgr" +) +) +on &36 +) +*277 (Wire +uid 1402,0 +shape (OrthoPolyLine +uid 1403,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,50000,124000,71749" +pts [ +"98750,50000" +"124000,50000" +"124000,71749" +] +) +start &139 +end &182 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1408,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1409,0 +ro 270 +va (VaSet +) +xt "122800,63600,124000,69000" +st "ALUSrcA" +blo "123800,69000" +tm "WireNameMgr" +) +) +on &37 +) +*278 (Wire +uid 1412,0 +shape (OrthoPolyLine +uid 1413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,52000,108000,90000" +pts [ +"98750,52000" +"108000,52000" +"108000,90000" +"105750,90000" +] +) +start &141 +end &204 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1419,0 +va (VaSet +) +xt "100750,50800,105250,52000" +st "immSrc" +blo "100750,51800" +tm "WireNameMgr" +) +) +on &38 +) +*279 (Wire +uid 1666,0 +shape (OrthoPolyLine +uid 1667,0 +va (VaSet +vasetType 3 +) +xt "85000,36000,85000,37250" +pts [ +"85000,36000" +"85000,37250" +] +) +end &151 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1672,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1673,0 +va (VaSet +) +xt "84059,34035,86259,35235" +st "clk" +blo "84059,35035" +tm "WireNameMgr" +) +) +on &16 +) +*280 (Wire +uid 1674,0 +shape (OrthoPolyLine +uid 1675,0 +va (VaSet +vasetType 3 +) +xt "89000,36000,89000,37250" +pts [ +"89000,36000" +"89000,37250" +] +) +end &152 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1680,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1681,0 +va (VaSet +) +xt "88000,33800,90100,35000" +st "rst" +blo "88000,34800" +tm "WireNameMgr" +) +) +on &15 +) +*281 (Wire +uid 1794,0 +optionalChildren [ +*282 (Ripper +uid 1832,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,92000" +"68000,93000" +] +uid 1833,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,92000,68000,93000" +) +) +] +shape (OrthoPolyLine +uid 1795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,85000,67000,94000" +pts [ +"67000,85000" +"67000,94000" +] +) +start &266 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1799,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "65800,83000,67000,89800" +st "instruction" +blo "66800,89800" +tm "WireNameMgr" +) +) +on &40 +) +*283 (Wire +uid 1802,0 +shape (OrthoPolyLine +uid 1803,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68006,76000,89250,76000" +pts [ +"68006,76000" +"89250,76000" +] +) +start &269 +end &221 +sat 32 +eat 32 +sty 1 +sl "(19 DOWNTO 15)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1807,0 +va (VaSet +) +xt "79000,74800,89800,76000" +st "instruction(19:15)" +blo "79000,75800" +tm "WireNameMgr" +) +) +on &40 +) +*284 (Wire +uid 1810,0 +shape (OrthoPolyLine +uid 1811,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68007,78000,89250,78000" +pts [ +"68007,78000" +"89250,78000" +] +) +start &267 +end &227 +sat 32 +eat 32 +sty 1 +sl "(24 DOWNTO 20)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1814,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1815,0 +va (VaSet +) +xt "79000,76800,89800,78000" +st "instruction(24:20)" +blo "79000,77800" +tm "WireNameMgr" +) +) +on &40 +) +*285 (Wire +uid 1818,0 +shape (OrthoPolyLine +uid 1819,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68007,80000,89250,80000" +pts [ +"68007,80000" +"89250,80000" +] +) +start &268 +end &228 +sat 32 +eat 32 +sty 1 +sl "(11 DOWNTO 7)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1822,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1823,0 +va (VaSet +) +xt "79000,78800,89100,80000" +st "instruction(11:7)" +blo "79000,79800" +tm "WireNameMgr" +) +) +on &40 +) +*286 (Wire +uid 1826,0 +shape (OrthoPolyLine +uid 1827,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,93000,89250,93000" +pts [ +"68000,93000" +"89250,93000" +] +) +start &282 +end &203 +sat 32 +eat 32 +sty 1 +sl "(31 DOWNTO 7)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1830,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1831,0 +va (VaSet +) +xt "80000,91800,90100,93000" +st "instruction(31:7)" +blo "80000,92800" +tm "WireNameMgr" +) +) +on &40 +) +*287 (Wire +uid 1836,0 +shape (OrthoPolyLine +uid 1837,0 +va (VaSet +vasetType 3 +) +xt "93000,69000,93000,70250" +pts [ +"93000,69000" +"93000,70250" +] +) +end &220 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1842,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1843,0 +va (VaSet +) +xt "92059,67035,94259,68235" +st "clk" +blo "92059,68035" +tm "WireNameMgr" +) +) +on &16 +) +*288 (Wire +uid 1844,0 +shape (OrthoPolyLine +uid 1845,0 +va (VaSet +vasetType 3 +) +xt "96000,69000,96000,70250" +pts [ +"96000,69000" +"96000,70250" +] +) +end &226 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1850,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1851,0 +va (VaSet +) +xt "95000,67041,97100,68241" +st "rst" +blo "95000,68041" +tm "WireNameMgr" +) +) +on &15 +) +*289 (Wire +uid 1854,0 +shape (OrthoPolyLine +uid 1855,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107750,76000,120250,76000" +pts [ +"107750,76000" +"120250,76000" +] +) +start &223 +end &186 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1860,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1861,0 +va (VaSet +) +xt "115000,74800,117900,76000" +st "RD1" +blo "115000,75800" +tm "WireNameMgr" +) +) +on &41 +) +*290 (Wire +uid 2096,0 +shape (OrthoPolyLine +uid 2097,0 +va (VaSet +vasetType 3 +) +xt "98750,54000,100000,70250" +pts [ +"98750,54000" +"100000,54000" +"100000,70250" +] +) +start &146 +end &225 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2099,0 +ro 270 +va (VaSet +) +xt "98800,65300,100000,70000" +st "regwrite" +blo "99800,70000" +tm "WireNameMgr" +) +) +on &39 +) +*291 (Wire +uid 2114,0 +shape (OrthoPolyLine +uid 2115,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "105750,89000,124250,93000" +pts [ +"105750,93000" +"114000,93000" +"114000,89000" +"124250,89000" +] +) +start &202 +end &174 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2121,0 +va (VaSet +) +xt "119000,87800,123500,89000" +st "immExt" +blo "119000,88800" +tm "WireNameMgr" +) +) +on &42 +) +*292 (Wire +uid 2370,0 +shape (OrthoPolyLine +uid 2371,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49000,58000,120250,72000" +pts [ +"49000,66000" +"49000,58000" +"61000,58000" +"61000,64000" +"114000,64000" +"114000,72000" +"120250,72000" +] +) +start &258 +end &185 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2372,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2373,0 +va (VaSet +) +xt "115000,70800,117800,72000" +st "PCu" +blo "115000,71800" +tm "WireNameMgr" +) +) +on &78 +) +*293 (Wire +uid 2388,0 +shape (OrthoPolyLine +uid 2389,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "114000,78000,120250,78000" +pts [ +"120250,78000" +"114000,78000" +] +) +start &183 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2392,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2393,0 +va (VaSet +) +xt "115000,76800,121600,78000" +st "four_zeros" +blo "115000,77800" +tm "WireNameMgr" +) +s (Text +uid 2918,0 +va (VaSet +) +xt "115000,78000,115000,78000" +blo "115000,78000" +tm "SignalTypeMgr" +) +) +on &58 +) +*294 (Wire +uid 2400,0 +shape (OrthoPolyLine +uid 2401,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "118000,93000,124250,93000" +pts [ +"124250,93000" +"118000,93000" +] +) +start &173 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2404,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2405,0 +va (VaSet +) +xt "119000,91800,125600,93000" +st "four_zeros" +blo "119000,92800" +tm "WireNameMgr" +) +s (Text +uid 2921,0 +va (VaSet +) +xt "119000,93000,119000,93000" +blo "119000,93000" +tm "SignalTypeMgr" +) +) +on &58 +) +*295 (Wire +uid 2418,0 +shape (OrthoPolyLine +uid 2419,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "118000,91000,124250,91000" +pts [ +"124250,91000" +"118000,91000" +] +) +start &176 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2422,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +) +xt "119000,89800,124100,91000" +st "four_four" +blo "119000,90800" +tm "WireNameMgr" +) +s (Text +uid 2924,0 +va (VaSet +) +xt "119000,91000,119000,91000" +blo "119000,91000" +tm "SignalTypeMgr" +) +) +on &59 +) +*296 (Wire +uid 2426,0 +shape (OrthoPolyLine +uid 2427,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,87000,124250,87000" +pts [ +"124250,87000" +"112000,87000" +] +) +start &175 +end &253 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2428,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2429,0 +va (VaSet +) +xt "119000,85800,124500,87000" +st "writeData" +blo "119000,86800" +tm "WireNameMgr" +) +) +on &28 +) +*297 (Wire +uid 2436,0 +shape (OrthoPolyLine +uid 2437,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "125750,75000,135250,78000" +pts [ +"125750,75000" +"134000,75000" +"134000,78000" +"135250,78000" +] +) +start &187 +end &213 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2440,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2441,0 +va (VaSet +) +xt "131000,73800,134100,75000" +st "srcA" +blo "131000,74800" +tm "WireNameMgr" +) +s (Text +uid 2925,0 +va (VaSet +) +xt "131000,75000,131000,75000" +blo "131000,75000" +tm "SignalTypeMgr" +) +) +on &47 +) +*298 (Wire +uid 2444,0 +shape (OrthoPolyLine +uid 2445,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "129750,87000,135250,90000" +pts [ +"129750,90000" +"134000,90000" +"134000,87000" +"135250,87000" +] +) +start &177 +end &214 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2448,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2449,0 +va (VaSet +) +xt "131000,88800,134000,90000" +st "srcB" +blo "131000,89800" +tm "WireNameMgr" +) +s (Text +uid 2926,0 +va (VaSet +) +xt "131000,90000,131000,90000" +blo "131000,90000" +tm "SignalTypeMgr" +) +) +on &48 +) +*299 (Wire +uid 2586,0 +shape (OrthoPolyLine +uid 2587,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "169000,90000,175250,90000" +pts [ +"175250,90000" +"169000,90000" +] +) +start &193 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2592,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +) +xt "170000,88800,176600,90000" +st "four_zeros" +blo "170000,89800" +tm "WireNameMgr" +) +s (Text +uid 2594,0 +va (VaSet +) +xt "170000,90000,170000,90000" +blo "170000,90000" +tm "SignalTypeMgr" +) +) +on &58 +) +*300 (Wire +uid 2724,0 +shape (OrthoPolyLine +uid 2725,0 +va (VaSet +vasetType 3 +) +xt "156000,79000,156000,80250" +pts [ +"156000,79000" +"156000,80250" +] +) +end &64 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2728,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2729,0 +va (VaSet +) +xt "155059,77035,157259,78235" +st "clk" +blo "155059,78035" +tm "WireNameMgr" +) +) +on &16 +) +*301 (Wire +uid 2730,0 +shape (OrthoPolyLine +uid 2731,0 +va (VaSet +vasetType 3 +) +xt "150000,86000,153250,86000" +pts [ +"150000,86000" +"153250,86000" +] +) +end &62 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2735,0 +va (VaSet +) +xt "151000,84800,153100,86000" +st "rst" +blo "151000,85800" +tm "WireNameMgr" +) +) +on &15 +) +*302 (Wire +uid 2759,0 +shape (OrthoPolyLine +uid 2760,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "158750,84000,175250,84000" +pts [ +"158750,84000" +"175250,84000" +] +) +start &66 +end &195 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 2761,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2762,0 +va (VaSet +) +xt "171000,82800,175800,84000" +st "ALUOut" +blo "171000,83800" +tm "WireNameMgr" +) +) +on &50 +) +*303 (Wire +uid 2765,0 +optionalChildren [ +*304 (BdJunction +uid 3752,0 +ps "OnConnectorStrategy" +shape (Circle +uid 3753,0 +va (VaSet +vasetType 1 +) +xt "151600,83600,152400,84400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2766,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "152000,76000,175250,88000" +pts [ +"175250,88000" +"161000,88000" +"161000,76000" +"152000,76000" +"152000,84000" +"153250,84000" +] +) +start &196 +end &65 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2768,0 +va (VaSet +) +xt "170000,86800,176100,88000" +st "ALUResult" +blo "170000,87800" +tm "WireNameMgr" +) +) +on &49 +) +*305 (Wire +uid 2849,0 +optionalChildren [ +*306 (BdJunction +uid 2859,0 +ps "OnConnectorStrategy" +shape (Circle +uid 2860,0 +va (VaSet +vasetType 1 +) +xt "69600,100600,70400,101400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2850,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,87000,183000,101000" +pts [ +"33000,101000" +"183000,101000" +"183000,87000" +"180750,87000" +] +) +start &52 +end &197 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2851,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2852,0 +va (VaSet +isHidden 1 +) +xt "35000,99800,38600,101000" +st "result" +blo "35000,100800" +tm "WireNameMgr" +) +s (Text +uid 2934,0 +va (VaSet +isHidden 1 +) +xt "35000,101000,35000,101000" +blo "35000,101000" +tm "SignalTypeMgr" +) +) +on &57 +) +*307 (Wire +uid 2855,0 +shape (OrthoPolyLine +uid 2856,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,83000,89250,101000" +pts [ +"89250,83000" +"70000,83000" +"70000,101000" +] +) +start &222 +end &306 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2857,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2858,0 +va (VaSet +) +xt "84250,81800,87850,83000" +st "result" +blo "84250,82800" +tm "WireNameMgr" +) +) +on &57 +) +*308 (Wire +uid 3592,0 +shape (OrthoPolyLine +uid 3593,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "53000,81000,54250,81000" +pts [ +"53000,81000" +"54250,81000" +] +) +start &251 +end &133 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3594,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3595,0 +va (VaSet +isHidden 1 +) +xt "50250,79800,53150,81000" +st "data" +blo "50250,80800" +tm "WireNameMgr" +) +) +on &31 +) +*309 (Wire +uid 3748,0 +shape (OrthoPolyLine +uid 3749,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "145750,84000,152000,84000" +pts [ +"152000,84000" +"145750,84000" +] +) +start &304 +end &212 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3751,0 +va (VaSet +) +xt "146000,82800,152100,84000" +st "ALUResult" +blo "146000,83800" +tm "WireNameMgr" +) +) +on &49 +) +*310 (Wire +uid 4056,0 +shape (OrthoPolyLine +uid 4057,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "22000,66000,35000,79000" +pts [ +"22000,79000" +"22000,66000" +"35000,66000" +] +) +start &243 +end &73 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4058,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4059,0 +va (VaSet +) +xt "32000,64800,34200,66000" +st "PC" +blo "32000,65800" +tm "WireNameMgr" +) +) +on &14 +) +*311 (Wire +uid 4353,0 +shape (OrthoPolyLine +uid 4354,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "170000,14000,173000,14000" +pts [ +"173000,14000" +"170000,14000" +] +) +start &91 +end &92 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4357,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4358,0 +va (VaSet +isHidden 1 +) +xt "175000,12800,180200,14000" +st "dbg_leds" +blo "175000,13800" +tm "WireNameMgr" +) +) +on &90 +) +*312 (Wire +uid 4387,0 +shape (OrthoPolyLine +uid 4388,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,11000,118000,11000" +pts [ +"110000,11000" +"118000,11000" +] +) +end &92 +sat 16 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4393,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4394,0 +va (VaSet +) +xt "115000,9800,117300,11000" +st "adr" +blo "115000,10800" +tm "WireNameMgr" +) +s (Text +uid 4395,0 +va (VaSet +) +xt "115000,11000,115000,11000" +blo "115000,11000" +tm "SignalTypeMgr" +) +) +on &27 +) +*313 (Wire +uid 4396,0 +shape (OrthoPolyLine +uid 4397,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,13000,118000,13000" +pts [ +"110000,13000" +"118000,13000" +] +) +end &92 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4403,0 +va (VaSet +) +xt "111000,11800,117800,13000" +st "instruction" +blo "111000,12800" +tm "WireNameMgr" +) +) +on &40 +) +*314 (Wire +uid 4420,0 +shape (OrthoPolyLine +uid 4421,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,15000,118000,15000" +pts [ +"118000,15000" +"110000,15000" +] +) +start &92 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4426,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4427,0 +va (VaSet +) +xt "112000,13800,117300,15000" +st "resultSrc" +blo "112000,14800" +tm "WireNameMgr" +) +) +on &34 +) +*315 (Wire +uid 4428,0 +shape (OrthoPolyLine +uid 4429,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,17000,118000,17000" +pts [ +"118000,17000" +"110000,17000" +] +) +start &92 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4434,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4435,0 +va (VaSet +) +xt "112000,15800,119300,17000" +st "ALUControl" +blo "112000,16800" +tm "WireNameMgr" +) +) +on &35 +) +*316 (Wire +uid 4436,0 +shape (OrthoPolyLine +uid 4437,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,19000,118000,19000" +pts [ +"118000,19000" +"110000,19000" +] +) +start &92 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4442,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4443,0 +va (VaSet +) +xt "112000,17800,117400,19000" +st "ALUSrcA" +blo "112000,18800" +tm "WireNameMgr" +) +) +on &37 +) +*317 (Wire +uid 4444,0 +shape (OrthoPolyLine +uid 4445,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,21000,118000,21000" +pts [ +"118000,21000" +"110000,21000" +] +) +start &92 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4451,0 +va (VaSet +) +xt "112000,19800,117300,21000" +st "ALUSrcB" +blo "112000,20800" +tm "WireNameMgr" +) +) +on &36 +) +*318 (Wire +uid 4452,0 +shape (OrthoPolyLine +uid 4453,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,23000,118000,23000" +pts [ +"118000,23000" +"110000,23000" +] +) +start &92 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4459,0 +va (VaSet +) +xt "112000,21800,116500,23000" +st "immSrc" +blo "112000,22800" +tm "WireNameMgr" +) +) +on &38 +) +*319 (Wire +uid 4470,0 +shape (OrthoPolyLine +uid 4471,0 +va (VaSet +vasetType 3 +) +xt "110000,25000,118000,25000" +pts [ +"110000,25000" +"118000,25000" +] +) +end &92 +sat 16 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 4476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4477,0 +va (VaSet +) +xt "112000,23800,116700,25000" +st "regwrite" +blo "112000,24800" +tm "WireNameMgr" +) +) +on &39 +) +*320 (Wire +uid 4484,0 +shape (OrthoPolyLine +uid 4485,0 +va (VaSet +vasetType 3 +) +xt "11000,42000,14000,42000" +pts [ +"11000,42000" +"13000,42000" +"14000,42000" +] +) +start &96 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4488,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4489,0 +va (VaSet +isHidden 1 +) +xt "11000,39800,12900,41000" +st "en" +blo "11000,40800" +tm "WireNameMgr" +) +) +on &97 +) +*321 (Wire +uid 4498,0 +shape (OrthoPolyLine +uid 4499,0 +va (VaSet +vasetType 3 +) +xt "153000,88750,156000,90000" +pts [ +"153000,90000" +"156000,90000" +"156000,88750" +] +) +end &63 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4505,0 +va (VaSet +) +xt "154000,88800,155900,90000" +st "en" +blo "154000,89800" +tm "WireNameMgr" +) +) +on &97 +) +*322 (Wire +uid 4508,0 +shape (OrthoPolyLine +uid 4509,0 +va (VaSet +vasetType 3 +) +xt "12000,88000,15000,90000" +pts [ +"12000,90000" +"15000,90000" +"15000,88000" +] +) +end &99 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4514,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4515,0 +va (VaSet +) +xt "13000,88800,14900,90000" +st "en" +blo "13000,89800" +tm "WireNameMgr" +) +) +on &97 +) +*323 (Wire +uid 4540,0 +shape (OrthoPolyLine +uid 4541,0 +va (VaSet +vasetType 3 +) +xt "16000,83750,16000,85050" +pts [ +"16000,85050" +"16000,83750" +] +) +start &101 +end &164 +sat 32 +eat 32 +sf 1 +si 0 +tg (WTG +uid 4542,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4543,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "14800,73850,16000,84050" +st "out1 : std_uLogic" +blo "15800,84050" +tm "WireNameMgr" +) +) +on &105 +) +*324 (Wire +uid 4568,0 +shape (OrthoPolyLine +uid 4569,0 +va (VaSet +vasetType 3 +) +xt "56000,75000,59000,76000" +pts [ +"59000,76000" +"56000,76000" +"56000,75000" +] +) +end &107 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4573,0 +va (VaSet +) +xt "57000,74800,58900,76000" +st "en" +blo "57000,75800" +tm "WireNameMgr" +) +) +on &97 +) +*325 (Wire +uid 4574,0 +shape (OrthoPolyLine +uid 4575,0 +va (VaSet +vasetType 3 +) +xt "54000,75000,54000,77000" +pts [ +"54000,77000" +"54000,75000" +] +) +start &256 +end &108 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4576,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4577,0 +va (VaSet +) +xt "49000,75800,53500,77000" +st "IRWrite" +blo "49000,76800" +tm "WireNameMgr" +) +) +on &30 +) +*326 (Wire +uid 4588,0 +shape (OrthoPolyLine +uid 4589,0 +va (VaSet +vasetType 3 +) +xt "55000,70750,55000,72050" +pts [ +"55000,70750" +"55000,72050" +] +) +start &81 +end &109 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 4590,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4591,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "56800,70400,58000,73000" +st "en1" +blo "57800,73000" +tm "WireNameMgr" +) +) +on &113 +) +*327 (Wire +uid 4666,0 +shape (OrthoPolyLine +uid 4667,0 +va (VaSet +vasetType 3 +) +xt "46000,75000,46000,77250" +pts [ +"46000,75000" +"46000,77250" +] +) +end &124 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4672,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4673,0 +va (VaSet +) +xt "45155,72800,47055,74000" +st "en" +blo "45155,73800" +tm "WireNameMgr" +) +) +on &97 +) +*328 (Wire +uid 4728,0 +shape (OrthoPolyLine +uid 4729,0 +va (VaSet +vasetType 3 +) +xt "103000,69000,103000,70250" +pts [ +"103000,69000" +"103000,70250" +] +) +end &229 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4735,0 +va (VaSet +) +xt "102155,66800,104055,68000" +st "en" +blo "102155,67800" +tm "WireNameMgr" +) +) +on &97 +) +*329 (Wire +uid 4818,0 +shape (OrthoPolyLine +uid 4819,0 +va (VaSet +vasetType 3 +) +xt "92000,36000,92000,37250" +pts [ +"92000,36000" +"92000,37250" +] +) +end &154 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4824,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4825,0 +va (VaSet +) +xt "91155,33800,93055,35000" +st "en" +blo "91155,34800" +tm "WireNameMgr" +) +) +on &97 +) +*330 (Wire +uid 5837,0 +shape (OrthoPolyLine +uid 5838,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "73000,85750,102000,109000" +pts [ +"102000,85750" +"102000,86000" +"73000,86000" +"73000,109000" +] +) +start &230 +end &115 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5840,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "100800,87750,102000,90650" +st "btns" +blo "101800,90650" +tm "WireNameMgr" +) +) +on &114 +) +*331 (Wire +uid 5849,0 +shape (OrthoPolyLine +uid 5850,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "75000,85750,104000,109000" +pts [ +"104000,85750" +"104000,87000" +"75000,87000" +"75000,109000" +] +) +start &231 +end &117 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5851,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5852,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "102800,87750,104000,90550" +st "leds" +blo "103800,90550" +tm "WireNameMgr" +) +) +on &116 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *332 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*333 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*334 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*335 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*336 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*337 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*338 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*339 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*340 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*341 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-2689,-2817,225117,117222" +cachedDiagramExtent "0,0,197500,114900" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 8379,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*342 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*343 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*344 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*345 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*346 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*347 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*348 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*349 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*350 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*351 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*352 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*353 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*354 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*355 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*356 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*357 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*358 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*359 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*360 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*361 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*362 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,7200,25200,8400" +st "Pre User:" +blo "20000,8200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8400,47500,10000" +st "constant c_dataWidth : positive := g_dataWidth; +constant c_bramAddrWidth : positive := 10;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,10000,29500,11200" +st "Diagram Signals:" +blo "20000,11000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 102,0 +usingSuid 1 +emptyRow *363 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*364 (RefLabelRowHdr +) +*365 (TitleRowHdr +) +*366 (FilterRowHdr +) +*367 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*368 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*369 (GroupColHdr +tm "GroupColHdrMgr" +) +*370 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*371 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*372 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*373 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*374 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*375 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*376 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PC" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 13 +suid 18,0 +) +) +uid 490,0 +) +*377 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 20,0 +) +) +uid 676,0 +) +*378 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 21,0 +) +) +uid 678,0 +) +*379 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PCWrite" +t "std_ulogic" +o 15 +suid 23,0 +) +) +uid 758,0 +) +*380 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PCNext" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 14 +suid 26,0 +) +) +uid 846,0 +) +*381 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "adr" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 18 +suid 30,0 +) +) +uid 860,0 +) +*382 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 35 +suid 33,0 +) +) +uid 922,0 +) +*383 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "memWrite" +t "std_ulogic" +o 27 +suid 36,0 +) +) +uid 1114,0 +) +*384 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 12 +suid 37,0 +) +) +uid 1170,0 +) +*385 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 20 +suid 38,0 +) +) +uid 1174,0 +) +*386 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "oldPC" +t "std_ulogic_vector" +b "(c_dataWidth - 1 DOWNTO 0)" +o 28 +suid 44,0 +) +) +uid 1312,0 +) +*387 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "zero" +t "std_ulogic" +o 36 +suid 46,0 +) +) +uid 1364,0 +) +*388 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 32 +suid 48,0 +) +) +uid 1438,0 +) +*389 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 50,0 +) +) +uid 1440,0 +) +*390 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 55,0 +) +) +uid 1442,0 +) +*391 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 10 +suid 56,0 +) +) +uid 1444,0 +) +*392 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 25 +suid 57,0 +) +) +uid 1446,0 +) +*393 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "regwrite" +t "std_ulogic" +o 30 +suid 58,0 +) +) +uid 1448,0 +) +*394 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 26 +suid 59,0 +) +) +uid 1664,0 +) +*395 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 17 +suid 62,0 +) +) +uid 1888,0 +) +*396 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "immExt" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 24 +suid 65,0 +) +) +uid 2124,0 +) +*397 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 33 +suid 74,0 +) +) +uid 2454,0 +) +*398 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 34 +suid 75,0 +) +) +uid 2456,0 +) +*399 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUResult" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 9 +suid 79,0 +) +) +uid 2771,0 +) +*400 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUOut" +t "std_ulogic_vector" +b "(c_dataWidth - 1 DOWNTO 0)" +o 8 +suid 82,0 +) +) +uid 2775,0 +) +*401 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "result" +t "std_uLogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 31 +suid 84,0 +) +) +uid 2861,0 +) +*402 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "four_zeros" +t "std_ulogic_vector" +b "(c_dataWidth-1 downto 0)" +o 23 +suid 85,0 +) +) +uid 2939,0 +) +*403 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "four_four" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 22 +suid 86,0 +) +) +uid 2941,0 +) +*404 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 19 +suid 88,0 +) +) +uid 3183,0 +) +*405 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PCu" +t "std_ulogic_vector" +b "(c_dataWidth - 1 downto 0)" +o 16 +suid 92,0 +) +) +uid 4098,0 +) +*406 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 93,0 +) +) +uid 4371,0 +) +*407 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 97,0 +) +) +uid 4506,0 +) +*408 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 29 +suid 98,0 +) +) +uid 4544,0 +) +*409 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en1" +t "std_uLogic" +o 21 +suid 100,0 +) +) +uid 4592,0 +) +*410 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 101,0 +) +) +uid 5859,0 +) +*411 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 102,0 +) +) +uid 5861,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*412 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *413 (MRCItem +litem &363 +pos 36 +dimension 20 +) +uid 69,0 +optionalChildren [ +*414 (MRCItem +litem &364 +pos 0 +dimension 20 +uid 70,0 +) +*415 (MRCItem +litem &365 +pos 1 +dimension 23 +uid 71,0 +) +*416 (MRCItem +litem &366 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*417 (MRCItem +litem &376 +pos 6 +dimension 20 +uid 491,0 +) +*418 (MRCItem +litem &377 +pos 0 +dimension 20 +uid 677,0 +) +*419 (MRCItem +litem &378 +pos 1 +dimension 20 +uid 679,0 +) +*420 (MRCItem +litem &379 +pos 7 +dimension 20 +uid 759,0 +) +*421 (MRCItem +litem &380 +pos 8 +dimension 20 +uid 847,0 +) +*422 (MRCItem +litem &381 +pos 9 +dimension 20 +uid 861,0 +) +*423 (MRCItem +litem &382 +pos 10 +dimension 20 +uid 923,0 +) +*424 (MRCItem +litem &383 +pos 11 +dimension 20 +uid 1115,0 +) +*425 (MRCItem +litem &384 +pos 12 +dimension 20 +uid 1171,0 +) +*426 (MRCItem +litem &385 +pos 13 +dimension 20 +uid 1175,0 +) +*427 (MRCItem +litem &386 +pos 14 +dimension 20 +uid 1313,0 +) +*428 (MRCItem +litem &387 +pos 15 +dimension 20 +uid 1365,0 +) +*429 (MRCItem +litem &388 +pos 16 +dimension 20 +uid 1439,0 +) +*430 (MRCItem +litem &389 +pos 17 +dimension 20 +uid 1441,0 +) +*431 (MRCItem +litem &390 +pos 18 +dimension 20 +uid 1443,0 +) +*432 (MRCItem +litem &391 +pos 19 +dimension 20 +uid 1445,0 +) +*433 (MRCItem +litem &392 +pos 20 +dimension 20 +uid 1447,0 +) +*434 (MRCItem +litem &393 +pos 21 +dimension 20 +uid 1449,0 +) +*435 (MRCItem +litem &394 +pos 22 +dimension 20 +uid 1665,0 +) +*436 (MRCItem +litem &395 +pos 23 +dimension 20 +uid 1889,0 +) +*437 (MRCItem +litem &396 +pos 24 +dimension 20 +uid 2125,0 +) +*438 (MRCItem +litem &397 +pos 25 +dimension 20 +uid 2455,0 +) +*439 (MRCItem +litem &398 +pos 26 +dimension 20 +uid 2457,0 +) +*440 (MRCItem +litem &399 +pos 27 +dimension 20 +uid 2772,0 +) +*441 (MRCItem +litem &400 +pos 28 +dimension 20 +uid 2776,0 +) +*442 (MRCItem +litem &401 +pos 29 +dimension 20 +uid 2862,0 +) +*443 (MRCItem +litem &402 +pos 30 +dimension 20 +uid 2940,0 +) +*444 (MRCItem +litem &403 +pos 31 +dimension 20 +uid 2942,0 +) +*445 (MRCItem +litem &404 +pos 32 +dimension 20 +uid 3184,0 +) +*446 (MRCItem +litem &405 +pos 33 +dimension 20 +uid 4099,0 +) +*447 (MRCItem +litem &406 +pos 2 +dimension 20 +uid 4372,0 +) +*448 (MRCItem +litem &407 +pos 3 +dimension 20 +uid 4507,0 +) +*449 (MRCItem +litem &408 +pos 34 +dimension 20 +uid 4545,0 +) +*450 (MRCItem +litem &409 +pos 35 +dimension 20 +uid 4593,0 +) +*451 (MRCItem +litem &410 +pos 4 +dimension 20 +uid 5860,0 +) +*452 (MRCItem +litem &411 +pos 5 +dimension 20 +uid 5862,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*453 (MRCItem +litem &367 +pos 0 +dimension 20 +uid 74,0 +) +*454 (MRCItem +litem &369 +pos 1 +dimension 50 +uid 75,0 +) +*455 (MRCItem +litem &370 +pos 2 +dimension 100 +uid 76,0 +) +*456 (MRCItem +litem &371 +pos 3 +dimension 50 +uid 77,0 +) +*457 (MRCItem +litem &372 +pos 4 +dimension 100 +uid 78,0 +) +*458 (MRCItem +litem &373 +pos 5 +dimension 100 +uid 79,0 +) +*459 (MRCItem +litem &374 +pos 6 +dimension 50 +uid 80,0 +) +*460 (MRCItem +litem &375 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *461 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*462 (RefLabelRowHdr +) +*463 (TitleRowHdr +) +*464 (FilterRowHdr +) +*465 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*466 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*467 (GroupColHdr +tm "GroupColHdrMgr" +) +*468 (NameColHdr +tm "GenericNameColHdrMgr" +) +*469 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*470 (InitColHdr +tm "GenericValueColHdrMgr" +) +*471 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*472 (EolColHdr +tm "GenericEolColHdrMgr" +) +*473 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +uid 5660,0 +) +*474 (LogGeneric +generic (GiElement +name "g_btnsNb" +type "positive" +value "2" +) +uid 5833,0 +) +*475 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 6190,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*476 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *477 (MRCItem +litem &461 +pos 3 +dimension 20 +) +uid 97,0 +optionalChildren [ +*478 (MRCItem +litem &462 +pos 0 +dimension 20 +uid 98,0 +) +*479 (MRCItem +litem &463 +pos 1 +dimension 23 +uid 99,0 +) +*480 (MRCItem +litem &464 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*481 (MRCItem +litem &473 +pos 0 +dimension 20 +uid 5661,0 +) +*482 (MRCItem +litem &474 +pos 1 +dimension 20 +uid 5834,0 +) +*483 (MRCItem +litem &475 +pos 2 +dimension 20 +uid 6191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*484 (MRCItem +litem &465 +pos 0 +dimension 20 +uid 102,0 +) +*485 (MRCItem +litem &467 +pos 1 +dimension 50 +uid 103,0 +) +*486 (MRCItem +litem &468 +pos 2 +dimension 100 +uid 104,0 +) +*487 (MRCItem +litem &469 +pos 3 +dimension 100 +uid 105,0 +) +*488 (MRCItem +litem &470 +pos 4 +dimension 50 +uid 106,0 +) +*489 (MRCItem +litem &471 +pos 5 +dimension 50 +uid 107,0 +) +*490 (MRCItem +litem &472 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/symbol.sb new file mode 100644 index 0000000..e172a28 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/heirv32_mc/symbol.sb @@ -0,0 +1,1718 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 468,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 470,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 3870,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 4130,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +uid 4762,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 4764,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 68,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 467,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 469,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 3869,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 4129,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 4761,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 4763,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +uid 4689,0 +) +*52 (LogGeneric +generic (GiElement +name "g_btnsNb" +type "positive" +value "2" +) +uid 4737,0 +) +*53 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 4914,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 4688,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 4736,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 4913,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 101,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 102,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 103,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 104,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 105,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 106,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "heirv32_mc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:26:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_MC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/MultiCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_mc" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\heirv32_mc\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:26:13" +) +(vvPair +variable "unit" +value "heirv32_mc" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,27625,19000,28375" +) +tg (CPTG +uid 473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 474,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,27300,22500,28700" +st "rst" +blo "20000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 475,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "rst : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*71 (CptPort +uid 476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 477,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,29625,19000,30375" +) +tg (CPTG +uid 478,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 479,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,29300,22400,30700" +st "clk" +blo "20000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 480,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clk : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*72 (CptPort +uid 3871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35000,25625,35750,26375" +) +tg (CPTG +uid 3873,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3874,0 +va (VaSet +font "Verdana,12,0" +) +xt "27400,25300,34000,26700" +st "dbg_leds" +ju 2 +blo "34000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 3875,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,71500,6400" +st "dbg_leds : OUT std_ulogic_vector (31 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*73 (CptPort +uid 4131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,25625,19000,26375" +) +tg (CPTG +uid 4133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4134,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,25300,22400,26700" +st "en" +blo "20000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4135,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*74 (CptPort +uid 4765,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4766,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,33000,24375,33750" +) +tg (CPTG +uid 4767,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4768,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "23300,28400,24700,32000" +st "btns" +blo "24500,32000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4769,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,75500,3200" +st "btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +) +*75 (CptPort +uid 4770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4771,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,33000,26375,33750" +) +tg (CPTG +uid 4772,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4773,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "25300,28600,26700,32000" +st "leds" +blo "26500,32000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4774,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,76000,7200" +st "leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,25000,35000,33000" +) +oxt "15000,6000,44000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,28800,35400,30000" +st "HEIRV32_MC" +blo "27800,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,30000,34700,31200" +st "heirv32_mc" +blo "27800,31000" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "19000,34800,46500,38800" +st "Generic Declarations + +g_programFile string \"$SIMULATION_DIR/code.txt\" +g_btnsNb positive 2 +g_dataWidth positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "2" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "359,164,1381,854" +viewArea "11800,22200,41178,40996" +cachedDiagramExtent "0,0,76000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 5006,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/instr@decoder/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/instr@decoder/symbol.sb new file mode 100644 index 0000000..1a8ebfd --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/instr@decoder/symbol.sb @@ -0,0 +1,1395 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 13 +suid 1,0 +) +) +uid 61,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 4 +suid 2,0 +) +) +uid 63,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 82,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 62,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 64,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*43 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +uid 110,0 +optionalChildren [ +*45 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 111,0 +) +*46 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 112,0 +) +*47 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*48 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 115,0 +) +*49 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 116,0 +) +*50 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 117,0 +) +*51 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 118,0 +) +*52 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 119,0 +) +*53 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 120,0 +) +*54 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instr@decoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instr@decoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instr@decoder" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instrDecoder" +) +(vvPair +variable "date" +value "04.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "instrDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:47:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "instrDecoder" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instr@decoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instrDecoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:47:22" +) +(vvPair +variable "unit" +value "instrDecoder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,17625,30750,18375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "23800,17300,29000,18700" +st "immSrc" +ju 2 +blo "29000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,69000,4000" +st "immSrc : OUT std_ulogic_vector (1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 13 +suid 1,0 +) +) +) +*57 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,17625,20000,18375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,17300,23400,18700" +st "op" +blo "21000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,70000,3200" +st "op : IN std_ulogic_vector (6 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 4 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,16000,30000,21000" +) +oxt "15000,6000,23000,13000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "20250,21300,25250,22500" +st "HEIRV32" +blo "20250,22300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "20250,22500,27750,23700" +st "instrDecoder" +blo "20250,23500" +) +) +gi *58 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "20000,25200,31500,26000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*59 (Grouping +uid 16,0 +optionalChildren [ +*60 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *70 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*72 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1920,-449,-904,241" +viewArea "-7677,4548,37712,33809" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "controlUnit" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *73 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *74 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4000,45200,5200" +st "User:" +blo "42000,5000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,44000,5200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 144,0 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/struct.bd b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/struct.bd new file mode 100644 index 0000000..a36d476 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/struct.bd @@ -0,0 +1,3458 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_bram" +duLibraryName "Memory" +duName "bram" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "g_addrWidth" +) +(GiElement +name "dataBitNb" +type "positive" +value "g_dataWidth" +) +(GiElement +name "initFile" +type "string" +value "g_programFile" +) +] +mwi 0 +uid 1279,0 +) +(Instance +name "U_bramAddrReducer" +duLibraryName "HEIRV32" +duName "bramAddrReducer" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "g_dataWidth" +) +(GiElement +name "g_addrWidth" +type "positive" +value "g_addrWidth" +) +] +mwi 0 +uid 1350,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instruction@data@memory\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instruction@data@memory\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instruction@data@memory" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instructionDataMemory" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "instructionDataMemory" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "16:12:32" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_MC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/MultiCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "instructionDataMemory" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instruction@data@memory\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\MultiCycle\\hds\\instructionDataMemory\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "16:12:32" +) +(vvPair +variable "unit" +value "instructionDataMemory" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,4000,74000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,4000,68500,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,0,78000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,0,77200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,2000,74000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,2000,67200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,2000,57000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,2000,55300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,1000,94000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,1200,83600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,0,94000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "78200,0,79800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,0,74000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "58350,400,68650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,3000,57000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,3000,55300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,4000,57000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,4000,55900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,3000,74000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,3000,73600,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,0,94000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 167,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 168,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,35500,4000" +st "clk : std_ulogic" +) +) +*13 (Net +uid 195,0 +lang 11 +decl (Decl +n "address" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 3,0 +) +declText (MLText +uid 196,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,47000,3200" +st "address : unsigned(g_dataWidth-1 DOWNTO 0)" +) +) +*14 (Net +uid 209,0 +lang 11 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 210,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,51500,7200" +st "readData : std_ulogic_vector(g_dataWidth-1 DOWNTO 0)" +) +) +*15 (PortIoIn +uid 246,0 +shape (CompositeShape +uid 247,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 248,0 +sl 0 +ro 270 +xt "41000,31625,42500,32375" +) +(Line +uid 249,0 +sl 0 +ro 270 +xt "42500,32000,43000,32000" +pts [ +"42500,32000" +"43000,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 250,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 251,0 +va (VaSet +) +xt "37800,31500,40000,32700" +st "clk" +ju 2 +blo "40000,32500" +tm "WireNameMgr" +) +) +) +*16 (PortIoIn +uid 270,0 +shape (CompositeShape +uid 271,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 272,0 +sl 0 +ro 270 +xt "19000,19625,20500,20375" +) +(Line +uid 273,0 +sl 0 +ro 270 +xt "20500,20000,21000,20000" +pts [ +"20500,20000" +"21000,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 274,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 275,0 +va (VaSet +) +xt "13300,19500,18000,20700" +st "address" +ju 2 +blo "18000,20500" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 282,0 +shape (CompositeShape +uid 283,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 284,0 +sl 0 +ro 270 +xt "63500,19625,65000,20375" +) +(Line +uid 285,0 +sl 0 +ro 270 +xt "63000,20000,63500,20000" +pts [ +"63000,20000" +"63500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 286,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 287,0 +va (VaSet +) +xt "66000,19500,71300,20700" +st "readData" +blo "66000,20500" +tm "WireNameMgr" +) +) +) +*18 (PortIoIn +uid 294,0 +shape (CompositeShape +uid 295,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 296,0 +sl 0 +ro 270 +xt "41000,25625,42500,26375" +) +(Line +uid 297,0 +sl 0 +ro 270 +xt "42500,26000,43000,26000" +pts [ +"42500,26000" +"43000,26000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 298,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 299,0 +va (VaSet +) +xt "32800,25500,40000,26700" +st "writeEnable" +ju 2 +blo "40000,26500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 306,0 +lang 11 +decl (Decl +n "writeEnable" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 307,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,35500,6400" +st "writeEnable : std_ulogic" +) +) +*20 (PortIoIn +uid 308,0 +shape (CompositeShape +uid 309,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 310,0 +sl 0 +ro 270 +xt "41000,23625,42500,24375" +) +(Line +uid 311,0 +sl 0 +ro 270 +xt "42500,24000,43000,24000" +pts [ +"42500,24000" +"43000,24000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 312,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 313,0 +va (VaSet +) +xt "34500,23500,40000,24700" +st "writeData" +ju 2 +blo "40000,24500" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 320,0 +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 6,0 +) +declText (MLText +uid 321,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,51500,5600" +st "writeData : std_ulogic_vector(g_dataWidth-1 DOWNTO 0)" +) +) +*22 (Net +uid 1166,0 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_addrWidth-1 DOWNTO 0)" +o 7 +suid 15,0 +) +declText (MLText +uid 1167,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8400,55000,9200" +st "SIGNAL out1 : std_ulogic_vector(g_addrWidth-1 DOWNTO 0)" +) +) +*23 (SaComponent +uid 1279,0 +optionalChildren [ +*24 (CptPort +uid 1255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1256,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,31625,45000,32375" +) +tg (CPTG +uid 1257,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1258,0 +va (VaSet +) +xt "46000,31500,49400,32700" +st "clock" +blo "46000,32500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*25 (CptPort +uid 1259,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 1261,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1262,0 +va (VaSet +) +xt "46000,29500,47900,30700" +st "en" +blo "46000,30500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*26 (CptPort +uid 1263,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1264,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,25625,45000,26375" +) +tg (CPTG +uid 1265,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1266,0 +va (VaSet +) +xt "46000,25500,50400,26700" +st "writeEn" +blo "46000,26500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*27 (CptPort +uid 1267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1268,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 1269,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1270,0 +va (VaSet +) +xt "46000,19500,51800,20700" +st "addressIn" +blo "46000,20500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*28 (CptPort +uid 1271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1272,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,23625,45000,24375" +) +tg (CPTG +uid 1273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1274,0 +va (VaSet +) +xt "46000,23500,50000,24700" +st "dataIn" +blo "46000,24500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*29 (CptPort +uid 1275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1276,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 1277,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1278,0 +va (VaSet +) +xt "55200,19500,60000,20700" +st "dataOut" +ju 2 +blo "60000,20500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 1280,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,16000,61000,34000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 1281,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 1282,0 +va (VaSet +font "Verdana,8,1" +) +xt "45200,34000,49400,35000" +st "Memory" +blo "45200,34800" +tm "BdLibraryNameMgr" +) +*31 (Text +uid 1283,0 +va (VaSet +font "Verdana,8,1" +) +xt "45200,35000,48100,36000" +st "bram" +blo "45200,35800" +tm "CptNameMgr" +) +*32 (Text +uid 1284,0 +va (VaSet +font "Verdana,8,1" +) +xt "45200,36000,49400,37000" +st "U_bram" +blo "45200,36800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1285,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1286,0 +text (MLText +uid 1287,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,37000,65500,40000" +st "addressBitNb = g_addrWidth ( positive ) +dataBitNb = g_dataWidth ( positive ) +initFile = g_programFile ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "g_addrWidth" +) +(GiElement +name "dataBitNb" +type "positive" +value "g_dataWidth" +) +(GiElement +name "initFile" +type "string" +value "g_programFile" +) +] +) +viewicon (ZoomableIcon +uid 1288,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,32250,46750,33750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*33 (SaComponent +uid 1350,0 +optionalChildren [ +*34 (CptPort +uid 1342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,19625,23000,20375" +) +tg (CPTG +uid 1344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1345,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,19300,29000,20700" +st "addrIn" +blo "24000,20500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addrIn" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*35 (CptPort +uid 1346,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,19625,36750,20375" +) +tg (CPTG +uid 1348,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1349,0 +va (VaSet +font "Verdana,12,0" +) +xt "29000,19300,35000,20700" +st "addrOut" +ju 2 +blo "35000,20500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrOut" +t "std_ulogic_vector" +b "(g_addrWidth-1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 1351,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,19000,36000,23000" +) +oxt "15000,10000,28000,14000" +ttg (MlTextGroup +uid 1352,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1353,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "21450,22800,26450,24000" +st "HEIRV32" +blo "21450,23800" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 1354,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,24000,31550,25200" +st "bramAddrReducer" +blo "21450,25000" +tm "CptNameMgr" +) +*38 (Text +uid 1355,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,25200,32850,26400" +st "U_bramAddrReducer" +blo "21450,26200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1356,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1357,0 +text (MLText +uid 1358,0 +va (VaSet +font "Courier New,8,0" +) +xt "13000,26400,36500,28000" +st "g_dataWidth = g_dataWidth ( positive ) +g_addrWidth = g_addrWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "g_dataWidth" +) +(GiElement +name "g_addrWidth" +type "positive" +value "g_addrWidth" +) +] +) +viewicon (ZoomableIcon +uid 1359,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,21250,24750,22750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*39 (PortIoIn +uid 1454,0 +shape (CompositeShape +uid 1455,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1456,0 +sl 0 +ro 270 +xt "41000,29625,42500,30375" +) +(Line +uid 1457,0 +sl 0 +ro 270 +xt "42500,30000,43000,30000" +pts [ +"42500,30000" +"43000,30000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1458,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1459,0 +va (VaSet +) +xt "38100,29500,40000,30700" +st "en" +ju 2 +blo "40000,30500" +tm "WireNameMgr" +) +) +) +*40 (Net +uid 1466,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 16,0 +) +declText (MLText +uid 1467,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,35500,4800" +st "en : std_ulogic" +) +) +*41 (Wire +uid 252,0 +shape (OrthoPolyLine +uid 253,0 +va (VaSet +vasetType 3 +) +xt "43000,32000,44250,32000" +pts [ +"43000,32000" +"44250,32000" +] +) +start &15 +end &24 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 257,0 +va (VaSet +isHidden 1 +) +xt "45000,30800,47200,32000" +st "clk" +blo "45000,31800" +tm "WireNameMgr" +) +) +on &12 +) +*42 (Wire +uid 276,0 +shape (OrthoPolyLine +uid 277,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,20000,22250,20000" +pts [ +"21000,20000" +"22250,20000" +] +) +start &16 +end &34 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 280,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 281,0 +va (VaSet +isHidden 1 +) +xt "13000,18800,29400,20000" +st "address : (g_dataWidth-1:0)" +blo "13000,19800" +tm "WireNameMgr" +) +) +on &13 +) +*43 (Wire +uid 288,0 +shape (OrthoPolyLine +uid 289,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "61750,20000,63000,20000" +pts [ +"61750,20000" +"63000,20000" +] +) +start &29 +end &17 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 293,0 +va (VaSet +isHidden 1 +) +xt "55000,18800,72000,20000" +st "readData : (g_dataWidth-1:0)" +blo "55000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*44 (Wire +uid 300,0 +shape (OrthoPolyLine +uid 301,0 +va (VaSet +vasetType 3 +) +xt "43000,26000,44250,26000" +pts [ +"43000,26000" +"44250,26000" +] +) +start &18 +end &26 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 304,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 305,0 +va (VaSet +isHidden 1 +) +xt "44000,24800,51200,26000" +st "writeEnable" +blo "44000,25800" +tm "WireNameMgr" +) +) +on &19 +) +*45 (Wire +uid 314,0 +shape (OrthoPolyLine +uid 315,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "43000,24000,44250,24000" +pts [ +"43000,24000" +"44250,24000" +] +) +start &20 +end &28 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 318,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 319,0 +va (VaSet +isHidden 1 +) +xt "36000,22800,53200,24000" +st "writeData : (g_dataWidth-1:0)" +blo "36000,23800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 1168,0 +shape (OrthoPolyLine +uid 1169,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "36750,20000,44250,20000" +pts [ +"36750,20000" +"44250,20000" +] +) +start &35 +end &27 +ss 0 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1170,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1171,0 +va (VaSet +isHidden 1 +) +xt "40000,18800,43000,20000" +st "out1" +blo "40000,19800" +tm "WireNameMgr" +) +s (Text +uid 1197,0 +va (VaSet +isHidden 1 +) +xt "40000,20000,40000,20000" +blo "40000,20000" +tm "SignalTypeMgr" +) +) +on &22 +) +*47 (Wire +uid 1460,0 +shape (OrthoPolyLine +uid 1461,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,30000" +pts [ +"43000,30000" +"44250,30000" +] +) +start &39 +end &25 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1464,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1465,0 +va (VaSet +isHidden 1 +) +xt "45000,28800,46900,30000" +st "en" +blo "45000,29800" +tm "WireNameMgr" +) +) +on &40 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *48 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*50 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*52 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*53 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*54 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*55 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*57 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1394,-1505,95895,51823" +cachedDiagramExtent "0,0,94000,40000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 1611,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*65 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*66 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*76 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*78 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,7200,29500,8400" +st "Diagram Signals:" +blo "20000,8200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *79 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*80 (RefLabelRowHdr +) +*81 (TitleRowHdr +) +*82 (FilterRowHdr +) +*83 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*84 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*85 (GroupColHdr +tm "GroupColHdrMgr" +) +*86 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*87 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*88 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*89 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*90 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*91 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 147,0 +scheme 0 +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "address" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 3,0 +) +) +uid 151,0 +scheme 0 +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 153,0 +scheme 0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnable" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 242,0 +scheme 0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 244,0 +scheme 0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_addrWidth-1 DOWNTO 0)" +o 7 +suid 15,0 +) +) +uid 1172,0 +) +*98 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 16,0 +) +) +uid 1453,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*99 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *100 (MRCItem +litem &79 +pos 7 +dimension 20 +) +uid 69,0 +optionalChildren [ +*101 (MRCItem +litem &80 +pos 0 +dimension 20 +uid 70,0 +) +*102 (MRCItem +litem &81 +pos 1 +dimension 23 +uid 71,0 +) +*103 (MRCItem +litem &82 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*104 (MRCItem +litem &92 +pos 0 +dimension 20 +uid 148,0 +) +*105 (MRCItem +litem &93 +pos 1 +dimension 20 +uid 152,0 +) +*106 (MRCItem +litem &94 +pos 2 +dimension 20 +uid 154,0 +) +*107 (MRCItem +litem &95 +pos 3 +dimension 20 +uid 243,0 +) +*108 (MRCItem +litem &96 +pos 4 +dimension 20 +uid 245,0 +) +*109 (MRCItem +litem &97 +pos 6 +dimension 20 +uid 1173,0 +) +*110 (MRCItem +litem &98 +pos 5 +dimension 20 +uid 1452,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*111 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 74,0 +) +*112 (MRCItem +litem &85 +pos 1 +dimension 50 +uid 75,0 +) +*113 (MRCItem +litem &86 +pos 2 +dimension 100 +uid 76,0 +) +*114 (MRCItem +litem &87 +pos 3 +dimension 50 +uid 77,0 +) +*115 (MRCItem +litem &88 +pos 4 +dimension 100 +uid 78,0 +) +*116 (MRCItem +litem &89 +pos 5 +dimension 100 +uid 79,0 +) +*117 (MRCItem +litem &90 +pos 6 +dimension 50 +uid 80,0 +) +*118 (MRCItem +litem &91 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *119 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*120 (RefLabelRowHdr +) +*121 (TitleRowHdr +) +*122 (FilterRowHdr +) +*123 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*124 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*125 (GroupColHdr +tm "GroupColHdrMgr" +) +*126 (NameColHdr +tm "GenericNameColHdrMgr" +) +*127 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*128 (InitColHdr +tm "GenericValueColHdrMgr" +) +*129 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*130 (EolColHdr +tm "GenericEolColHdrMgr" +) +*131 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 109,0 +) +*132 (LogGeneric +generic (GiElement +name "g_addrWidth" +type "positive" +value "10" +) +uid 1313,0 +) +*133 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"\"" +) +uid 1564,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*134 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *135 (MRCItem +litem &119 +pos 3 +dimension 20 +) +uid 97,0 +optionalChildren [ +*136 (MRCItem +litem &120 +pos 0 +dimension 20 +uid 98,0 +) +*137 (MRCItem +litem &121 +pos 1 +dimension 23 +uid 99,0 +) +*138 (MRCItem +litem &122 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*139 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 110,0 +) +*140 (MRCItem +litem &132 +pos 1 +dimension 20 +uid 1312,0 +) +*141 (MRCItem +litem &133 +pos 2 +dimension 20 +uid 1565,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*142 (MRCItem +litem &123 +pos 0 +dimension 20 +uid 102,0 +) +*143 (MRCItem +litem &125 +pos 1 +dimension 50 +uid 103,0 +) +*144 (MRCItem +litem &126 +pos 2 +dimension 100 +uid 104,0 +) +*145 (MRCItem +litem &127 +pos 3 +dimension 100 +uid 105,0 +) +*146 (MRCItem +litem &128 +pos 4 +dimension 50 +uid 106,0 +) +*147 (MRCItem +litem &129 +pos 5 +dimension 50 +uid 107,0 +) +*148 (MRCItem +litem &130 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/symbol.sb new file mode 100644 index 0000000..63d17e8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@data@memory/symbol.sb @@ -0,0 +1,1756 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 38,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "address" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +uid 535,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 28,0 +) +) +uid 537,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 29,0 +) +) +uid 539,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 31,0 +) +) +uid 543,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnable" +t "std_ulogic" +o 5 +suid 32,0 +) +) +uid 545,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 38,0 +) +) +uid 1328,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 68,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*25 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 536,0 +) +*26 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 538,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 540,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 544,0 +) +*29 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 546,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1329,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 548,0 +) +*52 (LogGeneric +generic (GiElement +name "g_addrWidth" +type "positive" +value "10" +) +uid 1273,0 +) +*53 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"\"" +) +uid 1382,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 547,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 1274,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 1381,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 101,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 102,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 103,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 104,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 105,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 106,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@data@memory\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@data@memory\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@data@memory" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instructionDataMemory" +) +(vvPair +variable "date" +value "18.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "entity_name" +value "instructionDataMemory" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "18.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "18:08:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "instructionDataMemory" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@data@memory\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instructionDataMemory\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "18:08:43" +) +(vvPair +variable "unit" +value "instructionDataMemory" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 505,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 506,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,16625,19000,17375" +) +tg (CPTG +uid 507,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 508,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,16500,23600,17500" +st "address" +blo "20000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 509,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,74000,3200" +st "address : IN unsigned (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "address" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +) +*71 (CptPort +uid 510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 511,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,14250,21375,15000" +) +tg (CPTG +uid 512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 513,0 +va (VaSet +font "Verdana,8,0" +) +xt "20480,15000,22080,16000" +st "clk" +blo "20480,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 514,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62500,4000" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 28,0 +) +) +) +*72 (CptPort +uid 515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,17625,33750,18375" +) +tg (CPTG +uid 517,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 518,0 +va (VaSet +font "Verdana,8,0" +) +xt "27800,17500,32000,18500" +st "readData" +ju 2 +blo "32000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 519,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,77500,7200" +st "readData : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 29,0 +) +) +) +*73 (CptPort +uid 525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 526,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,18625,19000,19375" +) +tg (CPTG +uid 527,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 528,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,18500,24400,19500" +st "writeData" +blo "20000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 529,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,78500,5600" +st "writeData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 31,0 +) +) +) +*74 (CptPort +uid 530,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 531,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,14250,26375,15000" +) +tg (CPTG +uid 532,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 533,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "25500,15000,26500,20700" +st "writeEnable" +ju 2 +blo "26300,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 534,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,62500,6400" +st "writeEnable : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnable" +t "std_ulogic" +o 5 +suid 32,0 +) +) +) +*75 (CptPort +uid 1330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1331,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27625,14250,28375,15000" +) +tg (CPTG +uid 1332,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1333,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "27500,16000,28500,17500" +st "en" +ju 2 +blo "28300,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1334,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,62500,4800" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 38,0 +) +) +) +*76 (CommentGraphic +uid 1408,0 +shape (PolyLine2D +pts [ +"20000,15000" +"21000,17000" +] +uid 1409,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "20000,15000,21000,17000" +) +) +*77 (CommentGraphic +uid 1410,0 +shape (PolyLine2D +pts [ +"22000,15000" +"21000,17000" +] +uid 1411,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "21000,15000,22000,17000" +) +) +] +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,15000,33000,22000" +fos 1 +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "18750,22800,23750,24000" +st "HEIRV32" +blo "18750,23800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "18750,24000,32250,25200" +st "instructionDataMemory" +blo "18750,25000" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "19000,26600,34000,30600" +st "Generic Declarations + +g_dataWidth positive 32 +g_addrWidth positive 10 +g_programFile string \"\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_addrWidth" +type "positive" +value "10" +) +(GiElement +name "g_programFile" +type "string" +value "\"\"" +) +] +) +sed 1 +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,51500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,24,1921,1080" +viewArea "5100,10200,67741,43500" +cachedDiagramExtent "0,0,78500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-15000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1411,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@forwarder/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@forwarder/symbol.sb new file mode 100644 index 0000000..45d740c --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/instruction@forwarder/symbol.sb @@ -0,0 +1,1488 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +uid 66,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "irWrite" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 68,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 70,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 69,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 152,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 117,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 118,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 119,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 122,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 123,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 124,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 125,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 126,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 127,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@forwarder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@forwarder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@forwarder" +) +(vvPair +variable "d_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instructionForwarder" +) +(vvPair +variable "date" +value "18.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "entity_name" +value "instructionForwarder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "18.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332101" +) +(vvPair +variable "graphical_source_time" +value "16:10:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332101" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "instructionForwarder" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instruction@forwarder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\instructionForwarder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:10:50" +) +(vvPair +variable "unit" +value "instructionForwarder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,19625,37750,20375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "30900,19500,36000,20500" +st "instruction" +ju 2 +blo "36000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,77500,4800" +st "instruction : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,14250,33375,15000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "32500,16000,33500,19100" +st "irWrite" +ju 2 +blo "33300,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,62500,3200" +st "irWrite : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "irWrite" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*62 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26250,15625,27000,16375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "28000,15500,32200,16500" +st "readData" +blo "28000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,78500,4000" +st "readData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "27000,15000,37000,21000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26850,21800,31850,23000" +st "HEIRV32" +blo "26850,22800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26850,23000,39150,24200" +st "instructionForwarder" +blo "26850,24000" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "27000,26600,41000,29000" +st "Generic Declarations + +g_dataWidth positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*64 (Grouping +uid 16,0 +optionalChildren [ +*65 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*77 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "569,52,1592,742" +viewArea "-3000,-700,54240,35876" +cachedDiagramExtent "0,0,78500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "instructionDataMemory" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 349,0 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/fsm.sm b/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/fsm.sm new file mode 100644 index 0000000..e80a982 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/fsm.sm @@ -0,0 +1,6751 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mainFSM" +) +(vvPair +variable "date" +value "24.10.2022" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "24" +) +(vvPair +variable "entity_name" +value "mainFSM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "24.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:37:29" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "mainFSM" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mainFSM\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "15:37:29" +) +(vvPair +variable "unit" +value "mainFSM" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +uid 182,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 772,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "39997,13150,51403,24556" +radius 5703 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "42200,18153,49200,19553" +st "s0_Fetch" +ju 0 +blo "45700,19353" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "45450,19053,50550,20453" +st "wait 2" +blo "45450,20253" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45700,19953,45700,19953" +blo "45700,19953" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52237,14959,63637,23559" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52337,14859,63537,14859" +pts [ +"52337,14859" +"63537,14859" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52337,19659,63537,19659" +pts [ +"52337,19659" +"63537,19659" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51887,14484,52237,14834" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51887,15684,52237,16034" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "52337,14659,52337,14659" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "52337,15059,63537,23459" +st "adrSrc <= '0' ; +IRWrite <= '1' ; +ALUSrcA <= \"00\" ; +ALUSrcB <= \"10\" ; +ALUOp <= \"00\" ; +resultSrc <= \"10\" ; +PCupdate <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "53937,15859,53937,15859" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43600,20053,50300,21253" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "-5238,16314,-2988,17314" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4338,16514,-3739,17114" +pts [ +"-4338,17114" +"-4039,17114" +"-4039,16514" +"-3739,16514" +] +) +(Arc2D +pts [ +"-4729,16967" +"-4984,16661" +"-4729,16661" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-5054,16615,-4729,17012" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-7938,16314,-5738,17514" +st "clk" +ju 2 +blo "-5738,17314" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-1574,16114,13326,17514" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "-1474,16214,13226,17414" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "-5238,25314,-2988,26314" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "-4338,25514,-3739,26114" +pts [ +"-3739,25514" +"-4039,25514" +"-4039,26114" +"-4338,26114" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "-4989,25639,-4889,25689" +pts [ +"-4989,25689" +"-4889,25639" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "-4989,25639,-4989,25989" +pts [ +"-4989,25989" +"-4989,25639" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "-4189,25664,-3889,25964" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-5238,23514,-338,24914" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "-5138,23614,-438,24814" +st "rst = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-2988,24751,-862,26877" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "-2625,25214,-1225,26414" +st "1" +ju 0 +blo "-1925,26214" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-7338,25214,-5238,26414" +st "rst" +ju 2 +blo "-5238,26214" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "2637,29939,11237,31139" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "8512,25314,10762,26314" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "8012,25814,8512,25814" +pts [ +"8012,25814" +"8512,25814" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "11262,25314,16362,26514" +st "s0_Fetch" +blo "11262,26314" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "-5238,19914,-3438,21714" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-4764,20388,-3912,21240" +pts [ +"-4764,21240" +"-3912,20388" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-4764,20388,-3912,21240" +pts [ +"-3912,21240" +"-4764,20388" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "8512,20314,10762,21314" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "8012,20814,8512,20814" +pts [ +"8012,20814" +"8512,20814" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "11262,20314,16362,21514" +st "s0_Fetch" +blo "11262,21314" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94050,1990,111050,2990" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "94250,1990,105550,2990" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "111050,-2010,115050,-1010" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "111250,-2010,114250,-1010" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94050,-10,111050,990" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "94250,-10,104250,990" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90050,-10,94050,990" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "90250,-10,92350,990" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "111050,-1010,131050,2990" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "111250,-810,120650,190" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115050,-2010,131050,-1010" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "115250,-2010,116850,-1010" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90050,-2010,111050,-10" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "95400,-1610,105700,-410" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90050,990,94050,1990" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "90250,990,92350,1990" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "90050,1990,94050,2990" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "90250,1990,92950,2990" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94050,990,111050,1990" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "94250,990,103350,1990" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "90050,-2010,131050,2990" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 285,0 +shape (Circle +uid 286,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "40111,29283,51517,40689" +radius 5703 +) +name (Text +uid 287,0 +va (VaSet +font "Verdana,12,1" +) +xt "41664,34286,49964,35686" +st "s1_Decode" +ju 0 +blo "45814,35486" +tm "ONodeName" +) +wait (TextAssociate +uid 288,0 +ps "CenterOffsetStrategy" +text (Text +uid 289,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "45564,35186,50664,36586" +st "wait 2" +blo "45564,36386" +tm "SmWaitText" +) +) +encoding (Text +uid 290,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45814,36086,45814,36086" +blo "45814,36086" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51978,30612,63378,34412" +) +autoResize 1 +tline (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52078,30512,63278,30512" +pts [ +"52078,30512" +"63278,30512" +] +) +bline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52078,31712,63278,31712" +pts [ +"52078,31712" +"63278,31712" +] +) +ttri (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51628,30137,51978,30487" +) +btri (Triangle +uid 298,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51628,28937,51978,29287" +) +entryActions (MLText +uid 299,0 +va (VaSet +) +xt "52078,30312,52078,30312" +tm "Actions" +) +inActions (MLText +uid 300,0 +va (VaSet +) +xt "52078,30712,63278,34312" +st "ALUSrcA <= \"01\" ; +ALUSrcB <= \"01\" ; +ALUOp <= \"00\" ;" +tm "Actions" +) +exitActions (MLText +uid 301,0 +va (VaSet +) +xt "53678,29112,53678,29112" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 291,0 +ps "CenterOffsetStrategy" +text (MLText +uid 292,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43714,36186,50414,37386" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 356,0 +shape (Circle +uid 357,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-8849,48214,2557,59620" +radius 5703 +) +name (Text +uid 358,0 +va (VaSet +font "Verdana,12,1" +) +xt "-7546,53217,1254,54617" +st "s2_MemAdr" +ju 0 +blo "-3146,54417" +tm "ONodeName" +) +wait (TextAssociate +uid 359,0 +ps "CenterOffsetStrategy" +text (Text +uid 360,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "-3396,54117,1704,55517" +st "wait 2" +blo "-3396,55317" +tm "SmWaitText" +) +) +encoding (Text +uid 361,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-3146,55017,-3146,55017" +blo "-3146,55017" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 364,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 365,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "3391,52423,14791,56223" +) +autoResize 1 +tline (Line +uid 366,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3491,52323,14691,52323" +pts [ +"3491,52323" +"14691,52323" +] +) +bline (Line +uid 367,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3491,53523,14691,53523" +pts [ +"3491,53523" +"14691,53523" +] +) +ttri (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3041,51948,3391,52298" +) +btri (Triangle +uid 369,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3041,50748,3391,51098" +) +entryActions (MLText +uid 370,0 +va (VaSet +) +xt "3491,52123,3491,52123" +tm "Actions" +) +inActions (MLText +uid 371,0 +va (VaSet +) +xt "3491,52523,14691,56123" +st "ALUSrcA <= \"10\" ; +ALUSrcB <= \"01\" ; +ALUOp <= \"00\" ;" +tm "Actions" +) +exitActions (MLText +uid 372,0 +va (VaSet +) +xt "5091,50923,5091,50923" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 362,0 +ps "CenterOffsetStrategy" +text (MLText +uid 363,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-5246,55117,1454,56317" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 373,0 +shape (Circle +uid 374,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "15174,48213,26822,59861" +radius 5824 +) +name (Text +uid 375,0 +va (VaSet +font "Verdana,12,1" +) +xt "15798,53337,26198,54737" +st "s6_ExecuteR" +ju 0 +blo "20998,54537" +tm "ONodeName" +) +wait (TextAssociate +uid 376,0 +ps "CenterOffsetStrategy" +text (Text +uid 377,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "20748,54237,25848,55637" +st "wait 2" +blo "20748,55437" +tm "SmWaitText" +) +) +encoding (Text +uid 378,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20998,55137,20998,55137" +blo "20998,55137" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 381,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 382,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "27535,52543,38935,56343" +) +autoResize 1 +tline (Line +uid 383,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27635,52443,38835,52443" +pts [ +"27635,52443" +"38835,52443" +] +) +bline (Line +uid 384,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27635,53643,38835,53643" +pts [ +"27635,53643" +"38835,53643" +] +) +ttri (Triangle +uid 385,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27185,52068,27535,52418" +) +btri (Triangle +uid 386,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27185,50868,27535,51218" +) +entryActions (MLText +uid 387,0 +va (VaSet +) +xt "27635,52243,27635,52243" +tm "Actions" +) +inActions (MLText +uid 388,0 +va (VaSet +) +xt "27635,52643,38835,56243" +st "ALUSrcA <= \"10\" ; +ALUSrcB <= \"00\" ; +ALUOp <= \"10\" ;" +tm "Actions" +) +exitActions (MLText +uid 389,0 +va (VaSet +) +xt "29235,51043,29235,51043" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 379,0 +ps "CenterOffsetStrategy" +text (MLText +uid 380,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "18898,55237,25598,56437" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 390,0 +shape (Circle +uid 391,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "40255,48478,51661,59884" +radius 5703 +) +name (Text +uid 392,0 +va (VaSet +font "Verdana,12,1" +) +xt "40908,53481,51008,54881" +st "s8_ExecuteI" +ju 0 +blo "45958,54681" +tm "ONodeName" +) +wait (TextAssociate +uid 393,0 +ps "CenterOffsetStrategy" +text (Text +uid 394,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "45708,54381,50808,55781" +st "wait 2" +blo "45708,55581" +tm "SmWaitText" +) +) +encoding (Text +uid 395,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45958,55281,45958,55281" +blo "45958,55281" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 398,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 399,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "52495,52687,63895,56487" +) +autoResize 1 +tline (Line +uid 400,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52595,52587,63795,52587" +pts [ +"52595,52587" +"63795,52587" +] +) +bline (Line +uid 401,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52595,53787,63795,53787" +pts [ +"52595,53787" +"63795,53787" +] +) +ttri (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52145,52212,52495,52562" +) +btri (Triangle +uid 403,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52145,51012,52495,51362" +) +entryActions (MLText +uid 404,0 +va (VaSet +) +xt "52595,52387,52595,52387" +tm "Actions" +) +inActions (MLText +uid 405,0 +va (VaSet +) +xt "52595,52787,63795,56387" +st "ALUSrcA <= \"10\" ; +ALUSrcB <= \"01\" ; +ALUOp <= \"10\" ;" +tm "Actions" +) +exitActions (MLText +uid 406,0 +va (VaSet +) +xt "54195,51187,54195,51187" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 396,0 +ps "CenterOffsetStrategy" +text (MLText +uid 397,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43858,55381,50558,56581" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 407,0 +shape (Circle +uid 408,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "65119,48694,76525,60100" +radius 5703 +) +name (Text +uid 409,0 +va (VaSet +font "Verdana,12,1" +) +xt "67922,53697,73722,55097" +st "s9_JAL" +ju 0 +blo "70822,54897" +tm "ONodeName" +) +wait (TextAssociate +uid 410,0 +ps "CenterOffsetStrategy" +text (Text +uid 411,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "70572,54597,75672,55997" +st "wait 2" +blo "70572,55797" +tm "SmWaitText" +) +) +encoding (Text +uid 412,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "70822,55497,70822,55497" +blo "70822,55497" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 415,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 416,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "77359,51703,88759,57903" +) +autoResize 1 +tline (Line +uid 417,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77459,51603,88659,51603" +pts [ +"77459,51603" +"88659,51603" +] +) +bline (Line +uid 418,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77459,54603,88659,54603" +pts [ +"77459,54603" +"88659,54603" +] +) +ttri (Triangle +uid 419,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77009,51228,77359,51578" +) +btri (Triangle +uid 420,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77009,51228,77359,51578" +) +entryActions (MLText +uid 421,0 +va (VaSet +) +xt "77459,51403,77459,51403" +tm "Actions" +) +inActions (MLText +uid 422,0 +va (VaSet +) +xt "77459,51803,88659,57803" +st "ALUSrcA <= \"01\" ; +ALUSrcB <= \"10\" ; +ALUOp <= \"00\" ; +resultSrc <= \"00\" ; +PCupdate <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 423,0 +va (VaSet +) +xt "79059,51403,79059,51403" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 413,0 +ps "CenterOffsetStrategy" +text (MLText +uid 414,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "68722,55597,75422,56797" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 424,0 +shape (Circle +uid 425,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "89284,48762,100690,60168" +radius 5703 +) +name (Text +uid 426,0 +va (VaSet +font "Verdana,12,1" +) +xt "91437,53765,98537,55165" +st "s10_BEQ" +ju 0 +blo "94987,54965" +tm "ONodeName" +) +wait (TextAssociate +uid 427,0 +ps "CenterOffsetStrategy" +text (Text +uid 428,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "94737,54665,99837,56065" +st "wait 2" +blo "94737,55865" +tm "SmWaitText" +) +) +encoding (Text +uid 429,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "94987,55565,94987,55565" +blo "94987,55565" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 432,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 433,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "101524,51771,112924,57971" +) +autoResize 1 +tline (Line +uid 434,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101624,51671,112824,51671" +pts [ +"101624,51671" +"112824,51671" +] +) +bline (Line +uid 435,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101624,54671,112824,54671" +pts [ +"101624,54671" +"112824,54671" +] +) +ttri (Triangle +uid 436,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101174,51296,101524,51646" +) +btri (Triangle +uid 437,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "101174,51296,101524,51646" +) +entryActions (MLText +uid 438,0 +va (VaSet +) +xt "101624,51471,101624,51471" +tm "Actions" +) +inActions (MLText +uid 439,0 +va (VaSet +) +xt "101624,51871,112824,57871" +st "ALUSrcA <= \"10\" ; +ALUSrcB <= \"00\" ; +ALUOp <= \"01\" ; +resultSrc <= \"00\" ; +branch <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 440,0 +va (VaSet +) +xt "103224,51471,103224,51471" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 430,0 +ps "CenterOffsetStrategy" +text (MLText +uid 431,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "92887,55665,99587,56865" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (CommentText +uid 451,0 +shape (Rectangle +uid 452,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "5155,35565,8155,37565" +) +oxt "0,0,15000,5000" +text (MLText +uid 453,0 +va (VaSet +fg "0,0,32768" +) +xt "5355,35765,7055,36965" +st " +lw +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 2600 +) +) +*26 (CommentText +uid 454,0 +shape (Rectangle +uid 455,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "14829,35185,17829,37185" +) +oxt "0,0,15000,5000" +text (MLText +uid 456,0 +va (VaSet +fg "0,0,32768" +) +xt "15029,35385,17029,36585" +st " +sw +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 2600 +) +) +*27 (CommentText +uid 467,0 +shape (Rectangle +uid 468,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "29246,44582,34246,46582" +) +oxt "0,0,15000,5000" +text (MLText +uid 469,0 +va (VaSet +fg "0,0,32768" +) +xt "29446,44782,33546,45982" +st " +R-type +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +) +*28 (CommentText +uid 480,0 +shape (Rectangle +uid 481,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "47750,46310,55750,48310" +) +oxt "0,0,15000,5000" +text (MLText +uid 482,0 +va (VaSet +fg "0,0,32768" +) +xt "47950,46510,55050,47710" +st " +I-type ALU +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 7600 +) +) +*29 (CommentText +uid 493,0 +shape (Rectangle +uid 494,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "67766,43718,70766,45718" +) +oxt "0,0,15000,5000" +text (MLText +uid 495,0 +va (VaSet +fg "0,0,32768" +) +xt "67966,43918,69866,45118" +st " +jal +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 2600 +) +) +*30 (CommentText +uid 506,0 +shape (Rectangle +uid 507,0 +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "87998,39830,90998,41830" +) +oxt "0,0,15000,5000" +text (MLText +uid 508,0 +va (VaSet +fg "0,0,32768" +) +xt "88198,40030,90698,41230" +st " +beq +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 2600 +) +) +*31 (State +uid 536,0 +shape (Circle +uid 537,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-9546,67294,2396,79236" +radius 5971 +) +name (Text +uid 538,0 +va (VaSet +font "Verdana,12,1" +) +xt "-8925,72565,1775,73965" +st "s3_MemRead" +ju 0 +blo "-3575,73765" +tm "ONodeName" +) +wait (TextAssociate +uid 539,0 +ps "CenterOffsetStrategy" +text (Text +uid 540,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "-3825,73465,1275,74865" +st "wait 2" +blo "-3825,74665" +tm "SmWaitText" +) +) +encoding (Text +uid 541,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-3575,74365,-3575,74365" +blo "-3575,74365" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 544,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 545,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "3012,72371,14312,74971" +) +autoResize 1 +tline (Line +uid 546,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3112,72271,14212,72271" +pts [ +"3112,72271" +"14212,72271" +] +) +bline (Line +uid 547,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3112,72571,14212,72571" +pts [ +"3112,72571" +"14212,72571" +] +) +ttri (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "2662,71896,3012,72246" +) +btri (Triangle +uid 549,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "2662,70096,3012,70446" +) +entryActions (MLText +uid 550,0 +va (VaSet +) +xt "3112,72071,3112,72071" +tm "Actions" +) +inActions (MLText +uid 551,0 +va (VaSet +) +xt "3112,72471,14212,74871" +st "adrSrc <= '1' ; +resultSrc <= \"00\" ;" +tm "Actions" +) +exitActions (MLText +uid 552,0 +va (VaSet +) +xt "4662,70271,4662,70271" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 542,0 +ps "CenterOffsetStrategy" +text (MLText +uid 543,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-5675,74465,1025,75665" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 553,0 +shape (Circle +uid 554,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-9152,84238,2254,95644" +radius 5703 +) +name (Text +uid 555,0 +va (VaSet +font "Verdana,12,1" +) +xt "-7849,89241,951,90641" +st "s4_MemWB" +ju 0 +blo "-3449,90441" +tm "ONodeName" +) +wait (TextAssociate +uid 556,0 +ps "CenterOffsetStrategy" +text (Text +uid 557,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "-3699,90141,1401,91541" +st "wait 2" +blo "-3699,91341" +tm "SmWaitText" +) +) +encoding (Text +uid 558,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-3449,91041,-3449,91041" +blo "-3449,91041" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 561,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 562,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "3138,89047,14438,91647" +) +autoResize 1 +tline (Line +uid 563,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3238,88947,14338,88947" +pts [ +"3238,88947" +"14338,88947" +] +) +bline (Line +uid 564,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3238,89247,14338,89247" +pts [ +"3238,89247" +"14338,89247" +] +) +ttri (Triangle +uid 565,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "2788,88572,3138,88922" +) +btri (Triangle +uid 566,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "2788,86772,3138,87122" +) +entryActions (MLText +uid 567,0 +va (VaSet +) +xt "3238,88747,3238,88747" +tm "Actions" +) +inActions (MLText +uid 568,0 +va (VaSet +) +xt "3238,89147,14338,91547" +st "resultSrc <= \"01\" ; +regwrite <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 569,0 +va (VaSet +) +xt "4788,86947,4788,86947" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 559,0 +ps "CenterOffsetStrategy" +text (MLText +uid 560,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-5549,91141,1151,92341" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 570,0 +shape (Circle +uid 571,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "14970,83798,27304,96132" +radius 6167 +) +name (Text +uid 572,0 +va (VaSet +font "Verdana,12,1" +) +xt "15587,89265,26687,90665" +st "s5_MemWrite" +ju 0 +blo "21137,90465" +tm "ONodeName" +) +wait (TextAssociate +uid 573,0 +ps "CenterOffsetStrategy" +text (Text +uid 574,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "20887,90165,25987,91565" +st "wait 2" +blo "20887,91365" +tm "SmWaitText" +) +) +encoding (Text +uid 575,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "21137,91065,21137,91065" +blo "21137,91065" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 578,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 579,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "27724,88471,39024,92271" +) +autoResize 1 +tline (Line +uid 580,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27824,88371,38924,88371" +pts [ +"27824,88371" +"38924,88371" +] +) +bline (Line +uid 581,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27824,89571,38924,89571" +pts [ +"27824,89571" +"38924,89571" +] +) +ttri (Triangle +uid 582,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27374,87996,27724,88346" +) +btri (Triangle +uid 583,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "27374,86796,27724,87146" +) +entryActions (MLText +uid 584,0 +va (VaSet +) +xt "27824,88171,27824,88171" +tm "Actions" +) +inActions (MLText +uid 585,0 +va (VaSet +) +xt "27824,88571,38924,92171" +st "adrSrc <= '1' ; +resultSrc <= \"00\" ; +memWrite <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 586,0 +va (VaSet +) +xt "29374,86971,29374,86971" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 576,0 +ps "CenterOffsetStrategy" +text (MLText +uid 577,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "19037,91165,25737,92365" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 587,0 +shape (Circle +uid 588,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "41172,84375,52578,95781" +radius 5703 +) +name (Text +uid 589,0 +va (VaSet +font "Verdana,12,1" +) +xt "42675,89378,51075,90778" +st "s7_ALUWB" +ju 0 +blo "46875,90578" +tm "ONodeName" +) +wait (TextAssociate +uid 590,0 +ps "CenterOffsetStrategy" +text (Text +uid 591,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "46625,90278,51725,91678" +st "wait 2" +blo "46625,91478" +tm "SmWaitText" +) +) +encoding (Text +uid 592,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46875,91178,46875,91178" +blo "46875,91178" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 595,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 596,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "53462,89184,64762,91784" +) +autoResize 1 +tline (Line +uid 597,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53562,89084,64662,89084" +pts [ +"53562,89084" +"64662,89084" +] +) +bline (Line +uid 598,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53562,89384,64662,89384" +pts [ +"53562,89384" +"64662,89384" +] +) +ttri (Triangle +uid 599,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53112,88709,53462,89059" +) +btri (Triangle +uid 600,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "53112,86909,53462,87259" +) +entryActions (MLText +uid 601,0 +va (VaSet +) +xt "53562,88884,53562,88884" +tm "Actions" +) +inActions (MLText +uid 602,0 +va (VaSet +) +xt "53562,89284,64662,91684" +st "resultSrc <= \"00\" ; +regwrite <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 603,0 +va (VaSet +) +xt "55112,87084,55112,87084" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 593,0 +ps "CenterOffsetStrategy" +text (MLText +uid 594,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "44775,91278,51475,92478" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (Link +uid 681,0 +shape (CompositeShape +uid 682,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 683,0 +sl 0 +ro 270 +xt "108001,94171,110251,95171" +) +(Line +uid 684,0 +sl 0 +ro 270 +xt "107501,94671,108001,94671" +pts [ +"107501,94671" +"108001,94671" +] +) +] +) +name (TextAssociate +uid 685,0 +ps "CenterOffsetStrategy" +text (Text +uid 686,0 +va (VaSet +font "Verdana,9,1" +) +xt "110751,94171,115851,95371" +st "s0_Fetch" +blo "110751,95171" +tm "LinkName" +) +) +) +*36 (Link +uid 727,0 +shape (CompositeShape +uid 728,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 729,0 +sl 0 +ro 90 +xt "-12336,41365,-10086,42365" +) +(Line +uid 730,0 +sl 0 +ro 90 +xt "-10086,41865,-9586,41865" +pts [ +"-9586,41865" +"-10086,41865" +] +) +] +) +name (TextAssociate +uid 731,0 +ps "CenterOffsetStrategy" +text (Text +uid 732,0 +va (VaSet +font "Verdana,9,1" +) +xt "-17811,41253,-12711,42453" +st "s0_Fetch" +blo "-17811,42253" +tm "LinkName" +) +) +) +*37 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "-2988,25814,8012,25814" +pts [ +"-2988,25814" +"8012,25814" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '1'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-338,24714,5362,26914" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "162,26314,4862,26314" +pts [ +"162,26314" +"4862,26314" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "162,24714,4862,25914" +st "rst = '1'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "-1788,26714,6812,27914" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-2951,24751,-825,26877" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "-2588,25214,-1188,26414" +st "1" +ju 0 +blo "-1888,26214" +tm "TransitionPriority" +) +padding "100,100" +) +) +*38 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "-3438,20814,8012,20814" +pts [ +"-3438,20814" +"8012,20814" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-863,20309,5437,21319" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2287,21814,2287,21814" +pts [ +"2287,21814" +"2287,21814" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "-363,20214,4937,21414" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "2287,21814,2287,21814" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-3356,19751,-1230,21877" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "-2993,20214,-1593,21414" +st "1" +ju 0 +blo "-2293,21214" +tm "TransitionPriority" +) +padding "100,100" +) +) +*39 (Transition +uid 312,0 +shape (Spline +uid 313,0 +va (VaSet +vasetType 3 +) +xt "45740,24556,45774,29283" +pts [ +"45740,24556" +"45774,29283" +] +arrow 1 +) +start &2 +end &19 +ss 0 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 314,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 315,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43862,25606,49362,27806" +) +autoResize 1 +lineShape (Line +uid 316,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47012,27706,47012,27706" +pts [ +"47012,27706" +"47012,27706" +] +) +condition (MLText +uid 317,0 +va (VaSet +) +xt "44362,26106,48862,27306" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 318,0 +va (VaSet +) +xt "46612,27706,46612,27706" +tm "Actions" +) +) +tp (TransitionPriority +uid 319,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 320,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "44680,23965,46806,26091" +radius 1063 +) +pr (Text +uid 321,0 +va (VaSet +isHidden 1 +) +xt "45043,24428,46443,25628" +st "1" +ju 0 +blo "45743,25428" +tm "TransitionPriority" +) +padding "100,100" +) +) +*40 (Transition +uid 441,0 +shape (Spline +uid 442,0 +va (VaSet +vasetType 3 +) +xt "-938,36027,40207,48659" +pts [ +"40207,36027" +"14702,38822" +"-938,48659" +] +arrow 1 +) +start &19 +end &20 +cond "(op = \"0000011\" OR op = \"0100011\") AND en = '1'" +tb (TransitionBlock +uid 443,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 444,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "612,38061,31012,40261" +) +autoResize 1 +lineShape (Line +uid 445,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "3762,40161,3762,40161" +pts [ +"3762,40161" +"3762,40161" +] +) +condition (MLText +uid 446,0 +va (VaSet +) +xt "1112,38561,30512,39761" +st "(op = \"0000011\" OR op = \"0100011\") AND en = '1'" +tm "Condition" +) +actions (MLText +uid 447,0 +va (VaSet +) +xt "15812,40161,15812,40161" +tm "Actions" +) +) +tp (TransitionPriority +uid 448,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 449,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "34726,35277,36852,37403" +radius 1063 +) +pr (Text +uid 450,0 +va (VaSet +) +xt "35089,35740,36489,36940" +st "1" +ju 0 +blo "35789,36740" +tm "TransitionPriority" +) +padding "100,100" +) +) +*41 (Transition +uid 457,0 +shape (Spline +uid 458,0 +va (VaSet +vasetType 3 +) +xt "22255,38105,41040,48350" +pts [ +"41040,38105" +"26798,44294" +"22255,48350" +] +arrow 1 +) +start &19 +end &21 +cond "op = \"0110011\" AND en = '1'" +tb (TransitionBlock +uid 459,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 460,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "21031,42254,39331,44454" +) +autoResize 1 +lineShape (Line +uid 461,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "24181,44354,24181,44354" +pts [ +"24181,44354" +"24181,44354" +] +) +condition (MLText +uid 462,0 +va (VaSet +) +xt "21531,42754,38831,43954" +st "op = \"0110011\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 463,0 +va (VaSet +) +xt "30181,44354,30181,44354" +tm "Actions" +) +) +tp (TransitionPriority +uid 464,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 465,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "37977,37878,40103,40004" +radius 1063 +) +pr (Text +uid 466,0 +va (VaSet +) +xt "38340,38341,39740,39541" +st "2" +ju 0 +blo "39040,39341" +tm "TransitionPriority" +) +padding "100,100" +) +) +*42 (Transition +uid 470,0 +shape (Spline +uid 471,0 +va (VaSet +vasetType 3 +) +xt "45857,40689,45916,48478" +pts [ +"45857,40689" +"45916,48478" +] +arrow 1 +) +start &19 +end &22 +ss 0 +es 0 +cond "op = \"0010011\" AND en = '1'" +tb (TransitionBlock +uid 472,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 473,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39589,43508,57889,45708" +) +autoResize 1 +lineShape (Line +uid 474,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42739,45608,42739,45608" +pts [ +"42739,45608" +"42739,45608" +] +) +condition (MLText +uid 475,0 +va (VaSet +) +xt "40089,44008,57389,45208" +st "op = \"0010011\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 476,0 +va (VaSet +) +xt "48739,45608,48739,45608" +tm "Actions" +) +) +tp (TransitionPriority +uid 477,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 478,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "44799,40404,46925,42530" +radius 1063 +) +pr (Text +uid 479,0 +va (VaSet +) +xt "45162,40867,46562,42067" +st "3" +ju 0 +blo "45862,41867" +tm "TransitionPriority" +) +padding "100,100" +) +) +*43 (Transition +uid 483,0 +shape (Spline +uid 484,0 +va (VaSet +vasetType 3 +) +xt "50111,38735,70122,48737" +pts [ +"50111,38735" +"60926,41342" +"70122,48737" +] +arrow 1 +) +start &19 +end &23 +cond "op = \"1101111\" AND en = '1'" +tb (TransitionBlock +uid 485,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 486,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "58283,41219,76583,43419" +) +autoResize 1 +lineShape (Line +uid 487,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "61433,43319,61433,43319" +pts [ +"61433,43319" +"61433,43319" +] +) +condition (MLText +uid 488,0 +va (VaSet +) +xt "58783,41719,76083,42919" +st "op = \"1101111\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 489,0 +va (VaSet +) +xt "67433,43319,67433,43319" +tm "Actions" +) +) +tp (TransitionPriority +uid 490,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 491,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51311,38073,53437,40199" +radius 1063 +) +pr (Text +uid 492,0 +va (VaSet +) +xt "51674,38536,53074,39736" +st "4" +ju 0 +blo "52374,39536" +tm "TransitionPriority" +) +padding "100,100" +) +) +*44 (Transition +uid 496,0 +shape (Spline +uid 497,0 +va (VaSet +vasetType 3 +) +xt "51363,36232,93305,49015" +pts [ +"51363,36301" +"79070,37598" +"93305,49015" +] +arrow 1 +) +start &19 +end &24 +cond "op = \"1100011\" AND en = '1'" +tb (TransitionBlock +uid 498,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 499,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "76245,37214,94545,39414" +) +autoResize 1 +lineShape (Line +uid 500,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "79395,39314,79395,39314" +pts [ +"79395,39314" +"79395,39314" +] +) +condition (MLText +uid 501,0 +va (VaSet +) +xt "76745,37714,94045,38914" +st "op = \"1100011\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 502,0 +va (VaSet +) +xt "85395,39314,85395,39314" +tm "Actions" +) +) +tp (TransitionPriority +uid 503,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 504,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "54926,35242,57052,37368" +radius 1063 +) +pr (Text +uid 505,0 +va (VaSet +) +xt "55289,35705,56689,36905" +st "5" +ju 0 +blo "55989,36705" +tm "TransitionPriority" +) +padding "100,100" +) +) +*45 (Transition +uid 509,0 +shape (Spline +uid 510,0 +va (VaSet +vasetType 3 +) +xt "37370,19357,41118,31751" +pts [ +"41118,31751" +"38606,29966" +"37382,25502" +"38102,21254" +"40020,19357" +] +arrow 1 +) +start &19 +end &2 +cond "en = '1'" +tb (TransitionBlock +uid 511,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 512,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "35254,25002,40754,27202" +) +autoResize 1 +lineShape (Line +uid 513,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "38404,27102,38404,27102" +pts [ +"38404,27102" +"38404,27102" +] +) +condition (MLText +uid 514,0 +va (VaSet +) +xt "35754,25502,40254,26702" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 515,0 +va (VaSet +) +xt "38004,27102,38004,27102" +tm "Actions" +) +) +tp (TransitionPriority +uid 516,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 517,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38741,29995,40867,32121" +radius 1063 +) +pr (Text +uid 518,0 +va (VaSet +) +xt "39104,30458,40504,31658" +st "6" +ju 0 +blo "39804,31458" +tm "TransitionPriority" +) +padding "100,100" +) +) +*46 (Transition +uid 604,0 +shape (Spline +uid 605,0 +va (VaSet +vasetType 3 +) +xt "24087,58974,41855,87371" +pts [ +"24087,58974" +"41855,87371" +] +arrow 1 +) +start &21 +end &34 +ss 0 +cond "en = '1'" +tb (TransitionBlock +uid 606,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 607,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "29215,69068,34715,71268" +) +autoResize 1 +lineShape (Line +uid 608,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "32365,71168,32365,71168" +pts [ +"32365,71168" +"32365,71168" +] +) +condition (MLText +uid 609,0 +va (VaSet +) +xt "29715,69568,34215,70768" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 610,0 +va (VaSet +) +xt "31965,71168,31965,71168" +tm "Actions" +) +) +tp (TransitionPriority +uid 611,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 612,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "24800,60750,26926,62876" +radius 1063 +) +pr (Text +uid 613,0 +va (VaSet +isHidden 1 +) +xt "25163,61213,26563,62413" +st "1" +ju 0 +blo "25863,62213" +tm "TransitionPriority" +) +padding "100,100" +) +) +*47 (Transition +uid 614,0 +shape (Spline +uid 615,0 +va (VaSet +vasetType 3 +) +xt "46335,59871,46730,84377" +pts [ +"46335,59871" +"46730,84377" +] +arrow 1 +) +start &22 +end &34 +cond "en = '1'" +tb (TransitionBlock +uid 616,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 617,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "43591,68949,49091,71149" +) +autoResize 1 +lineShape (Line +uid 618,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "46741,71049,46741,71049" +pts [ +"46741,71049" +"46741,71049" +] +) +condition (MLText +uid 619,0 +va (VaSet +) +xt "44091,69449,48591,70649" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 620,0 +va (VaSet +) +xt "46341,71049,46341,71049" +tm "Actions" +) +) +tp (TransitionPriority +uid 621,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 622,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "45311,61257,47437,63383" +radius 1063 +) +pr (Text +uid 623,0 +va (VaSet +isHidden 1 +) +xt "45674,61720,47074,62920" +st "1" +ju 0 +blo "46374,62720" +tm "TransitionPriority" +) +padding "100,100" +) +) +*48 (Transition +uid 624,0 +shape (Spline +uid 625,0 +va (VaSet +vasetType 3 +) +xt "51860,60089,70479,87309" +pts [ +"70479,60089" +"51860,87309" +] +arrow 1 +) +start &23 +end &34 +cond "en = '1'" +tb (TransitionBlock +uid 626,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 627,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "60552,69594,66052,71794" +) +autoResize 1 +lineShape (Line +uid 628,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63702,71694,63702,71694" +pts [ +"63702,71694" +"63702,71694" +] +) +condition (MLText +uid 629,0 +va (VaSet +) +xt "61052,70094,65552,71294" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 630,0 +va (VaSet +) +xt "63302,71694,63302,71694" +tm "Actions" +) +) +tp (TransitionPriority +uid 631,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 632,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "67554,61747,69680,63873" +radius 1063 +) +pr (Text +uid 633,0 +va (VaSet +isHidden 1 +) +xt "67917,62210,69317,63410" +st "1" +ju 0 +blo "68617,63210" +tm "TransitionPriority" +) +padding "100,100" +) +) +*49 (Transition +uid 634,0 +shape (Spline +uid 635,0 +va (VaSet +vasetType 3 +) +xt "-3530,79236,-3492,84238" +pts [ +"-3530,79236" +"-3492,84238" +] +arrow 1 +) +start &31 +end &32 +ss 0 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 636,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 637,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-6104,80423,-604,82623" +) +autoResize 1 +lineShape (Line +uid 638,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-2954,82523,-2954,82523" +pts [ +"-2954,82523" +"-2954,82523" +] +) +condition (MLText +uid 639,0 +va (VaSet +) +xt "-5604,80923,-1104,82123" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 640,0 +va (VaSet +) +xt "-3354,82523,-3354,82523" +tm "Actions" +) +) +tp (TransitionPriority +uid 641,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 642,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-4589,78673,-2463,80799" +radius 1063 +) +pr (Text +uid 643,0 +va (VaSet +isHidden 1 +) +xt "-4226,79136,-2826,80336" +st "1" +ju 0 +blo "-3526,80136" +tm "TransitionPriority" +) +padding "100,100" +) +) +*50 (Transition +uid 644,0 +shape (Spline +uid 645,0 +va (VaSet +vasetType 3 +) +xt "-3443,59618,-3272,67296" +pts [ +"-3272,59618" +"-3443,67296" +] +arrow 1 +) +start &20 +end &31 +ss 0 +es 0 +cond "op = \"0000011\" AND en = '1'" +tb (TransitionBlock +uid 646,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 647,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-11404,63186,6896,65386" +) +autoResize 1 +lineShape (Line +uid 648,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-8254,65286,-8254,65286" +pts [ +"-8254,65286" +"-8254,65286" +] +) +condition (MLText +uid 649,0 +va (VaSet +) +xt "-10904,63686,6396,64886" +st "op = \"0000011\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 650,0 +va (VaSet +) +xt "-2254,65286,-2254,65286" +tm "Actions" +) +) +tp (TransitionPriority +uid 651,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 652,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-4352,59321,-2226,61447" +radius 1063 +) +pr (Text +uid 653,0 +va (VaSet +) +xt "-3989,59784,-2589,60984" +st "1" +ju 0 +blo "-3289,60784" +tm "TransitionPriority" +) +padding "100,100" +) +) +*51 (Transition +uid 654,0 +shape (Spline +uid 655,0 +va (VaSet +vasetType 3 +) +xt "705,58124,20550,83826" +pts [ +"705,58124" +"15476,70146" +"20550,83826" +] +arrow 1 +) +start &20 +end &33 +cond "op = \"0100011\" AND en = '1'" +tb (TransitionBlock +uid 656,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 657,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7651,68963,25951,71163" +) +autoResize 1 +lineShape (Line +uid 658,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10801,71063,10801,71063" +pts [ +"10801,71063" +"10801,71063" +] +) +condition (MLText +uid 659,0 +va (VaSet +) +xt "8151,69463,25451,70663" +st "op = \"0100011\" AND en = '1'" +tm "Condition" +) +actions (MLText +uid 660,0 +va (VaSet +) +xt "16801,71063,16801,71063" +tm "Actions" +) +) +tp (TransitionPriority +uid 661,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 662,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "2361,59066,4487,61192" +radius 1063 +) +pr (Text +uid 663,0 +va (VaSet +) +xt "2724,59529,4124,60729" +st "2" +ju 0 +blo "3424,60529" +tm "TransitionPriority" +) +padding "100,100" +) +) +*52 (Transition +uid 687,0 +shape (Spline +uid 688,0 +va (VaSet +vasetType 3 +) +xt "50279,94654,107501,94671" +pts [ +"50279,94654" +"107501,94671" +] +) +start &34 +end &35 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 689,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 690,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "64757,93449,70257,95649" +) +autoResize 1 +lineShape (Line +uid 691,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67907,95549,67907,95549" +pts [ +"67907,95549" +"67907,95549" +] +) +condition (MLText +uid 692,0 +va (VaSet +) +xt "65257,93949,69757,95149" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 693,0 +va (VaSet +) +xt "67507,95549,67507,95549" +tm "Actions" +) +) +tp (TransitionPriority +uid 694,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 695,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "54938,93592,57064,95718" +radius 1063 +) +pr (Text +uid 696,0 +va (VaSet +isHidden 1 +) +xt "55301,94055,56701,95255" +st "1" +ju 0 +blo "56001,95055" +tm "TransitionPriority" +) +padding "100,100" +) +) +*53 (Transition +uid 697,0 +shape (Spline +uid 698,0 +va (VaSet +vasetType 3 +) +xt "95129,60166,107501,94671" +pts [ +"95129,60166" +"97039,90171" +"107501,94671" +] +) +start &24 +end &35 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 699,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 700,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "92702,70834,98202,73034" +) +autoResize 1 +lineShape (Line +uid 701,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "95852,72934,95852,72934" +pts [ +"95852,72934" +"95852,72934" +] +) +condition (MLText +uid 702,0 +va (VaSet +) +xt "93202,71334,97702,72534" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 703,0 +va (VaSet +) +xt "95452,72934,95452,72934" +tm "Actions" +) +) +tp (TransitionPriority +uid 704,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 705,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94184,63343,96310,65469" +radius 1063 +) +pr (Text +uid 706,0 +va (VaSet +isHidden 1 +) +xt "94547,63806,95947,65006" +st "1" +ju 0 +blo "95247,64806" +tm "TransitionPriority" +) +padding "100,100" +) +) +*54 (Transition +uid 707,0 +shape (Spline +uid 708,0 +va (VaSet +vasetType 3 +) +xt "22913,94671,107501,97278" +pts [ +"22913,95871" +"47089,97259" +"107501,94671" +] +) +start &33 +end &35 +cond "en = '1'" +tb (TransitionBlock +uid 709,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 710,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44496,96526,49996,98726" +) +autoResize 1 +lineShape (Line +uid 711,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47646,98626,47646,98626" +pts [ +"47646,98626" +"47646,98626" +] +) +condition (MLText +uid 712,0 +va (VaSet +) +xt "44996,97026,49496,98226" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 713,0 +va (VaSet +) +xt "47246,98626,47246,98626" +tm "Actions" +) +) +tp (TransitionPriority +uid 714,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 715,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30279,95673,32405,97799" +radius 1063 +) +pr (Text +uid 716,0 +va (VaSet +isHidden 1 +) +xt "30642,96136,32042,97336" +st "1" +ju 0 +blo "31342,97136" +tm "TransitionPriority" +) +padding "100,100" +) +) +*55 (Transition +uid 717,0 +shape (Spline +uid 718,0 +va (VaSet +vasetType 3 +) +xt "-3301,94671,107501,99287" +pts [ +"-3301,95642" +"46076,99284" +"107501,94671" +] +) +start &32 +end &35 +cond "en = '1'" +tb (TransitionBlock +uid 719,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 720,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "37785,98202,43285,100402" +) +autoResize 1 +lineShape (Line +uid 721,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40935,100302,40935,100302" +pts [ +"40935,100302" +"40935,100302" +] +) +condition (MLText +uid 722,0 +va (VaSet +) +xt "38285,98702,42785,99902" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 723,0 +va (VaSet +) +xt "40535,100302,40535,100302" +tm "Actions" +) +) +tp (TransitionPriority +uid 724,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 725,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "6696,95690,8822,97816" +radius 1063 +) +pr (Text +uid 726,0 +va (VaSet +isHidden 1 +) +xt "7059,96153,8459,97353" +st "1" +ju 0 +blo "7759,97153" +tm "TransitionPriority" +) +padding "100,100" +) +) +*56 (Transition +uid 733,0 +shape (Spline +uid 734,0 +va (VaSet +vasetType 3 +) +xt "-9586,41865,-6248,49132" +pts [ +"-6248,49132" +"-9586,41865" +] +) +start &20 +end &36 +ss 0 +es 0 +cond "en = '1'" +tb (TransitionBlock +uid 735,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 736,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-10277,44533,-4777,46733" +) +autoResize 1 +lineShape (Line +uid 737,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "-7127,46633,-7127,46633" +pts [ +"-7127,46633" +"-7127,46633" +] +) +condition (MLText +uid 738,0 +va (VaSet +) +xt "-9777,45033,-5277,46233" +st "en = '1'" +tm "Condition" +) +actions (MLText +uid 739,0 +va (VaSet +) +xt "-7527,46633,-7527,46633" +tm "Actions" +) +) +tp (TransitionPriority +uid 740,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 741,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-7644,47342,-5518,49468" +radius 1063 +) +pr (Text +uid 742,0 +va (VaSet +) +xt "-7281,47805,-5881,49005" +st "3" +ju 0 +blo "-6581,48805" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *57 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "-232,3465,7368,4665" +st "Package List" +blo "-232,4465" +) +*59 (MLText +uid 33,0 +va (VaSet +) +xt "-232,4665,17268,10665" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*61 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*62 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*63 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*64 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*65 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*66 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-19978,-4329,177488,102960" +cachedDiagramExtent "-17811,-2010,131050,100402" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-73000,-51000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *67 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*69 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*70 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*71 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*72 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*73 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *74 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*76 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*77 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*78 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*79 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *80 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*82 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*83 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*84 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*85 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *86 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *87 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,72900,9000" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +ALUOp OUT \"00\" COMB +ALUSrcA OUT \"00\" COMB +ALUSrcB OUT \"00\" COMB +IRWrite OUT '0' COMB +PCupdate OUT '0' COMB +adrSrc OUT '0' COMB +branch OUT '0' COMB +memWrite OUT '0' COMB +regwrite OUT '0' COMB +resultSrc OUT \"00\" COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *88 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&31 +&32 +&33 +&34 +] +name "csm" +) +] +lastUid 959,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *89 (LEmptyRow +) +uid 184,0 +optionalChildren [ +*90 (RefLabelRowHdr +) +*91 (TitleRowHdr +) +*92 (FilterRowHdr +) +*93 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*94 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*95 (GroupColHdr +tm "GroupColHdrMgr" +) +*96 (NameColHdr +tm "SmNameColHdrMgr" +) +*97 (ModeColHdr +tm "SmModeColHdrMgr" +) +*98 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*99 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*100 (InitColHdr +tm "SmInitColHdrMgr" +) +*101 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*102 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*103 (ColumnHdr +tm "SmExprColHdrMgr" +) +*104 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*105 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*106 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*107 (EolColHdr +tm "SmEolColHdrMgr" +) +*108 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +) +) +uid 156,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*109 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +) +) +uid 158,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +) +) +uid 160,0 +cat 9 +expr "rst = '1'" +) +*111 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 5 +) +) +uid 162,0 +scheme 0 +defVal "\"00\"" +) +*112 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 6 +) +) +uid 164,0 +scheme 0 +defVal "\"00\"" +) +*113 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 7 +) +) +uid 166,0 +scheme 0 +defVal "\"00\"" +) +*114 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 8 +) +) +uid 168,0 +scheme 0 +defVal "'0'" +) +*115 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 9 +) +) +uid 170,0 +scheme 0 +defVal "'0'" +) +*116 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 10 +) +) +uid 172,0 +scheme 0 +defVal "'0'" +) +*117 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 11 +) +) +uid 174,0 +scheme 0 +defVal "'0'" +) +*118 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 12 +) +) +uid 176,0 +scheme 0 +defVal "'0'" +) +*119 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 13 +) +) +uid 178,0 +scheme 0 +defVal "'0'" +) +*120 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +) +) +uid 180,0 +scheme 0 +defVal "\"00\"" +) +*121 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +) +) +uid 832,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 203,0 +optionalChildren [ +*122 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *123 (MRCItem +litem &89 +pos 14 +dimension 20 +) +uid 205,0 +optionalChildren [ +*124 (MRCItem +litem &90 +pos 0 +dimension 20 +uid 206,0 +) +*125 (MRCItem +litem &91 +pos 1 +dimension 23 +uid 207,0 +) +*126 (MRCItem +litem &92 +pos 2 +hidden 1 +dimension 20 +uid 208,0 +) +*127 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 157,0 +) +*128 (MRCItem +litem &109 +pos 1 +dimension 20 +uid 159,0 +) +*129 (MRCItem +litem &110 +pos 2 +dimension 20 +uid 161,0 +) +*130 (MRCItem +litem &111 +pos 3 +dimension 20 +uid 163,0 +) +*131 (MRCItem +litem &112 +pos 4 +dimension 20 +uid 165,0 +) +*132 (MRCItem +litem &113 +pos 5 +dimension 20 +uid 167,0 +) +*133 (MRCItem +litem &114 +pos 6 +dimension 20 +uid 169,0 +) +*134 (MRCItem +litem &115 +pos 7 +dimension 20 +uid 171,0 +) +*135 (MRCItem +litem &116 +pos 8 +dimension 20 +uid 173,0 +) +*136 (MRCItem +litem &117 +pos 9 +dimension 20 +uid 175,0 +) +*137 (MRCItem +litem &118 +pos 10 +dimension 20 +uid 177,0 +) +*138 (MRCItem +litem &119 +pos 11 +dimension 20 +uid 179,0 +) +*139 (MRCItem +litem &120 +pos 12 +dimension 20 +uid 181,0 +) +*140 (MRCItem +litem &121 +pos 13 +dimension 20 +uid 831,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 209,0 +optionalChildren [ +*141 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 210,0 +) +*142 (MRCItem +litem &95 +pos 1 +dimension 50 +uid 211,0 +) +*143 (MRCItem +litem &96 +pos 2 +dimension 70 +uid 212,0 +) +*144 (MRCItem +litem &97 +pos 3 +dimension 50 +uid 213,0 +) +*145 (MRCItem +litem &98 +pos 4 +dimension 80 +uid 214,0 +) +*146 (MRCItem +litem &99 +pos 5 +dimension 80 +uid 215,0 +) +*147 (MRCItem +litem &100 +pos 6 +dimension 40 +uid 216,0 +) +*148 (MRCItem +litem &101 +pos 7 +dimension 100 +uid 217,0 +) +*149 (MRCItem +litem &102 +pos 8 +dimension 60 +uid 218,0 +) +*150 (MRCItem +litem &103 +pos 9 +dimension 130 +uid 219,0 +) +*151 (MRCItem +litem &104 +pos 10 +dimension 56 +uid 220,0 +) +*152 (MRCItem +litem &105 +pos 11 +dimension 50 +uid 221,0 +) +*153 (MRCItem +litem &106 +pos 12 +dimension 50 +uid 222,0 +) +*154 (MRCItem +litem &107 +pos 13 +dimension 80 +uid 223,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 204,0 +vaOverrides [ +] +) +] +) +uid 183,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *155 (LEmptyRow +) +uid 225,0 +optionalChildren [ +*156 (RefLabelRowHdr +) +*157 (TitleRowHdr +) +*158 (FilterRowHdr +) +*159 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*160 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*161 (GroupColHdr +tm "GroupColHdrMgr" +) +*162 (NameColHdr +tm "GenericNameColHdrMgr" +) +*163 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*164 (InitColHdr +tm "GenericValueColHdrMgr" +) +*165 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*166 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 237,0 +optionalChildren [ +*167 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *168 (MRCItem +litem &155 +pos 0 +dimension 20 +) +uid 239,0 +optionalChildren [ +*169 (MRCItem +litem &156 +pos 0 +dimension 20 +uid 240,0 +) +*170 (MRCItem +litem &157 +pos 1 +dimension 23 +uid 241,0 +) +*171 (MRCItem +litem &158 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 243,0 +optionalChildren [ +*172 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 244,0 +) +*173 (MRCItem +litem &161 +pos 1 +dimension 50 +uid 245,0 +) +*174 (MRCItem +litem &162 +pos 2 +dimension 100 +uid 246,0 +) +*175 (MRCItem +litem &163 +pos 3 +dimension 100 +uid 247,0 +) +*176 (MRCItem +litem &164 +pos 4 +dimension 50 +uid 248,0 +) +*177 (MRCItem +litem &165 +pos 5 +dimension 50 +uid 249,0 +) +*178 (MRCItem +litem &166 +pos 6 +dimension 80 +uid 250,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 238,0 +vaOverrides [ +] +) +] +) +uid 224,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/symbol.sb b/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/symbol.sb new file mode 100644 index 0000000..0063884 --- /dev/null +++ b/Libs/RiscV/HEIRV32/MultiCycle/hds/main@f@s@m/symbol.sb @@ -0,0 +1,2194 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 144,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 10 +suid 1,0 +) +) +uid 116,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 5 +suid 2,0 +) +) +uid 118,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 120,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 122,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 11 +suid 5,0 +) +) +uid 124,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 6,0 +) +) +uid 126,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 8 +suid 7,0 +) +) +uid 128,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 12 +suid 8,0 +) +) +uid 130,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 132,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 9 +suid 10,0 +) +) +uid 134,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 13 +suid 11,0 +) +) +uid 136,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 12,0 +) +) +uid 138,0 +) +*26 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 13,0 +) +) +uid 140,0 +) +*27 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 337,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 159,0 +optionalChildren [ +*30 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 160,0 +) +*31 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 161,0 +) +*32 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*33 (MRCItem +litem &14 +pos 8 +dimension 20 +uid 117,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 20 +uid 119,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 20 +uid 121,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 20 +uid 123,0 +) +*37 (MRCItem +litem &18 +pos 9 +dimension 20 +uid 125,0 +) +*38 (MRCItem +litem &19 +pos 0 +dimension 20 +uid 127,0 +) +*39 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 129,0 +) +*40 (MRCItem +litem &21 +pos 10 +dimension 20 +uid 131,0 +) +*41 (MRCItem +litem &22 +pos 1 +dimension 20 +uid 133,0 +) +*42 (MRCItem +litem &23 +pos 7 +dimension 20 +uid 135,0 +) +*43 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 137,0 +) +*44 (MRCItem +litem &25 +pos 12 +dimension 20 +uid 139,0 +) +*45 (MRCItem +litem &26 +pos 2 +dimension 20 +uid 141,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 338,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*47 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 164,0 +) +*48 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 165,0 +) +*49 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 166,0 +) +*50 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 167,0 +) +*51 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 168,0 +) +*52 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 169,0 +) +*53 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 170,0 +) +*54 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 171,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 143,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 185,0 +optionalChildren [ +*67 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *68 (MRCItem +litem &55 +pos 0 +dimension 20 +) +uid 187,0 +optionalChildren [ +*69 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 188,0 +) +*70 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 189,0 +) +*71 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 191,0 +optionalChildren [ +*72 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 192,0 +) +*73 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 193,0 +) +*74 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 194,0 +) +*75 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 195,0 +) +*76 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 196,0 +) +*77 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 197,0 +) +*78 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 198,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 186,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m" +) +(vvPair +variable "d_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mainFSM" +) +(vvPair +variable "date" +value "18.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "entity_name" +value "mainFSM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "18.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332101" +) +(vvPair +variable "graphical_source_time" +value "09:29:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332101" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "mainFSM" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\main@f@s@m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mainFSM\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "09:29:07" +) +(vvPair +variable "unit" +value "mainFSM" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 142,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,22625,25750,23375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "19200,22300,24000,23700" +st "adrSrc" +ju 2 +blo "24000,23500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,61500,10400" +st "adrSrc : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "adrSrc" +t "std_uLogic" +o 10 +suid 1,0 +) +) +) +*81 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,26000,17375,26750" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,20000,17700,25000" +st "ALUOp" +blo "17500,25000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,71500,6400" +st "ALUOp : OUT std_ulogic_vector (1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 5 +suid 2,0 +) +) +) +*82 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,20625,25750,21375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "18100,20300,24000,21700" +st "ALUSrcA" +ju 2 +blo "24000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,71500,7200" +st "ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcA" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*83 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,18625,25750,19375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "18100,18300,24000,19700" +st "ALUSrcB" +ju 2 +blo "24000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,71500,8000" +st "ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrcB" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*84 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,6625,25750,7375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "18900,6300,24000,7700" +st "branch" +ju 2 +blo "24000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,61500,11200" +st "branch : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 11 +suid 5,0 +) +) +) +*85 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "11250,20625,12000,21375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,20300,15400,21700" +st "clk" +blo "13000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "clk : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*86 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,14625,25750,15375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "18500,14300,24000,15700" +st "IRWrite" +ju 2 +blo "24000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,61500,8800" +st "IRWrite : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "IRWrite" +t "std_ulogic" +o 8 +suid 7,0 +) +) +) +*87 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,12625,25750,13375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,12,0" +) +xt "16800,12300,24000,13700" +st "memWrite" +ju 2 +blo "24000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11200,61500,12000" +st "memWrite : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 12 +suid 8,0 +) +) +) +*88 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "11250,9625,12000,10375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,9300,15400,10700" +st "op" +blo "13000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,71500,4800" +st "op : IN std_ulogic_vector (6 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*89 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,8625,25750,9375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,8300,24000,9700" +st "PCupdate" +ju 2 +blo "24000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,61500,9600" +st "PCupdate : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "PCupdate" +t "std_uLogic" +o 9 +suid 10,0 +) +) +) +*90 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,10625,25750,11375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,12,0" +) +xt "17900,10300,24000,11700" +st "regwrite" +ju 2 +blo "24000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12000,61500,12800" +st "regwrite : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 13 +suid 11,0 +) +) +) +*91 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25000,16625,25750,17375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +font "Verdana,12,0" +) +xt "17700,16300,24000,17700" +st "resultSrc" +ju 2 +blo "24000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12800,70500,13600" +st "resultSrc : OUT std_ulogic_vector (1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 14 +suid 12,0 +) +) +) +*92 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "11250,22625,12000,23375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 114,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,22300,15500,23700" +st "rst" +blo "13000,23500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "rst : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 13,0 +) +) +) +*93 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "11250,18625,12000,19375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +font "Verdana,12,0" +) +xt "13000,18300,15400,19700" +st "en" +blo "13000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "12000,6000,25000,26000" +) +oxt "15000,6000,23000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "14500,800,19500,2000" +st "HEIRV32" +blo "14500,1800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "14500,2000,19500,3200" +st "mainFSM" +blo "14500,3000" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "14000,4200,25500,5000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1017,690" +viewArea "-11900,-400,33862,29064" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,13600,45200,14800" +st "User:" +blo "42000,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,14800,44000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 366,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hdl/dataMemory_rtl.vhd b/Libs/RiscV/HEIRV32/SingleCycle/hdl/dataMemory_rtl.vhd new file mode 100644 index 0000000..7db5dc7 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hdl/dataMemory_rtl.vhd @@ -0,0 +1,32 @@ + +ARCHITECTURE rtl OF dataMemory IS + + -- Bank of data + type t_dataBank is array (0 to (2**g_memoryNbBits)-1) of + std_ulogic_vector(g_dataWidth-1 downto 0); + -- A bank of data + signal larr_data: t_dataBank; + +BEGIN + + process(rst, clk) + begin + if rst = '1' then + larr_data <= (others => (others => '0')) after g_tMemWr; + elsif rising_edge(clk) then + if en = '1' and writeEn = '1' then + -- skip the two last bits (since we do only +4) + larr_data(to_integer(unsigned( + address(g_memoryNbBits+1 downto 2) + ))) <= writeData after (g_tMemWr + g_tSetup); + end if; + end if; + end process; + + -- Comb. read + -- skip the two last bits (since we do only +4) + readData <= larr_data(to_integer(unsigned( + address(g_memoryNbBits+1 downto 2) + ))) after g_tMemRd; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_bin.vhd b/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_bin.vhd new file mode 100644 index 0000000..be4dcc9 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_bin.vhd @@ -0,0 +1,34 @@ +USE std.textio.all; + +ARCHITECTURE bin OF instrMemory IS + + -- Instructions type + type t_instrBank is array (g_memoryNbBits-1 downto 0) of + std_ulogic_vector(g_dataWidth-1 downto 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return t_instrBank is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : t_instrBank; + begin + for i in t_instrBank'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Program + constant larr_instr : t_instrBank := ReadRamContentFromFile(g_programFile); + +BEGIN + + -- Comb. read + process(PC) + begin + -- skip the two last bits (since we do only +4) + instruction <= larr_instr(to_integer(PC(g_memoryNbBits+1 downto 2))); + end process; + +END ARCHITECTURE bin; diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_hex.vhd b/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_hex.vhd new file mode 100644 index 0000000..269f7fe --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hdl/instrMemory_hex.vhd @@ -0,0 +1,36 @@ +library ieee; + use std.textio.all; + use ieee.std_logic_textio.all; + +ARCHITECTURE hex OF instrMemory IS + + -- Instructions type + type t_instrBank is array (0 to (2**g_memoryNbBits)-1) of + std_ulogic_vector(g_dataWidth-1 downto 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return t_instrBank is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : t_instrBank; + begin + for i in t_instrBank'range loop + readline(ramContentFile, ramContentFileLine); + HREAD(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Program + constant larr_instr : t_instrBank := ReadRamContentFromFile(g_programFile); + +BEGIN + + -- Comb. read + process(PC) + begin + -- skip the two last bits (since we do only +4) + instruction <= larr_instr(to_integer(PC(g_memoryNbBits+1 downto 2))) after g_tMemRd; + end process; + +END ARCHITECTURE hex; diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd b/Libs/RiscV/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd new file mode 100644 index 0000000..36ca583 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hdl/mainDecoder_rtl.vhd @@ -0,0 +1,24 @@ + +ARCHITECTURE rtl OF mainDecoder IS + + signal lvec_controls : std_ulogic_vector(10 downto 0); + +BEGIN + + process(op) + begin + case op is + when "0000011" => lvec_controls <= "10010010000"; -- lw + when "0100011" => lvec_controls <= "00111000000"; -- sw + when "0110011" => lvec_controls <= "1--00000100"; -- R-type + when "1100011" => lvec_controls <= "01000001010"; -- beq + when "0010011" => lvec_controls <= "10010000100"; -- I-type ALU + when "1101111" => lvec_controls <= "11100100001"; -- jal + when others => lvec_controls <= "-----------"; -- not valid + end case; + end process; + + (regwrite, immSrc(1), immSrc(0), ALUSrc, memWrite, resultSrc(1), resultSrc(0), + branch, ALUOp(1), ALUOp(0), jump) <= lvec_controls after g_tDec; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_controlunit_masterversion.vhg._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_controlunit_masterversion.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_controlunit_masterversion.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_dataMemory_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_dataMemory_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_dataMemory_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_bin.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_bin.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_bin.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_hex.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_hex.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_hex.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_instrMemory_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_mainDecoder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_mainDecoder_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_mainDecoder_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_rtl_instrMemory.vhd._fpf b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_rtl_instrMemory.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/.hdlsidedata/_rtl_instrMemory.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_bin._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_bin._epf new file mode 100644 index 0000000..d9dd11a --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_bin._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rtl_instrMemory.vhd +DEFAULT_ARCHITECTURE atom instrMemory diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_controlunit._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_controlunit._epf new file mode 100644 index 0000000..81ce754 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_controlunit._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom masterVersion +DEFAULT_FILE atom control@unit/master@version.bd diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_datamemory._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_datamemory._epf new file mode 100644 index 0000000..c6adbf3 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_datamemory._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom dataMemory_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_heirv32_sc._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_heirv32_sc._epf new file mode 100644 index 0000000..fda94d1 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_heirv32_sc._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_FILE atom heirv32_sc/struct.bd +DEFAULT_ARCHITECTURE atom struct diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_instrmemory._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_instrmemory._epf new file mode 100644 index 0000000..66e01ad --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_instrmemory._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom hex +DEFAULT_FILE atom instrMemory_hex.vhd diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/_maindecoder._epf b/Libs/RiscV/HEIRV32/SingleCycle/hds/_maindecoder._epf new file mode 100644 index 0000000..64bd71c --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/_maindecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom mainDecoder_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/bin/interface b/Libs/RiscV/HEIRV32/SingleCycle/hds/bin/interface new file mode 100644 index 0000000..d645f6c --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/bin/interface @@ -0,0 +1,1329 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 1,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 60,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 32 +suid 1,0 +) +) +uid 56,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 73,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 75,0 +optionalChildren [ +*17 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 76,0 +) +*18 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 77,0 +) +*19 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 78,0 +) +*20 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 57,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 79,0 +optionalChildren [ +*21 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 80,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 81,0 +) +*23 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 82,0 +) +*24 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 83,0 +) +*25 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 84,0 +) +*26 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 85,0 +) +*27 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 86,0 +) +*28 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 87,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 74,0 +vaOverrides [ +] +) +] +) +uid 59,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 89,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*41 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *42 (MRCItem +litem &29 +pos 3 +dimension 20 +) +uid 103,0 +optionalChildren [ +*43 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 104,0 +) +*44 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 105,0 +) +*45 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*46 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 108,0 +) +*47 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 109,0 +) +*48 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 110,0 +) +*49 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 111,0 +) +*50 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 112,0 +) +*51 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 113,0 +) +*52 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 114,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 88,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "rtl" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "13:14:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rtl" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\rtl\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:14:50" +) +(vvPair +variable "unit" +value "rtl" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 58,0 +optionalChildren [ +*53 (SymbolBody +uid 8,0 +optionalChildren [ +*54 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,18500,11700" +st "PC" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,67500,3200" +st "PC : IN unsigned (g_bitNb - 1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 32 +suid 1,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15300,9800,22700,11000" +st "HEIRV32_SC" +blo "15300,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15300,11000,17100,12200" +st "rtl" +blo "15300,12000" +) +) +gi *55 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*56 (Grouping +uid 16,0 +optionalChildren [ +*57 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *67 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*69 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_SC" +entityName "heirv32_sc" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *70 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *71 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,3200,45200,4400" +st "User:" +blo "42000,4200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,44000,4400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 114,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/master@version.bd b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/master@version.bd new file mode 100644 index 0000000..62e6ff5 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/master@version.bd @@ -0,0 +1,4969 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_1" +duLibraryName "gates" +duName "and2" +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +] +mwi 0 +uid 645,0 +) +(Instance +name "U_0" +duLibraryName "gates" +duName "or2" +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +] +mwi 0 +uid 667,0 +) +(Instance +name "U_mainDecoder" +duLibraryName "HEIRV32_SC" +duName "mainDecoder" +elements [ +(GiElement +name "g_tDec" +type "time" +value "g_tDec" +) +] +mwi 0 +uid 1321,0 +) +(Instance +name "U_aluDecoder" +duLibraryName "HEIRV32" +duName "aluDecoder" +elements [ +(GiElement +name "g_tDec" +type "time" +value "g_tDec" +) +] +mwi 0 +uid 1351,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\master@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\master@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "masterVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "controlUnit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "master@version.bd" +) +(vvPair +variable "f_logical" +value "masterVersion.bd" +) +(vvPair +variable "f_noext" +value "master@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:25:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "controlUnit" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\master@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit\\masterVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "master@version" +) +(vvPair +variable "this_file_logical" +value "masterVersion" +) +(vvPair +variable "time" +value "11:25:12" +) +(vvPair +variable "unit" +value "controlUnit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "masterVersion" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 276,0 +optionalChildren [ +*1 (PortIoOut +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "40500,41625,42000,42375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "40000,42000,40500,42000" +pts [ +"40000,42000" +"40500,42000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "43000,41400,47600,42600" +st "ALUSrc" +blo "43000,42400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 35,0 +lang 11 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35000,7200" +st "ALUSrc : std_uLogic" +) +) +*3 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "40500,43625,42000,44375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "40000,44000,40500,44000" +pts [ +"40000,44000" +"40500,44000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "43000,43400,47500,44600" +st "immSrc" +blo "43000,44400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 105,0 +lang 11 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,45000,8800" +st "immSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "40500,39625,42000,40375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "40000,40000,40500,40000" +pts [ +"40000,40000" +"40500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "43000,39400,48700,40600" +st "memWrite" +blo "43000,40400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 119,0 +lang 11 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8800,35000,9600" +st "memWrite : std_ulogic" +) +) +*7 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "56500,30625,58000,31375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "56000,31000,56500,31000" +pts [ +"56000,31000" +"56500,31000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +) +xt "59000,30400,62900,31600" +st "PCSrc" +blo "59000,31400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 147,0 +lang 11 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35000,8000" +st "PCSrc : std_uLogic" +) +) +*9 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "40500,45625,42000,46375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "40000,46000,40500,46000" +pts [ +"40000,46000" +"40500,46000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +) +xt "43000,45400,47700,46600" +st "regwrite" +blo "43000,46400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 161,0 +lang 11 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9600,35000,10400" +st "regwrite : std_ulogic" +) +) +*11 (PortIoOut +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "40500,37625,42000,38375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "40000,38000,40500,38000" +pts [ +"40000,38000" +"40500,38000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +) +xt "43000,37400,48300,38600" +st "resultSrc" +blo "43000,38400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 175,0 +lang 11 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 11 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10400,45000,11200" +st "resultSrc : std_ulogic_vector(1 downto 0)" +) +) +*13 (Grouping +uid 233,0 +optionalChildren [ +*14 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,4000,70000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,4000,64700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,0,74000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 240,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "70200,0,73200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,2000,70000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,2000,63200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,2000,53000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,2000,51300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,1000,90000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "70200,1200,79600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 250,0 +shape (Rectangle +uid 251,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,0,90000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 252,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,0,75800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 253,0 +shape (Rectangle +uid 254,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,0,70000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 255,0 +va (VaSet +fg "32768,0,0" +) +xt "54350,400,64650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 256,0 +shape (Rectangle +uid 257,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,3000,53000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 258,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,3000,51300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 259,0 +shape (Rectangle +uid 260,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,4000,53000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 261,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,4000,51900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 262,0 +shape (Rectangle +uid 263,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,3000,70000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 264,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,3000,68200,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 234,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "49000,0,90000,5000" +) +oxt "14000,66000,55000,71000" +) +*24 (PortIoIn +uid 435,0 +shape (CompositeShape +uid 436,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 437,0 +sl 0 +ro 270 +xt "4000,35625,5500,36375" +) +(Line +uid 438,0 +sl 0 +ro 270 +xt "5500,36000,6000,36000" +pts [ +"5500,36000" +"6000,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 439,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 440,0 +va (VaSet +) +xt "1100,35400,3000,36600" +st "op" +ju 2 +blo "3000,36400" +tm "WireNameMgr" +) +) +) +*25 (PortIoIn +uid 441,0 +shape (CompositeShape +uid 442,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 443,0 +sl 0 +ro 270 +xt "10000,59625,11500,60375" +) +(Line +uid 444,0 +sl 0 +ro 270 +xt "11500,60000,12000,60000" +pts [ +"11500,60000" +"12000,60000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 445,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 446,0 +va (VaSet +) +xt "5100,59400,9000,60600" +st "funct3" +ju 2 +blo "9000,60400" +tm "WireNameMgr" +) +) +) +*26 (PortIoIn +uid 447,0 +shape (CompositeShape +uid 448,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 449,0 +sl 0 +ro 270 +xt "10000,61625,11500,62375" +) +(Line +uid 450,0 +sl 0 +ro 270 +xt "11500,62000,12000,62000" +pts [ +"11500,62000" +"12000,62000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 451,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 452,0 +va (VaSet +) +xt "5100,61400,9000,62600" +st "funct7" +ju 2 +blo "9000,62400" +tm "WireNameMgr" +) +) +) +*27 (PortIoIn +uid 465,0 +shape (CompositeShape +uid 466,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 467,0 +sl 0 +ro 270 +xt "4000,26625,5500,27375" +) +(Line +uid 468,0 +sl 0 +ro 270 +xt "5500,27000,6000,27000" +pts [ +"5500,27000" +"6000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 469,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +) +xt "200,26400,3000,27600" +st "zero" +ju 2 +blo "3000,27400" +tm "WireNameMgr" +) +) +) +*28 (PortIoOut +uid 609,0 +shape (CompositeShape +uid 610,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 611,0 +sl 0 +ro 270 +xt "33500,60625,35000,61375" +) +(Line +uid 612,0 +sl 0 +ro 270 +xt "33000,61000,33500,61000" +pts [ +"33000,61000" +"33500,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 613,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 614,0 +va (VaSet +) +xt "36000,60400,43300,61600" +st "ALUControl" +blo "36000,61400" +tm "WireNameMgr" +) +) +) +*29 (SaComponent +uid 645,0 +optionalChildren [ +*30 (CptPort +uid 655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 656,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "35250,26625,36000,27375" +) +tg (CPTG +uid 657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 658,0 +va (VaSet +isHidden 1 +) +xt "36000,26600,45500,27800" +st "in1 : std_uLogic" +blo "36000,27600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*31 (CptPort +uid 659,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 660,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "35250,30625,36000,31375" +) +tg (CPTG +uid 661,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 662,0 +va (VaSet +isHidden 1 +) +xt "36000,30600,45500,31800" +st "in2 : std_uLogic" +blo "36000,31600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*32 (CptPort +uid 663,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 664,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "42950,28625,43700,29375" +) +tg (CPTG +uid 665,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 666,0 +va (VaSet +isHidden 1 +) +xt "32800,28550,43000,29750" +st "out1 : std_uLogic" +ju 2 +blo "43000,29550" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (And +uid 646,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,26000,43000,32000" +) +showPorts 0 +oxt "31000,13000,38000,19000" +ttg (MlTextGroup +uid 647,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 648,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36600,31700,39700,32700" +st "gates" +blo "36600,32500" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 649,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36600,32700,39500,33700" +st "and2" +blo "36600,33500" +tm "CptNameMgr" +) +*35 (Text +uid 650,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36600,32700,39100,33700" +st "U_1" +blo "36600,33500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 651,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 652,0 +text (MLText +uid 653,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "36000,35600,47700,36600" +st "delay = 0 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +] +) +viewicon (ZoomableIcon +uid 654,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "36250,30250,37750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sN 0 +sT 1 +) +archFileType "UNKNOWN" +) +*36 (SaComponent +uid 667,0 +optionalChildren [ +*37 (CptPort +uid 677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 678,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44585,28625,45335,29375" +) +tg (CPTG +uid 679,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 680,0 +va (VaSet +isHidden 1 +) +xt "45557,28250,47857,29450" +st "in1" +blo "45557,29250" +) +s (Text +uid 681,0 +va (VaSet +isHidden 1 +) +xt "45557,29450,45557,29450" +blo "45557,29450" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*38 (CptPort +uid 682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 683,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "44584,32625,45334,33375" +) +tg (CPTG +uid 684,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 685,0 +va (VaSet +isHidden 1 +) +xt "45557,32250,47857,33450" +st "in2" +blo "45557,33250" +) +s (Text +uid 686,0 +va (VaSet +isHidden 1 +) +xt "45557,33450,45557,33450" +blo "45557,33450" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +) +) +) +*39 (CptPort +uid 687,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 688,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "52000,30625,52750,31375" +) +tg (CPTG +uid 689,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 690,0 +va (VaSet +isHidden 1 +) +xt "48000,30250,51000,31450" +st "out1" +ju 2 +blo "51000,31250" +) +s (Text +uid 691,0 +va (VaSet +isHidden 1 +) +xt "51000,31450,51000,31450" +ju 2 +blo "51000,31450" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +) +) +) +] +shape (Or +uid 668,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,28000,52000,34000" +) +showPorts 0 +oxt "35000,14000,42000,20000" +ttg (MlTextGroup +uid 669,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 670,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "45600,33700,48700,34700" +st "gates" +blo "45600,34500" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 671,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "45600,34700,47800,35700" +st "or2" +blo "45600,35500" +tm "CptNameMgr" +) +*42 (Text +uid 672,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "45600,34700,48100,35700" +st "U_0" +blo "45600,35500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 673,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 674,0 +text (MLText +uid 675,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "45000,36600,56700,37600" +st "delay = 0 ps ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +] +) +viewicon (ZoomableIcon +uid 676,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,32250,46750,33750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*43 (Net +uid 827,0 +decl (Decl +n "branch" +t "std_uLogic" +o 13 +suid 31,0 +) +declText (MLText +uid 828,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,13200,38500,14000" +st "SIGNAL branch : std_uLogic" +) +) +*44 (Net +uid 833,0 +decl (Decl +n "out1" +t "std_uLogic" +o 15 +suid 34,0 +) +declText (MLText +uid 834,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14800,38500,15600" +st "SIGNAL out1 : std_uLogic" +) +) +*45 (Net +uid 835,0 +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 12 +suid 35,0 +) +declText (MLText +uid 836,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,12400,48500,13200" +st "SIGNAL ALUOp : std_ulogic_vector(1 DOWNTO 0)" +) +) +*46 (Net +uid 837,0 +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 36,0 +) +declText (MLText +uid 838,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,35000,5600" +st "zero : std_ulogic" +) +) +*47 (Net +uid 839,0 +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 37,0 +) +declText (MLText +uid 840,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,45000,4800" +st "op : std_ulogic_vector(6 DOWNTO 0)" +) +) +*48 (Net +uid 847,0 +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 41,0 +) +declText (MLText +uid 848,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,45000,3200" +st "funct3 : std_ulogic_vector(2 DOWNTO 0)" +) +) +*49 (Net +uid 849,0 +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 42,0 +) +declText (MLText +uid 850,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,35000,4000" +st "funct7 : std_ulogic" +) +) +*50 (Net +uid 851,0 +lang 11 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 43,0 +) +declText (MLText +uid 852,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,45000,6400" +st "ALUControl : std_ulogic_vector(2 DOWNTO 0)" +) +) +*51 (Net +uid 883,0 +decl (Decl +n "jump" +t "std_uLogic" +o 14 +suid 44,0 +) +declText (MLText +uid 884,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,14000,38500,14800" +st "SIGNAL jump : std_uLogic" +) +) +*52 (SaComponent +uid 1321,0 +optionalChildren [ +*53 (CptPort +uid 1285,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1286,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,50000,21375,50750" +) +tg (CPTG +uid 1287,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1288,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,44000,21700,49000" +st "ALUOp" +blo "21500,49000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 12 +suid 1,0 +) +) +) +*54 (CptPort +uid 1289,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1290,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,41625,30750,42375" +) +tg (CPTG +uid 1291,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1292,0 +va (VaSet +font "Verdana,12,0" +) +xt "23900,41300,29000,42700" +st "ALUSrc" +ju 2 +blo "29000,42500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +) +*55 (CptPort +uid 1293,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1294,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,32625,30750,33375" +) +tg (CPTG +uid 1295,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1296,0 +va (VaSet +font "Verdana,12,0" +) +xt "23900,32300,29000,33700" +st "branch" +ju 2 +blo "29000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 13 +suid 3,0 +) +) +) +*56 (CptPort +uid 1297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,43625,30750,44375" +) +tg (CPTG +uid 1299,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1300,0 +va (VaSet +font "Verdana,12,0" +) +xt "23800,43300,29000,44700" +st "immSrc" +ju 2 +blo "29000,44500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*57 (CptPort +uid 1301,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1302,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,34625,30750,35375" +) +tg (CPTG +uid 1303,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1304,0 +va (VaSet +font "Verdana,12,0" +) +xt "25100,34300,29000,35700" +st "jump" +ju 2 +blo "29000,35500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "jump" +t "std_uLogic" +o 14 +suid 5,0 +) +) +) +*58 (CptPort +uid 1305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1306,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,39625,30750,40375" +) +tg (CPTG +uid 1307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1308,0 +va (VaSet +font "Verdana,12,0" +) +xt "21800,39300,29000,40700" +st "memWrite" +ju 2 +blo "29000,40500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 6,0 +) +) +) +*59 (CptPort +uid 1309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1310,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,35625,15000,36375" +) +tg (CPTG +uid 1311,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1312,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,35300,18400,36700" +st "op" +blo "16000,36500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 7,0 +) +) +) +*60 (CptPort +uid 1313,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1314,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,45625,30750,46375" +) +tg (CPTG +uid 1315,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1316,0 +va (VaSet +font "Verdana,12,0" +) +xt "22900,45300,29000,46700" +st "regwrite" +ju 2 +blo "29000,46500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 8,0 +) +) +) +*61 (CptPort +uid 1317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,37625,30750,38375" +) +tg (CPTG +uid 1319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1320,0 +va (VaSet +font "Verdana,12,0" +) +xt "22700,37300,29000,38700" +st "resultSrc" +ju 2 +blo "29000,38500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 1322,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,31000,30000,50000" +) +oxt "15000,6000,30000,25000" +ttg (MlTextGroup +uid 1323,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 1324,0 +va (VaSet +font "Verdana,9,1" +) +xt "28700,50300,36100,51500" +st "HEIRV32_SC" +blo "28700,51300" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 1325,0 +va (VaSet +font "Verdana,9,1" +) +xt "28700,51500,36300,52700" +st "mainDecoder" +blo "28700,52500" +tm "CptNameMgr" +) +*64 (Text +uid 1326,0 +va (VaSet +font "Verdana,9,1" +) +xt "28700,52700,37600,53900" +st "U_mainDecoder" +blo "28700,53700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1327,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1328,0 +text (MLText +uid 1329,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "29000,55200,45000,56000" +st "g_tDec = g_tDec ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "g_tDec" +) +] +) +viewicon (ZoomableIcon +uid 1330,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,48250,16750,49750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 1351,0 +optionalChildren [ +*66 (CptPort +uid 1331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1332,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,60625,30750,61375" +) +tg (CPTG +uid 1333,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1334,0 +va (VaSet +font "Verdana,12,0" +) +xt "20400,60300,29000,61700" +st "ALUControl" +ju 2 +blo "29000,61500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 1,0 +) +) +) +*67 (CptPort +uid 1335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1336,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,55250,21375,56000" +) +tg (CPTG +uid 1337,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1338,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,57000,21700,62000" +st "ALUOp" +ju 2 +blo "21500,57000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 20 +suid 2,0 +) +) +) +*68 (CptPort +uid 1339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,59625,14000,60375" +) +tg (CPTG +uid 1341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1342,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,59300,19700,60700" +st "funct3" +blo "15000,60500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 1343,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1344,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,61625,14000,62375" +) +tg (CPTG +uid 1345,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1346,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,61300,19700,62700" +st "funct7" +blo "15000,62500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*70 (CptPort +uid 1347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "13250,57625,14000,58375" +) +tg (CPTG +uid 1349,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1350,0 +va (VaSet +font "Verdana,12,0" +) +xt "15000,57300,17400,58700" +st "op" +blo "15000,58500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1352,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "14000,56000,30000,65000" +) +oxt "20000,12000,36000,21000" +ttg (MlTextGroup +uid 1353,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 1354,0 +va (VaSet +font "Verdana,9,1" +) +xt "30650,62800,35650,64000" +st "HEIRV32" +blo "30650,63800" +tm "BdLibraryNameMgr" +) +*72 (Text +uid 1355,0 +va (VaSet +font "Verdana,9,1" +) +xt "30650,64000,37350,65200" +st "aluDecoder" +blo "30650,65000" +tm "CptNameMgr" +) +*73 (Text +uid 1356,0 +va (VaSet +font "Verdana,9,1" +) +xt "30650,65200,38650,66400" +st "U_aluDecoder" +blo "30650,66200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1357,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1358,0 +text (MLText +uid 1359,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "31000,66200,47000,67000" +st "g_tDec = g_tDec ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "g_tDec" +) +] +) +viewicon (ZoomableIcon +uid 1360,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,63250,15750,64750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*74 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "30750,42000,40000,42000" +pts [ +"40000,42000" +"30750,42000" +] +) +start &1 +end &54 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "39000,40800,43600,42000" +st "ALUSrc" +blo "39000,41800" +tm "WireNameMgr" +) +) +on &2 +) +*75 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30750,44000,40000,44000" +pts [ +"40000,44000" +"30750,44000" +] +) +start &3 +end &56 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "39000,42800,47800,44000" +st "immSrc : (1:0)" +blo "39000,43800" +tm "WireNameMgr" +) +) +on &4 +) +*76 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "30750,40000,40000,40000" +pts [ +"40000,40000" +"30750,40000" +] +) +start &5 +end &58 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "39000,38800,44700,40000" +st "memWrite" +blo "39000,39800" +tm "WireNameMgr" +) +) +on &6 +) +*77 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "52000,31000,56000,31000" +pts [ +"56000,31000" +"52000,31000" +] +) +start &7 +end &39 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "55000,29800,58900,31000" +st "PCSrc" +blo "55000,30800" +tm "WireNameMgr" +) +) +on &8 +) +*78 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "30750,46000,40000,46000" +pts [ +"40000,46000" +"30750,46000" +] +) +start &9 +end &60 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +) +xt "39000,44800,43700,46000" +st "regwrite" +blo "39000,45800" +tm "WireNameMgr" +) +) +on &10 +) +*79 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30750,38000,40000,38000" +pts [ +"40000,38000" +"30750,38000" +] +) +start &11 +end &61 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +isHidden 1 +) +xt "39000,36800,48600,38000" +st "resultSrc : (1:0)" +blo "39000,37800" +tm "WireNameMgr" +) +) +on &12 +) +*80 (Wire +uid 698,0 +optionalChildren [ +*81 (Ripper +uid 702,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"8000,36000" +"7000,37000" +] +uid 703,0 +va (VaSet +vasetType 3 +) +xt "7000,36000,8000,37000" +) +) +] +shape (OrthoPolyLine +uid 699,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "6000,36000,14250,36000" +pts [ +"6000,36000" +"14250,36000" +] +) +start &24 +end &59 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 700,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 701,0 +va (VaSet +isHidden 1 +) +xt "7000,34800,13200,36000" +st "op : (6:0)" +blo "7000,35800" +tm "WireNameMgr" +) +) +on &47 +) +*82 (Wire +uid 704,0 +shape (OrthoPolyLine +uid 705,0 +va (VaSet +vasetType 3 +) +xt "7000,37000,13250,58000" +pts [ +"7000,37000" +"7000,58000" +"13250,58000" +] +) +start &81 +end &70 +sat 32 +eat 32 +sl "(5)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 706,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 707,0 +va (VaSet +) +xt "9000,56800,12400,58000" +st "op(5)" +blo "9000,57800" +tm "WireNameMgr" +) +) +on &47 +) +*83 (Wire +uid 732,0 +shape (OrthoPolyLine +uid 733,0 +va (VaSet +vasetType 3 +) +xt "12000,62000,13250,62000" +pts [ +"12000,62000" +"13250,62000" +] +) +start &26 +end &69 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 735,0 +va (VaSet +isHidden 1 +) +xt "12000,60800,15900,62000" +st "funct7" +blo "12000,61800" +tm "WireNameMgr" +) +) +on &49 +) +*84 (Wire +uid 740,0 +shape (OrthoPolyLine +uid 741,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,60000,13250,60000" +pts [ +"12000,60000" +"13250,60000" +] +) +start &25 +end &68 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 742,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 743,0 +va (VaSet +isHidden 1 +) +xt "7000,58800,15200,60000" +st "funct3 : (2:0)" +blo "7000,59800" +tm "WireNameMgr" +) +) +on &48 +) +*85 (Wire +uid 748,0 +shape (OrthoPolyLine +uid 749,0 +va (VaSet +vasetType 3 +) +xt "42950,29000,45335,29000" +pts [ +"42950,29000" +"45335,29000" +] +) +start &32 +end &37 +sat 32 +eat 32 +sf 1 +si 0 +tg (WTG +uid 750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 751,0 +va (VaSet +isHidden 1 +) +xt "42950,27800,53150,29000" +st "out1 : std_uLogic" +blo "42950,28800" +tm "WireNameMgr" +) +) +on &44 +) +*86 (Wire +uid 752,0 +shape (OrthoPolyLine +uid 753,0 +va (VaSet +vasetType 3 +) +xt "6000,27000,36000,27000" +pts [ +"6000,27000" +"36000,27000" +] +) +start &27 +end &30 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 754,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 755,0 +va (VaSet +isHidden 1 +) +xt "8000,25800,10800,27000" +st "zero" +blo "8000,26800" +tm "WireNameMgr" +) +) +on &46 +) +*87 (Wire +uid 764,0 +shape (OrthoPolyLine +uid 765,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30750,61000,33000,61000" +pts [ +"33000,61000" +"30750,61000" +] +) +start &28 +end &66 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 766,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 767,0 +va (VaSet +isHidden 1 +) +xt "31000,59800,41900,61000" +st "ALUControl : (2:0)" +blo "31000,60800" +tm "WireNameMgr" +) +) +on &50 +) +*88 (Wire +uid 782,0 +shape (OrthoPolyLine +uid 783,0 +va (VaSet +vasetType 3 +) +xt "30750,33000,45334,35000" +pts [ +"45334,33000" +"33000,33000" +"33000,35000" +"30750,35000" +] +) +start &38 +end &57 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 784,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 785,0 +va (VaSet +) +xt "42000,31800,45100,33000" +st "jump" +blo "42000,32800" +tm "WireNameMgr" +) +s (Text +uid 786,0 +va (VaSet +isHidden 1 +) +xt "42000,33000,42000,33000" +blo "42000,33000" +tm "SignalTypeMgr" +) +) +on &51 +) +*89 (Wire +uid 787,0 +shape (OrthoPolyLine +uid 788,0 +va (VaSet +vasetType 3 +) +xt "30750,31000,36000,33000" +pts [ +"36000,31000" +"32000,31000" +"32000,33000" +"30750,33000" +] +) +start &31 +end &55 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 789,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 790,0 +va (VaSet +) +xt "32000,29800,36100,31000" +st "branch" +blo "32000,30800" +tm "WireNameMgr" +) +) +on &43 +) +*90 (Wire +uid 791,0 +shape (OrthoPolyLine +uid 792,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,50750,21000,55250" +pts [ +"21000,50750" +"21000,55250" +] +) +start &53 +end &67 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 793,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 794,0 +va (VaSet +) +xt "22000,52800,26400,54000" +st "ALUOp" +blo "22000,53800" +tm "WireNameMgr" +) +) +on &45 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 265,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 266,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 267,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 268,0 +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 269,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*95 (Text +uid 270,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*96 (MLText +uid 271,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*97 (Text +uid 272,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*98 (MLText +uid 273,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*99 (Text +uid 274,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*100 (MLText +uid 275,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1332,-1406,129204,67987" +cachedDiagramExtent "0,0,90000,67000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 1360,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*101 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*102 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*103 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*105 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*106 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*108 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*109 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*111 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*112 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*114 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*115 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*116 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*117 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*119 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*121 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,11200,29500,12400" +st "Diagram Signals:" +blo "20000,12200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *122 (LEmptyRow +) +uid 278,0 +optionalChildren [ +*123 (RefLabelRowHdr +) +*124 (TitleRowHdr +) +*125 (FilterRowHdr +) +*126 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*127 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*128 (GroupColHdr +tm "GroupColHdrMgr" +) +*129 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*130 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*131 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*132 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*133 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*134 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*135 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +uid 217,0 +) +*136 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 10,0 +) +) +uid 219,0 +) +*137 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +uid 221,0 +) +*138 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 8,0 +) +) +uid 223,0 +) +*139 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 11,0 +) +) +uid 225,0 +) +*140 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 11 +suid 12,0 +) +) +uid 227,0 +) +*141 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "branch" +t "std_uLogic" +o 13 +suid 31,0 +) +) +uid 861,0 +) +*142 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "std_uLogic" +o 15 +suid 34,0 +) +) +uid 863,0 +) +*143 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 12 +suid 35,0 +) +) +uid 865,0 +) +*144 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 36,0 +) +) +uid 867,0 +) +*145 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 37,0 +) +) +uid 869,0 +) +*146 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 41,0 +) +) +uid 877,0 +) +*147 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 42,0 +) +) +uid 879,0 +) +*148 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 43,0 +) +) +uid 881,0 +) +*149 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "jump" +t "std_uLogic" +o 14 +suid 44,0 +) +) +uid 895,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 291,0 +optionalChildren [ +*150 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *151 (MRCItem +litem &122 +pos 15 +dimension 20 +) +uid 293,0 +optionalChildren [ +*152 (MRCItem +litem &123 +pos 0 +dimension 20 +uid 294,0 +) +*153 (MRCItem +litem &124 +pos 1 +dimension 23 +uid 295,0 +) +*154 (MRCItem +litem &125 +pos 2 +hidden 1 +dimension 20 +uid 296,0 +) +*155 (MRCItem +litem &135 +pos 0 +dimension 20 +uid 218,0 +) +*156 (MRCItem +litem &136 +pos 1 +dimension 20 +uid 220,0 +) +*157 (MRCItem +litem &137 +pos 2 +dimension 20 +uid 222,0 +) +*158 (MRCItem +litem &138 +pos 3 +dimension 20 +uid 224,0 +) +*159 (MRCItem +litem &139 +pos 4 +dimension 20 +uid 226,0 +) +*160 (MRCItem +litem &140 +pos 5 +dimension 20 +uid 228,0 +) +*161 (MRCItem +litem &141 +pos 11 +dimension 20 +uid 862,0 +) +*162 (MRCItem +litem &142 +pos 12 +dimension 20 +uid 864,0 +) +*163 (MRCItem +litem &143 +pos 13 +dimension 20 +uid 866,0 +) +*164 (MRCItem +litem &144 +pos 6 +dimension 20 +uid 868,0 +) +*165 (MRCItem +litem &145 +pos 7 +dimension 20 +uid 870,0 +) +*166 (MRCItem +litem &146 +pos 8 +dimension 20 +uid 878,0 +) +*167 (MRCItem +litem &147 +pos 9 +dimension 20 +uid 880,0 +) +*168 (MRCItem +litem &148 +pos 10 +dimension 20 +uid 882,0 +) +*169 (MRCItem +litem &149 +pos 14 +dimension 20 +uid 896,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 297,0 +optionalChildren [ +*170 (MRCItem +litem &126 +pos 0 +dimension 20 +uid 298,0 +) +*171 (MRCItem +litem &128 +pos 1 +dimension 50 +uid 299,0 +) +*172 (MRCItem +litem &129 +pos 2 +dimension 100 +uid 300,0 +) +*173 (MRCItem +litem &130 +pos 3 +dimension 50 +uid 301,0 +) +*174 (MRCItem +litem &131 +pos 4 +dimension 100 +uid 302,0 +) +*175 (MRCItem +litem &132 +pos 5 +dimension 100 +uid 303,0 +) +*176 (MRCItem +litem &133 +pos 6 +dimension 50 +uid 304,0 +) +*177 (MRCItem +litem &134 +pos 7 +dimension 80 +uid 305,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 277,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *178 (LEmptyRow +) +uid 307,0 +optionalChildren [ +*179 (RefLabelRowHdr +) +*180 (TitleRowHdr +) +*181 (FilterRowHdr +) +*182 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*183 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*184 (GroupColHdr +tm "GroupColHdrMgr" +) +*185 (NameColHdr +tm "GenericNameColHdrMgr" +) +*186 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*187 (InitColHdr +tm "GenericValueColHdrMgr" +) +*188 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*189 (EolColHdr +tm "GenericEolColHdrMgr" +) +*190 (LogGeneric +generic (GiElement +name "g_tDec" +type "time" +value "25 ps" +) +uid 1261,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 319,0 +optionalChildren [ +*191 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *192 (MRCItem +litem &178 +pos 1 +dimension 20 +) +uid 321,0 +optionalChildren [ +*193 (MRCItem +litem &179 +pos 0 +dimension 20 +uid 322,0 +) +*194 (MRCItem +litem &180 +pos 1 +dimension 23 +uid 323,0 +) +*195 (MRCItem +litem &181 +pos 2 +hidden 1 +dimension 20 +uid 324,0 +) +*196 (MRCItem +litem &190 +pos 0 +dimension 20 +uid 1260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 325,0 +optionalChildren [ +*197 (MRCItem +litem &182 +pos 0 +dimension 20 +uid 326,0 +) +*198 (MRCItem +litem &184 +pos 1 +dimension 50 +uid 327,0 +) +*199 (MRCItem +litem &185 +pos 2 +dimension 100 +uid 328,0 +) +*200 (MRCItem +litem &186 +pos 3 +dimension 100 +uid 329,0 +) +*201 (MRCItem +litem &187 +pos 4 +dimension 50 +uid 330,0 +) +*202 (MRCItem +litem &188 +pos 5 +dimension 50 +uid 331,0 +) +*203 (MRCItem +litem &189 +pos 6 +dimension 80 +uid 332,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 320,0 +vaOverrides [ +] +) +] +) +uid 306,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/students@version.bd b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/students@version.bd new file mode 100644 index 0000000..07c31a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/students@version.bd @@ -0,0 +1,3368 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\students@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\students@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentsVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "controlUnit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "students@version.bd" +) +(vvPair +variable "f_logical" +value "studentsVersion.bd" +) +(vvPair +variable "f_noext" +value "students@version" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "14:53:54" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "controlUnit" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\students@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit\\studentsVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "students@version" +) +(vvPair +variable "this_file_logical" +value "studentsVersion" +) +(vvPair +variable "time" +value "14:53:54" +) +(vvPair +variable "unit" +value "controlUnit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "studentsVersion" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 228,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "1000,36625,2500,37375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "2500,37000,3000,37000" +pts [ +"2500,37000" +"3000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "-3900,36400,0,37600" +st "funct3" +ju 2 +blo "0,37400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,45000,3200" +st "funct3 : std_ulogic_vector(2 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "1000,40625,2500,41375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "2500,41000,3000,41000" +pts [ +"2500,41000" +"3000,41000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-3900,40400,0,41600" +st "funct7" +ju 2 +blo "0,41400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,35000,4000" +st "funct7 : std_ulogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "1000,44625,2500,45375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "2500,45000,3000,45000" +pts [ +"2500,45000" +"3000,45000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-1900,44400,0,45600" +st "op" +ju 2 +blo "0,45400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,45000,4800" +st "op : std_ulogic_vector(6 DOWNTO 0)" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "1000,48625,2500,49375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "2500,49000,3000,49000" +pts [ +"2500,49000" +"3000,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-2800,48400,0,49600" +st "zero" +ju 2 +blo "0,49400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,35000,5600" +st "zero : std_ulogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "87500,31625,89000,32375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "87000,32000,87500,32000" +pts [ +"87000,32000" +"87500,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "90000,31400,97300,32600" +st "ALUControl" +blo "90000,32400" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +lang 11 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,45000,6400" +st "ALUControl : std_ulogic_vector(2 DOWNTO 0)" +) +) +*11 (PortIoOut +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "87500,35625,89000,36375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "87000,36000,87500,36000" +pts [ +"87000,36000" +"87500,36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "90000,35400,94600,36600" +st "ALUSrc" +blo "90000,36400" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +lang 11 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35000,7200" +st "ALUSrc : std_uLogic" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "87500,39625,89000,40375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "87000,40000,87500,40000" +pts [ +"87000,40000" +"87500,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "90000,39400,93900,40600" +st "PCSrc" +blo "90000,40400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +lang 11 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35000,8000" +st "PCSrc : std_uLogic" +) +) +*15 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "87500,43625,89000,44375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "87000,44000,87500,44000" +pts [ +"87000,44000" +"87500,44000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "90000,43400,94500,44600" +st "immSrc" +blo "90000,44400" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +lang 11 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,45000,8800" +st "immSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*17 (PortIoOut +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "87500,47625,89000,48375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "87000,48000,87500,48000" +pts [ +"87000,48000" +"87500,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "90000,47400,95700,48600" +st "memWrite" +blo "90000,48400" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 133,0 +lang 11 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8800,35000,9600" +st "memWrite : std_ulogic" +) +) +*19 (PortIoOut +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "87500,51625,89000,52375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "87000,52000,87500,52000" +pts [ +"87000,52000" +"87500,52000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +) +xt "90000,51400,94700,52600" +st "regwrite" +blo "90000,52400" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 147,0 +lang 11 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9600,35000,10400" +st "regwrite : std_ulogic" +) +) +*21 (PortIoOut +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "87500,55625,89000,56375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "87000,56000,87500,56000" +pts [ +"87000,56000" +"87500,56000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +) +xt "90000,55400,95300,56600" +st "resultSrc" +blo "90000,56400" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 161,0 +lang 11 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10400,45000,11200" +st "resultSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*23 (Grouping +uid 185,0 +optionalChildren [ +*24 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,4000,74000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,4000,68700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,0,78000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,0,77200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*26 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,2000,74000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,2000,67200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,2000,57000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,2000,55300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*28 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,1000,94000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "74200,1200,83600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,0,94000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "78200,0,79800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,0,74000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "58350,400,68650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,3000,57000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,3000,55300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,4000,57000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,4000,55900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,3000,74000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,3000,72600,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,0,94000,5000" +) +oxt "14000,66000,55000,71000" +) +*34 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,37000,13000,37000" +pts [ +"3000,37000" +"13000,37000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "5000,35800,13200,37000" +st "funct3 : (2:0)" +blo "5000,36800" +tm "WireNameMgr" +) +) +on &2 +) +*35 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "3000,41000,13000,41000" +pts [ +"3000,41000" +"13000,41000" +] +) +start &3 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "5000,39800,8900,41000" +st "funct7" +blo "5000,40800" +tm "WireNameMgr" +) +) +on &4 +) +*36 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,45000,13000,45000" +pts [ +"3000,45000" +"13000,45000" +] +) +start &5 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "5000,43800,11200,45000" +st "op : (6:0)" +blo "5000,44800" +tm "WireNameMgr" +) +) +on &6 +) +*37 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "3000,49000,13000,49000" +pts [ +"3000,49000" +"13000,49000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "5000,47800,7800,49000" +st "zero" +blo "5000,48800" +tm "WireNameMgr" +) +) +on &8 +) +*38 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77000,32000,87000,32000" +pts [ +"87000,32000" +"77000,32000" +] +) +start &9 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "86000,30800,96900,32000" +st "ALUControl : (2:0)" +blo "86000,31800" +tm "WireNameMgr" +) +) +on &10 +) +*39 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "77000,36000,87000,36000" +pts [ +"87000,36000" +"77000,36000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "86000,34800,90600,36000" +st "ALUSrc" +blo "86000,35800" +tm "WireNameMgr" +) +) +on &12 +) +*40 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "77000,40000,87000,40000" +pts [ +"87000,40000" +"77000,40000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "86000,38800,89900,40000" +st "PCSrc" +blo "86000,39800" +tm "WireNameMgr" +) +) +on &14 +) +*41 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77000,44000,87000,44000" +pts [ +"87000,44000" +"77000,44000" +] +) +start &15 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "86000,42800,94800,44000" +st "immSrc : (1:0)" +blo "86000,43800" +tm "WireNameMgr" +) +) +on &16 +) +*42 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "77000,48000,87000,48000" +pts [ +"87000,48000" +"77000,48000" +] +) +start &17 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +isHidden 1 +) +xt "86000,46800,91700,48000" +st "memWrite" +blo "86000,47800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "77000,52000,87000,52000" +pts [ +"87000,52000" +"77000,52000" +] +) +start &19 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +isHidden 1 +) +xt "86000,50800,90700,52000" +st "regwrite" +blo "86000,51800" +tm "WireNameMgr" +) +) +on &20 +) +*44 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77000,56000,87000,56000" +pts [ +"87000,56000" +"77000,56000" +] +) +start &21 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +isHidden 1 +) +xt "86000,54800,95600,56000" +st "resultSrc : (1:0)" +blo "86000,55800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *45 (PackageList +uid 217,0 +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 218,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*47 (MLText +uid 219,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 220,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 221,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*49 (Text +uid 222,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*50 (MLText +uid 223,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*51 (Text +uid 224,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*52 (MLText +uid 225,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*53 (Text +uid 226,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*54 (MLText +uid 227,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-5384,-1609,105872,58839" +cachedDiagramExtent "-3900,0,97300,56600" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,0" +lastUid 284,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*65 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*66 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*73 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*75 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,11200,29500,12400" +st "Diagram Signals:" +blo "20000,12200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 11,0 +usingSuid 1 +emptyRow *76 (LEmptyRow +) +uid 230,0 +optionalChildren [ +*77 (RefLabelRowHdr +) +*78 (TitleRowHdr +) +*79 (FilterRowHdr +) +*80 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*81 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*82 (GroupColHdr +tm "GroupColHdrMgr" +) +*83 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*84 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*85 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*86 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*87 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*88 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*89 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 163,0 +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 165,0 +) +*91 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 167,0 +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 169,0 +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 5,0 +) +) +uid 171,0 +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 173,0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 7,0 +) +) +uid 175,0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 8,0 +) +) +uid 177,0 +) +*97 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 179,0 +) +*98 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 181,0 +) +*99 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +uid 183,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 243,0 +optionalChildren [ +*100 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *101 (MRCItem +litem &76 +pos 11 +dimension 20 +) +uid 245,0 +optionalChildren [ +*102 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 246,0 +) +*103 (MRCItem +litem &78 +pos 1 +dimension 23 +uid 247,0 +) +*104 (MRCItem +litem &79 +pos 2 +hidden 1 +dimension 20 +uid 248,0 +) +*105 (MRCItem +litem &89 +pos 0 +dimension 20 +uid 164,0 +) +*106 (MRCItem +litem &90 +pos 1 +dimension 20 +uid 166,0 +) +*107 (MRCItem +litem &91 +pos 2 +dimension 20 +uid 168,0 +) +*108 (MRCItem +litem &92 +pos 3 +dimension 20 +uid 170,0 +) +*109 (MRCItem +litem &93 +pos 4 +dimension 20 +uid 172,0 +) +*110 (MRCItem +litem &94 +pos 5 +dimension 20 +uid 174,0 +) +*111 (MRCItem +litem &95 +pos 6 +dimension 20 +uid 176,0 +) +*112 (MRCItem +litem &96 +pos 7 +dimension 20 +uid 178,0 +) +*113 (MRCItem +litem &97 +pos 8 +dimension 20 +uid 180,0 +) +*114 (MRCItem +litem &98 +pos 9 +dimension 20 +uid 182,0 +) +*115 (MRCItem +litem &99 +pos 10 +dimension 20 +uid 184,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 249,0 +optionalChildren [ +*116 (MRCItem +litem &80 +pos 0 +dimension 20 +uid 250,0 +) +*117 (MRCItem +litem &82 +pos 1 +dimension 50 +uid 251,0 +) +*118 (MRCItem +litem &83 +pos 2 +dimension 100 +uid 252,0 +) +*119 (MRCItem +litem &84 +pos 3 +dimension 50 +uid 253,0 +) +*120 (MRCItem +litem &85 +pos 4 +dimension 100 +uid 254,0 +) +*121 (MRCItem +litem &86 +pos 5 +dimension 100 +uid 255,0 +) +*122 (MRCItem +litem &87 +pos 6 +dimension 50 +uid 256,0 +) +*123 (MRCItem +litem &88 +pos 7 +dimension 80 +uid 257,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 229,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *124 (LEmptyRow +) +uid 259,0 +optionalChildren [ +*125 (RefLabelRowHdr +) +*126 (TitleRowHdr +) +*127 (FilterRowHdr +) +*128 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*129 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*130 (GroupColHdr +tm "GroupColHdrMgr" +) +*131 (NameColHdr +tm "GenericNameColHdrMgr" +) +*132 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*133 (InitColHdr +tm "GenericValueColHdrMgr" +) +*134 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*135 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 271,0 +optionalChildren [ +*136 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *137 (MRCItem +litem &124 +pos 0 +dimension 20 +) +uid 273,0 +optionalChildren [ +*138 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 274,0 +) +*139 (MRCItem +litem &126 +pos 1 +dimension 23 +uid 275,0 +) +*140 (MRCItem +litem &127 +pos 2 +hidden 1 +dimension 20 +uid 276,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 277,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 278,0 +) +*142 (MRCItem +litem &130 +pos 1 +dimension 50 +uid 279,0 +) +*143 (MRCItem +litem &131 +pos 2 +dimension 100 +uid 280,0 +) +*144 (MRCItem +litem &132 +pos 3 +dimension 100 +uid 281,0 +) +*145 (MRCItem +litem &133 +pos 4 +dimension 50 +uid 282,0 +) +*146 (MRCItem +litem &134 +pos 5 +dimension 50 +uid 283,0 +) +*147 (MRCItem +litem &135 +pos 6 +dimension 80 +uid 284,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 272,0 +vaOverrides [ +] +) +] +) +uid 258,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/symbol.sb b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/symbol.sb new file mode 100644 index 0000000..9a61122 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/control@unit/symbol.sb @@ -0,0 +1,2014 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 15,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 1,0 +) +) +uid 121,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +uid 123,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 5,0 +) +) +uid 129,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 6,0 +) +) +uid 131,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +uid 133,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 8,0 +) +) +uid 135,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 137,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 10,0 +) +) +uid 139,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 11,0 +) +) +uid 141,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 11 +suid 12,0 +) +) +uid 143,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 14,0 +) +) +uid 147,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 166,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*30 (MRCItem +litem &14 +pos 10 +dimension 20 +uid 122,0 +) +*31 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 124,0 +) +*32 (MRCItem +litem &16 +pos 8 +dimension 20 +uid 130,0 +) +*33 (MRCItem +litem &17 +pos 9 +dimension 20 +uid 132,0 +) +*34 (MRCItem +litem &18 +pos 2 +dimension 20 +uid 134,0 +) +*35 (MRCItem +litem &19 +pos 3 +dimension 20 +uid 136,0 +) +*36 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 138,0 +) +*37 (MRCItem +litem &21 +pos 1 +dimension 20 +uid 140,0 +) +*38 (MRCItem +litem &22 +pos 4 +dimension 20 +uid 142,0 +) +*39 (MRCItem +litem &23 +pos 5 +dimension 20 +uid 144,0 +) +*40 (MRCItem +litem &24 +pos 6 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "g_tDec" +type "time" +value "25 ps" +) +uid 586,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*62 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *63 (MRCItem +litem &49 +pos 1 +dimension 20 +) +uid 194,0 +optionalChildren [ +*64 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 195,0 +) +*65 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 196,0 +) +*66 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*67 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 587,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*68 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 199,0 +) +*69 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 200,0 +) +*70 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 201,0 +) +*71 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 202,0 +) +*72 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 203,0 +) +*73 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 204,0 +) +*74 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "controlUnit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:20:59" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "controlUnit" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\control@unit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\controlUnit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:20:59" +) +(vvPair +variable "unit" +value "controlUnit" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,27625,32750,28375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "25600,27500,31000,28500" +st "ALUControl" +ju 2 +blo "31000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,72000,6400" +st "ALUControl : OUT std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 1,0 +) +) +) +*77 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,29625,32750,30375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "27800,29500,31000,30500" +st "ALUSrc" +ju 2 +blo "31000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,62000,7200" +st "ALUSrc : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +) +*78 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,32625,16000,33375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "17000,32500,20000,33500" +st "funct3" +blo "17000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,72000,3200" +st "funct3 : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 5,0 +) +) +) +*79 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,34625,16000,35375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "17000,34500,20000,35500" +st "funct7" +blo "17000,35300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,62000,4000" +st "funct7 : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*80 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,31625,32750,32375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "27500,31500,31000,32500" +st "immSrc" +ju 2 +blo "31000,32300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,72000,8800" +st "immSrc : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*81 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,25625,32750,26375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,8,0" +) +xt "26300,25500,31000,26500" +st "memWrite" +ju 2 +blo "31000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,62000,9600" +st "memWrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 8,0 +) +) +) +*82 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,30625,16000,31375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,8,0" +) +xt "17000,30500,18500,31500" +st "op" +blo "17000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,72000,4800" +st "op : IN std_ulogic_vector (6 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*83 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,23625,16000,24375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "17000,23500,19800,24500" +st "PCSrc" +blo "17000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,62000,8000" +st "PCSrc : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 10,0 +) +) +) +*84 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,38000,26375,38750" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 104,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "25500,33200,26500,37000" +st "regwrite" +blo "26300,37000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,62000,10400" +st "regwrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 11,0 +) +) +) +*85 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,23625,32750,24375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +font "Verdana,8,0" +) +xt "27100,23500,31000,24500" +st "resultSrc" +ju 2 +blo "31000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,71000,11200" +st "resultSrc : OUT std_ulogic_vector (1 downto 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 11 +suid 12,0 +) +) +) +*86 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,35625,32750,36375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "28800,35500,31000,36500" +st "zero" +ju 2 +blo "31000,36300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62000,5600" +st "zero : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 344,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,23000,32000,38000" +) +oxt "15000,6000,28000,28000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15800,38800,23200,40000" +st "HEIRV32_SC" +blo "15800,39800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15800,40000,22600,41200" +st "controlUnit" +blo "15800,41000" +) +) +gi *87 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,42200,27500,44600" +st "Generic Declarations + +g_tDec time 25 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "25 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*88 (Grouping +uid 16,0 +optionalChildren [ +*89 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *99 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*101 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-8,-8,1928,1048" +viewArea "11300,5100,74496,38400" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-9000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_SC" +entityName "heirv32_sc" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *102 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *103 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,11200,45200,12400" +st "User:" +blo "42000,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12400,44000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 587,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/data@memory/symbol.sb b/Libs/RiscV/HEIRV32/SingleCycle/hds/data@memory/symbol.sb new file mode 100644 index 0000000..9dd996e --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/data@memory/symbol.sb @@ -0,0 +1,1860 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "address" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 30 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 29 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 32 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 31 +suid 7,0 +) +) +uid 98,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 117,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 89,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 91,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 93,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 95,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 97,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 180,0 +) +*54 (LogGeneric +generic (GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +uid 209,0 +) +*55 (LogGeneric +generic (GiElement +name "g_tMemWr" +type "time" +value "60 ps" +) +uid 280,0 +) +*56 (LogGeneric +generic (GiElement +name "g_tMemRd" +type "time" +value "200 ps" +) +uid 282,0 +) +*57 (LogGeneric +generic (GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +uid 284,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *59 (MRCItem +litem &41 +pos 5 +dimension 20 +) +uid 145,0 +optionalChildren [ +*60 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 146,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 147,0 +) +*62 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*63 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 181,0 +) +*64 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 210,0 +) +*65 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 281,0 +) +*66 (MRCItem +litem &56 +pos 3 +dimension 20 +uid 283,0 +) +*67 (MRCItem +litem &57 +pos 4 +dimension 20 +uid 285,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*68 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +*69 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 151,0 +) +*70 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 152,0 +) +*71 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 153,0 +) +*72 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 154,0 +) +*73 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 155,0 +) +*74 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\data@memory\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\data@memory\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\data@memory" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\dataMemory" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "dataMemory" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "12:10:26" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "dataMemory" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\data@memory\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\dataMemory\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "12:10:26" +) +(vvPair +variable "unit" +value "dataMemory" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,20625,17000,21375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "18000,20500,21600,21500" +st "address" +blo "18000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,77500,3200" +st "address : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "address" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 30 +suid 1,0 +) +) +) +*77 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,13250,19375,14000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "18453,14307,19453,15907" +st "clk" +ju 2 +blo "19253,14307" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "clk : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*78 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,13250,24375,14000" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "23500,15000,24500,16500" +st "en" +ju 2 +blo "24300,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*79 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,17625,17000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,8,0" +) +xt "18000,17500,21500,18500" +st "writeEn" +blo "18000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61500,7200" +st "writeEn : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 29 +suid 4,0 +) +) +) +*80 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26000,23625,26750,24375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "20800,23500,25000,24500" +st "readData" +ju 2 +blo "25000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,76500,8000" +st "readData : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 32 +suid 5,0 +) +) +) +*81 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,13250,21375,14000" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "20500,15000,21500,16500" +st "rst" +ju 2 +blo "21300,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "rst : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*82 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16250,28625,17000,29375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "18000,28500,22400,29500" +st "writeData" +blo "18000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,77500,6400" +st "writeData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 31 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "17000,14000,26000,32000" +) +oxt "15000,6000,23000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17300,32800,24700,34000" +st "HEIRV32_SC" +blo "17300,33800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17300,34000,24700,35200" +st "dataMemory" +blo "17300,35000" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,36600,34500,42200" +st "Generic Declarations + +g_dataWidth positive 32 +g_memoryNbBits positive 7 +g_tMemWr time 60 ps +g_tMemRd time 200 ps +g_tSetup time 50 ps " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +(GiElement +name "g_tMemWr" +type "time" +value "60 ps" +) +(GiElement +name "g_tMemRd" +type "time" +value "200 ps" +) +(GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*95 (CommentGraphic +uid 182,0 +shape (PolyLine2D +pts [ +"18000,14000" +"19000,16000" +] +uid 183,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "18000,14000,19000,16000" +) +) +*96 (CommentGraphic +uid 184,0 +shape (PolyLine2D +pts [ +"20000,14000" +"19000,16000" +] +uid 185,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "19000,14000,20000,16000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *97 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*99 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1920,0,3841,1056" +viewArea "200,8100,62841,41400" +cachedDiagramExtent "0,0,77500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_SC" +entityName "heirv32_sc" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *100 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *101 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 308,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/struct.bd b/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/struct.bd new file mode 100644 index 0000000..050c290 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/struct.bd @@ -0,0 +1,12244 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_pcMux" +duLibraryName "gates" +duName "mux2to1Unsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tMux" +) +] +mwi 0 +uid 822,0 +) +(Instance +name "U_PCAdder" +duLibraryName "operators" +duName "addUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tAdd" +) +] +mwi 0 +uid 7205,0 +) +(Instance +name "U_beqAdder" +duLibraryName "operators" +duName "addUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tAdd" +) +] +mwi 0 +uid 7299,0 +) +(Instance +name "U_muxSrcB" +duLibraryName "gates" +duName "mux2to1ULogicVector" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tMux" +) +] +mwi 0 +uid 7354,0 +) +(Instance +name "U_immextToUnsigned" +duLibraryName "gates" +duName "transUlogUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 7384,0 +) +(Instance +name "U_0" +duLibraryName "gates" +duName "transUnsignedUlog" +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +mwi 0 +uid 8891,0 +) +(Instance +name "U_extend" +duLibraryName "HEIRV32" +duName "extend" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tExt" +type "time" +value "c_tExt" +) +] +mwi 0 +uid 9502,0 +) +(Instance +name "U_pcBuffer" +duLibraryName "HEIRV32" +duName "bufferUnsignedEnable" +elements [ +(GiElement +name "g_bitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tPC" +type "time" +value "c_tPC" +) +] +mwi 0 +uid 9536,0 +) +(Instance +name "U_muxData" +duLibraryName "HEIRV32" +duName "mux4To1ULogVec" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "c_tMux" +) +] +mwi 0 +uid 9604,0 +) +(Instance +name "U_alu" +duLibraryName "HEIRV32" +duName "ALU" +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tALU" +type "time" +value "c_tALU" +) +] +mwi 0 +uid 9676,0 +) +(Instance +name "U_registerFile" +duLibraryName "HEIRV32" +duName "registerFile" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_btnsNb" +type "positive" +value "g_btnsNb" +) +(GiElement +name "g_tRfRd" +type "time" +value "c_tRfRd" +) +(GiElement +name "g_tRfWr" +type "time" +value "c_tRfWr" +) +(GiElement +name "g_tSetup" +type "time" +value "c_tSetup" +) +] +mwi 0 +uid 9738,0 +) +(Instance +name "U_controlUnit" +duLibraryName "HEIRV32_SC" +duName "controlUnit" +elements [ +(GiElement +name "g_tDec" +type "time" +value "c_tDec" +) +] +mwi 0 +uid 9792,0 +) +(Instance +name "U_instrMemory" +duLibraryName "HEIRV32_SC" +duName "instrMemory" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "g_memoryNbBits" +) +(GiElement +name "g_programFile" +type "string" +value "g_programFile" +) +(GiElement +name "g_tMemRd" +type "time" +value "c_tMemRd" +) +] +mwi 0 +uid 9810,0 +) +(Instance +name "U_dataMemory" +duLibraryName "HEIRV32_SC" +duName "dataMemory" +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "g_memoryNbBits" +) +(GiElement +name "g_tMemWr" +type "time" +value "c_tMemWr" +) +(GiElement +name "g_tMemRd" +type "time" +value "c_tMemRd" +) +(GiElement +name "g_tSetup" +type "time" +value "c_tSetup" +) +] +mwi 0 +uid 9909,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc" +) +(vvPair +variable "date" +value "07.11.2022" +) +(vvPair +variable "day" +value "lun." +) +(vvPair +variable "day_long" +value "lundi" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "heirv32_sc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "07.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:01:53" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_sc" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:01:53" +) +(vvPair +variable "unit" +value "heirv32_sc" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,4000,76000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,4000,70700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,0,80000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "76200,0,79200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,2000,76000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,2000,69200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,2000,59000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,2000,57300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,1000,96000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "76200,1200,85600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "80000,0,96000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "80200,0,81800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,0,76000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "60350,400,70650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,3000,59000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,3000,57300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,4000,59000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,4000,57900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,3000,76000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "59200,3000,71100,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "55000,0,96000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (PortIoIn +uid 362,0 +shape (CompositeShape +uid 363,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 364,0 +sl 0 +ro 270 +xt "9000,37625,10500,38375" +) +(Line +uid 365,0 +sl 0 +ro 270 +xt "10500,38000,11000,38000" +pts [ +"10500,38000" +"11000,38000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 366,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 367,0 +va (VaSet +) +xt "5800,37400,8000,38600" +st "clk" +ju 2 +blo "8000,38400" +tm "WireNameMgr" +) +) +) +*13 (PortIoIn +uid 368,0 +shape (CompositeShape +uid 369,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 370,0 +sl 0 +ro 270 +xt "9000,39625,10500,40375" +) +(Line +uid 371,0 +sl 0 +ro 270 +xt "10500,40000,11000,40000" +pts [ +"10500,40000" +"11000,40000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 372,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 373,0 +va (VaSet +) +xt "5900,39400,8000,40600" +st "rst" +ju 2 +blo "8000,40400" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 672,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 20,0 +) +declText (MLText +uid 673,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,37500,5600" +st "rst : std_ulogic" +) +) +*15 (Net +uid 674,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 21,0 +) +declText (MLText +uid 675,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,37500,4000" +st "clk : std_ulogic" +) +) +*16 (SaComponent +uid 822,0 +optionalChildren [ +*17 (CptPort +uid 806,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 807,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19250,78625,20000,79375" +) +tg (CPTG +uid 808,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 809,0 +va (VaSet +font "Verdana,8,0" +) +xt "20339,78427,22039,79427" +st "in0" +blo "20339,79227" +) +s (Text +uid 832,0 +va (VaSet +) +xt "20339,79427,20339,79427" +blo "20339,79427" +) +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*18 (CptPort +uid 810,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 811,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "24000,79625,24750,80375" +) +tg (CPTG +uid 812,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 813,0 +va (VaSet +fg "65535,65535,65535" +font "Verdana,8,0" +) +xt "24300,78500,28000,79500" +st "muxOut" +ju 2 +blo "28000,79300" +) +s (Text +uid 833,0 +va (VaSet +) +xt "28000,79500,28000,79500" +ju 2 +blo "28000,79500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*19 (CptPort +uid 814,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 815,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "22625,77750,23375,78500" +) +tg (CPTG +uid 816,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 817,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "22400,78833,23400,80433" +st "sel" +ju 2 +blo "23200,78833" +) +s (Text +uid 834,0 +ro 270 +va (VaSet +) +xt "23400,78833,23400,78833" +ju 2 +blo "23400,78833" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*20 (CptPort +uid 818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 819,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "19250,80625,20000,81375" +) +tg (CPTG +uid 820,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 821,0 +va (VaSet +font "Verdana,8,0" +) +xt "20367,80527,22067,81527" +st "in1" +blo "20367,81327" +) +s (Text +uid 835,0 +va (VaSet +) +xt "20367,81527,20367,81527" +blo "20367,81527" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 823,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,77000,24000,83000" +) +showPorts 0 +oxt "38000,2000,44000,18000" +ttg (MlTextGroup +uid 824,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 825,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "16600,82700,19700,83700" +st "gates" +blo "16600,83500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 826,0 +va (VaSet +font "Verdana,8,1" +) +xt "16600,83700,26300,84700" +st "mux2to1Unsigned" +blo "16600,84500" +tm "CptNameMgr" +) +*23 (Text +uid 827,0 +va (VaSet +font "Verdana,8,1" +) +xt "16600,84700,21500,85700" +st "U_pcMux" +blo "16600,85500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 828,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 829,0 +text (MLText +uid 830,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,86000,34500,88000" +st "dataBitNb = c_dataWidth ( positive ) +delay = c_tMux ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tMux" +) +] +) +viewicon (ZoomableIcon +uid 831,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "20250,81250,21750,82750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 1362,0 +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 30 +suid 46,0 +) +declText (MLText +uid 1363,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,39200,41000,40000" +st "SIGNAL zero : std_ulogic" +) +) +*25 (Net +uid 1376,0 +lang 11 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 26 +suid 48,0 +) +declText (MLText +uid 1377,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,36000,51000,36800" +st "SIGNAL resultSrc : std_ulogic_vector(1 downto 0)" +) +) +*26 (Net +uid 1388,0 +lang 11 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 50,0 +) +declText (MLText +uid 1389,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,20800,51000,21600" +st "SIGNAL ALUControl : std_ulogic_vector(2 DOWNTO 0)" +) +) +*27 (Net +uid 1434,0 +lang 11 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 57,0 +) +declText (MLText +uid 1435,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,30400,51000,31200" +st "SIGNAL immSrc : std_ulogic_vector(1 DOWNTO 0)" +) +) +*28 (Net +uid 1436,0 +lang 11 +decl (Decl +n "regwrite" +t "std_ulogic" +o 24 +suid 58,0 +) +declText (MLText +uid 1437,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,34400,41000,35200" +st "SIGNAL regwrite : std_ulogic" +) +) +*29 (Net +uid 1662,0 +lang 11 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 20 +suid 59,0 +) +declText (MLText +uid 1663,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,31200,57000,32000" +st "SIGNAL instruction : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*30 (Net +uid 2122,0 +lang 11 +decl (Decl +n "immExt" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 18 +suid 65,0 +) +declText (MLText +uid 2123,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,29600,57000,30400" +st "SIGNAL immExt : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*31 (Net +uid 2450,0 +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 27 +suid 74,0 +) +declText (MLText +uid 2451,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,36800,57000,37600" +st "SIGNAL srcA : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*32 (Net +uid 2452,0 +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 28 +suid 75,0 +) +declText (MLText +uid 2453,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,37600,57000,38400" +st "SIGNAL srcB : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*33 (Net +uid 2595,0 +lang 11 +decl (Decl +n "ALUResult" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 8 +suid 79,0 +) +declText (MLText +uid 2596,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,21600,57000,22400" +st "SIGNAL ALUResult : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*34 (Net +uid 4351,0 +lang 11 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 93,0 +) +declText (MLText +uid 4352,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,48000,6400" +st "dbg_leds : std_ulogic_vector(31 DOWNTO 0)" +) +) +*35 (PortIoOut +uid 4365,0 +shape (CompositeShape +uid 4366,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4367,0 +sl 0 +ro 270 +xt "173500,13625,175000,14375" +) +(Line +uid 4368,0 +sl 0 +ro 270 +xt "173000,14000,173500,14000" +pts [ +"173000,14000" +"173500,14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4369,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4370,0 +va (VaSet +) +xt "176000,13400,181200,14600" +st "dbg_leds" +blo "176000,14400" +tm "WireNameMgr" +) +) +) +*36 (HdlText +uid 4373,0 +optionalChildren [ +*37 (EmbeddedText +uid 4478,0 +commentText (CommentText +uid 4479,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 4480,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "118000,10000,170000,26000" +) +oxt "0,0,18000,5000" +text (MLText +uid 4481,0 +va (VaSet +) +xt "118200,10200,170000,24600" +st " + + +dbg_leds(7 downto 0) <= std_ulogic_vector(PC(9 downto 2)); -- no need to read LSBs since does +4 each time + +dbg_leds(14 downto 8) <= instruction(6 downto 0); -- op + +dbg_leds(17 downto 15) <= instruction(14 downto 12); -- funct3 + +dbg_leds(18) <= instruction(30); -- funct7 + +dbg_leds(31 downto 19) <= (others => '0'); -- not used + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 52000 +) +) +) +] +shape (Rectangle +uid 4374,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "118000,9000,170000,28000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 4375,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 4376,0 +va (VaSet +font "Verdana,9,1" +) +xt "127800,28800,130200,30000" +st "eb2" +blo "127800,29800" +tm "HdlTextNameMgr" +) +*39 (Text +uid 4377,0 +va (VaSet +font "Verdana,9,1" +) +xt "127800,30000,129000,31200" +st "2" +blo "127800,31000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 4378,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "118250,26250,119750,27750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*40 (PortIoIn +uid 4490,0 +shape (CompositeShape +uid 4491,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4492,0 +sl 0 +ro 270 +xt "9000,41625,10500,42375" +) +(Line +uid 4493,0 +sl 0 +ro 270 +xt "10500,42000,11000,42000" +pts [ +"10500,42000" +"11000,42000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 4494,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4495,0 +va (VaSet +) +xt "6100,41400,8000,42600" +st "en" +ju 2 +blo "8000,42400" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 4496,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 97,0 +) +declText (MLText +uid 4497,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,37500,4800" +st "en : std_ulogic" +) +) +*42 (Net +uid 5835,0 +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 101,0 +) +declText (MLText +uid 5836,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,52000,3200" +st "btns : std_ulogic_vector(g_btnsNb-1 DOWNTO 0)" +) +) +*43 (PortIoIn +uid 5841,0 +shape (CompositeShape +uid 5842,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5843,0 +sl 0 +ro 270 +xt "89000,87625,90500,88375" +) +(Line +uid 5844,0 +sl 0 +ro 270 +xt "90500,88000,91000,88000" +pts [ +"90500,88000" +"91000,88000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 5845,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5846,0 +va (VaSet +) +xt "85100,87400,88000,88600" +st "btns" +ju 2 +blo "88000,88400" +tm "WireNameMgr" +) +) +) +*44 (Net +uid 5847,0 +lang 11 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 102,0 +) +declText (MLText +uid 5848,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,53500,7200" +st "leds : std_ulogic_vector(g_dataWidth-1 DOWNTO 0)" +) +) +*45 (PortIoOut +uid 5853,0 +shape (CompositeShape +uid 5854,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 5855,0 +sl 0 +ro 90 +xt "89000,88625,90500,89375" +) +(Line +uid 5856,0 +sl 0 +ro 90 +xt "90500,89000,91000,89000" +pts [ +"91000,89000" +"90500,89000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 5857,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5858,0 +va (VaSet +) +xt "85200,88400,88000,89600" +st "leds" +ju 2 +blo "88000,89400" +tm "WireNameMgr" +) +) +) +*46 (Net +uid 7050,0 +lang 11 +decl (Decl +n "PCTarget" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 15 +suid 103,0 +) +declText (MLText +uid 7051,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,27200,53500,28000" +st "SIGNAL PCTarget : unsigned(c_dataWidth - 1 downto 0)" +) +) +*47 (Net +uid 7052,0 +lang 11 +decl (Decl +n "PCPlus4" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 12 +suid 104,0 +) +declText (MLText +uid 7053,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,24800,53500,25600" +st "SIGNAL PCPlus4 : unsigned(c_dataWidth - 1 downto 0)" +) +) +*48 (Net +uid 7054,0 +decl (Decl +n "PCNext" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 11 +suid 105,0 +) +declText (MLText +uid 7055,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,24000,52500,24800" +st "SIGNAL PCNext : unsigned(c_dataWidth-1 DOWNTO 0)" +) +) +*49 (Net +uid 7170,0 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 14 +suid 107,0 +) +declText (MLText +uid 7171,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,26400,41000,27200" +st "SIGNAL PCSrc : std_uLogic" +) +) +*50 (Net +uid 7180,0 +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(c_dataWidth - 1 DOWNTO 0)" +o 10 +suid 109,0 +) +declText (MLText +uid 7181,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,23200,53500,24000" +st "SIGNAL PC : unsigned(c_dataWidth - 1 DOWNTO 0)" +) +) +*51 (SaComponent +uid 7205,0 +optionalChildren [ +*52 (CptPort +uid 7190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7191,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,86625,41000,87375" +) +tg (CPTG +uid 7192,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7193,0 +va (VaSet +) +xt "41000,86400,43300,87600" +st "in1" +blo "41000,87400" +) +s (Text +uid 7194,0 +va (VaSet +) +xt "41000,87600,41000,87600" +blo "41000,87600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 7195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7196,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46000,88625,46750,89375" +) +tg (CPTG +uid 7197,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7198,0 +va (VaSet +) +xt "43000,88400,46000,89600" +st "out1" +ju 2 +blo "46000,89400" +) +s (Text +uid 7199,0 +va (VaSet +) +xt "46000,89600,46000,89600" +ju 2 +blo "46000,89600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*54 (CptPort +uid 7200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7201,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,90625,41000,91375" +) +tg (CPTG +uid 7202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7203,0 +va (VaSet +) +xt "41000,90400,43300,91600" +st "in2" +blo "41000,91400" +) +s (Text +uid 7204,0 +va (VaSet +) +xt "41000,91600,41000,91600" +blo "41000,91600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2003,0 +) +) +) +] +shape (Alu +uid 7206,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,85000,46000,93000" +) +showPorts 0 +oxt "35000,16000,40000,24000" +ttg (MlTextGroup +uid 7207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 7208,0 +va (VaSet +font "Arial,8,1" +) +xt "34910,91700,38910,92700" +st "operators" +blo "34910,92500" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 7209,0 +va (VaSet +font "Arial,8,1" +) +xt "34910,92700,40710,93700" +st "addUnsigned" +blo "34910,93500" +tm "CptNameMgr" +) +*57 (Text +uid 7210,0 +va (VaSet +font "Arial,8,1" +) +xt "34910,93700,39610,94700" +st "U_PCAdder" +blo "34910,94500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7211,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7212,0 +text (MLText +uid 7213,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "41000,95800,63500,97400" +st "dataBitNb = c_dataWidth ( positive ) +delay = c_tAdd ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tAdd" +) +] +) +viewicon (ZoomableIcon +uid 7214,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,91250,42750,92750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (SaComponent +uid 7299,0 +optionalChildren [ +*59 (CptPort +uid 7309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7310,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "125250,91625,126000,92375" +) +tg (CPTG +uid 7311,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7312,0 +va (VaSet +) +xt "126000,91400,128300,92600" +st "in1" +blo "126000,92400" +) +s (Text +uid 7313,0 +va (VaSet +) +xt "126000,92600,126000,92600" +blo "126000,92600" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*60 (CptPort +uid 7314,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "131000,93625,131750,94375" +) +tg (CPTG +uid 7316,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7317,0 +va (VaSet +) +xt "128000,93400,131000,94600" +st "out1" +ju 2 +blo "131000,94400" +) +s (Text +uid 7318,0 +va (VaSet +) +xt "131000,94600,131000,94600" +ju 2 +blo "131000,94600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +*61 (CptPort +uid 7319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7320,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "125250,95625,126000,96375" +) +tg (CPTG +uid 7321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7322,0 +va (VaSet +) +xt "126000,95400,128300,96600" +st "in2" +blo "126000,96400" +) +s (Text +uid 7323,0 +va (VaSet +) +xt "126000,96600,126000,96600" +blo "126000,96600" +) +) +thePort (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +) +) +) +] +shape (Alu +uid 7300,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "126000,90000,131000,98000" +) +showPorts 0 +oxt "35000,16000,40000,24000" +ttg (MlTextGroup +uid 7301,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +uid 7302,0 +va (VaSet +font "Arial,8,1" +) +xt "126910,97700,130910,98700" +st "operators" +blo "126910,98500" +tm "BdLibraryNameMgr" +) +*63 (Text +uid 7303,0 +va (VaSet +font "Arial,8,1" +) +xt "126910,98700,132710,99700" +st "addUnsigned" +blo "126910,99500" +tm "CptNameMgr" +) +*64 (Text +uid 7304,0 +va (VaSet +font "Arial,8,1" +) +xt "126910,99700,132310,100700" +st "U_beqAdder" +blo "126910,100500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7305,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7306,0 +text (MLText +uid 7307,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "126000,100800,148500,102400" +st "dataBitNb = c_dataWidth ( positive ) +delay = c_tAdd ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tAdd" +) +] +) +viewicon (ZoomableIcon +uid 7308,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "126250,96250,127750,97750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*65 (SaComponent +uid 7354,0 +optionalChildren [ +*66 (CptPort +uid 7338,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7339,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "113250,79625,114000,80375" +) +tg (CPTG +uid 7340,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7341,0 +va (VaSet +) +xt "114275,79400,116575,80600" +st "in0" +blo "114275,80400" +) +s (Text +uid 7364,0 +va (VaSet +) +xt "114275,80600,114275,80600" +blo "114275,80600" +) +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 7342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7343,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "118000,80625,118750,81375" +) +tg (CPTG +uid 7344,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7345,0 +va (VaSet +fg "0,65535,0" +font "Verdana,8,0" +) +xt "114300,80500,118000,81500" +st "muxOut" +ju 2 +blo "118000,81300" +) +s (Text +uid 7365,0 +va (VaSet +) +xt "118000,81500,118000,81500" +ju 2 +blo "118000,81500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 7346,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7347,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "116625,78750,117375,79500" +) +tg (CPTG +uid 7348,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7349,0 +ro 270 +va (VaSet +) +xt "116400,80000,117600,82200" +st "sel" +ju 2 +blo "117400,80000" +) +s (Text +uid 7366,0 +ro 270 +va (VaSet +) +xt "117600,80000,117600,80000" +ju 2 +blo "117600,80000" +) +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 7350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7351,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "113250,81625,114000,82375" +) +tg (CPTG +uid 7352,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7353,0 +va (VaSet +) +xt "114279,81093,116579,82293" +st "in1" +blo "114279,82093" +) +s (Text +uid 7367,0 +va (VaSet +) +xt "114279,82293,114279,82293" +blo "114279,82293" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 7355,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "114000,78000,118000,84000" +) +showPorts 0 +oxt "42000,-11000,48000,5000" +ttg (MlTextGroup +uid 7356,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 7357,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "115600,82700,118700,83700" +st "gates" +blo "115600,83500" +tm "BdLibraryNameMgr" +) +*71 (Text +uid 7358,0 +va (VaSet +font "Verdana,8,1" +) +xt "115600,83700,127100,84700" +st "mux2to1ULogicVector" +blo "115600,84500" +tm "CptNameMgr" +) +*72 (Text +uid 7359,0 +va (VaSet +font "Verdana,8,1" +) +xt "115600,84700,121500,85700" +st "U_muxSrcB" +blo "115600,85500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7360,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7361,0 +text (MLText +uid 7362,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "119000,87800,137500,89800" +st "dataBitNb = c_dataWidth ( positive ) +delay = c_tMux ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "delay" +type "time" +value "c_tMux" +) +] +) +viewicon (ZoomableIcon +uid 7363,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "114250,82250,115750,83750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*73 (Net +uid 7374,0 +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 29 +suid 113,0 +) +declText (MLText +uid 7375,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,38400,57000,39200" +st "SIGNAL writeData : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*74 (SaComponent +uid 7384,0 +optionalChildren [ +*75 (CptPort +uid 7394,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7395,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "115250,96625,116000,97375" +) +tg (CPTG +uid 7396,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7397,0 +va (VaSet +isHidden 1 +) +xt "116000,96700,118300,97900" +st "in1" +blo "116000,97700" +) +s (Text +uid 7398,0 +va (VaSet +isHidden 1 +) +xt "116000,97900,116000,97900" +blo "116000,97900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*76 (CptPort +uid 7399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7400,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "121000,96625,121750,97375" +) +tg (CPTG +uid 7401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7402,0 +va (VaSet +isHidden 1 +) +xt "118000,96700,121000,97900" +st "out1" +ju 2 +blo "121000,97700" +) +s (Text +uid 7403,0 +va (VaSet +isHidden 1 +) +xt "121000,97900,121000,97900" +ju 2 +blo "121000,97900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +) +) +) +] +shape (Buf +uid 7385,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "116000,94000,121000,100000" +) +showPorts 0 +oxt "36000,15000,41000,21000" +ttg (MlTextGroup +uid 7386,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 7387,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "111310,99600,114410,100600" +st "gates" +blo "111310,100400" +tm "BdLibraryNameMgr" +) +*78 (Text +uid 7388,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "111310,100600,121510,101600" +st "transUlogUnsigned" +blo "111310,101400" +tm "CptNameMgr" +) +*79 (Text +uid 7389,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "111310,100600,122610,101600" +st "U_immextToUnsigned" +blo "111310,101400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7390,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7391,0 +text (MLText +uid 7392,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "116000,104000,134500,106000" +st "delay = 0 ps ( time ) +dataBitNb = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 7393,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "116250,98250,117750,99750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*80 (Net +uid 7404,0 +decl (Decl +n "out1" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 22 +suid 114,0 +) +declText (MLText +uid 7405,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,32800,52500,33600" +st "SIGNAL out1 : unsigned(c_dataWidth-1 DOWNTO 0)" +) +) +*81 (Net +uid 7430,0 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 9 +suid 116,0 +) +declText (MLText +uid 7431,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,22400,41000,23200" +st "SIGNAL ALUSrc : std_uLogic" +) +) +*82 (Net +uid 7476,0 +lang 11 +decl (Decl +n "memWrite" +t "std_ulogic" +o 21 +suid 118,0 +) +declText (MLText +uid 7477,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,32000,41000,32800" +st "SIGNAL memWrite : std_ulogic" +) +) +*83 (Net +uid 7632,0 +lang 11 +decl (Decl +n "result" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 25 +suid 126,0 +) +declText (MLText +uid 7633,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,35200,57000,36000" +st "SIGNAL result : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*84 (Net +uid 8703,0 +decl (Decl +n "c_fourUnsigned" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 16 +suid 131,0 +i "to_unsigned(4, c_dataWidth)" +) +declText (MLText +uid 8704,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,28000,72500,28800" +st "SIGNAL c_fourUnsigned : unsigned(c_dataWidth-1 DOWNTO 0) := to_unsigned(4, c_dataWidth)" +) +) +*85 (Net +uid 8879,0 +decl (Decl +n "c_zeroUlogVec" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 17 +suid 135,0 +i "(others=>'0')" +) +declText (MLText +uid 8880,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,28800,65500,29600" +st "SIGNAL c_zeroUlogVec : std_ulogic_vector(c_dataWidth-1 DOWNTO 0) := (others=>'0')" +) +) +*86 (SaComponent +uid 8891,0 +optionalChildren [ +*87 (CptPort +uid 8883,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8884,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "50250,96625,51000,97375" +) +tg (CPTG +uid 8885,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8886,0 +va (VaSet +isHidden 1 +) +xt "51000,96700,53300,97900" +st "in1" +blo "51000,97700" +) +s (Text +uid 8901,0 +va (VaSet +isHidden 1 +) +xt "51000,97900,51000,97900" +blo "51000,97900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*88 (CptPort +uid 8887,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 8888,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "56000,96625,56750,97375" +) +tg (CPTG +uid 8889,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 8890,0 +va (VaSet +isHidden 1 +) +xt "53000,96700,56000,97900" +st "out1" +ju 2 +blo "56000,97700" +) +s (Text +uid 8902,0 +va (VaSet +isHidden 1 +) +xt "56000,97900,56000,97900" +ju 2 +blo "56000,97900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 8892,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "51000,94000,56000,100000" +) +showPorts 0 +oxt "36000,15000,41000,21000" +ttg (MlTextGroup +uid 8893,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 8894,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "85310,110600,88410,111600" +st "gates" +blo "85310,111400" +tm "BdLibraryNameMgr" +) +*90 (Text +uid 8895,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "85310,111800,95510,112800" +st "transUnsignedUlog" +blo "85310,112600" +tm "CptNameMgr" +) +*91 (Text +uid 8896,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "85310,111600,87810,112600" +st "U_0" +blo "85310,112400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 8897,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 8898,0 +text (MLText +uid 8899,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "51000,101600,69500,103600" +st "delay = 0 ps ( time ) +dataBitNb = c_dataWidth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "0 ps" +) +(GiElement +name "dataBitNb" +type "positive" +value "c_dataWidth" +) +] +) +viewicon (ZoomableIcon +uid 8900,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "51250,98250,52750,99750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*92 (Net +uid 8917,0 +decl (Decl +n "PCPlus4_UlogVec" +t "std_ulogic_vector" +b "(c_dataWidth - 1 downto 0)" +o 13 +suid 138,0 +) +declText (MLText +uid 8918,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,25600,58000,26400" +st "SIGNAL PCPlus4_UlogVec : std_ulogic_vector(c_dataWidth - 1 downto 0)" +) +) +*93 (Net +uid 9094,0 +lang 11 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 30 +suid 139,0 +) +declText (MLText +uid 9095,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,33600,57000,34400" +st "SIGNAL readData : std_ulogic_vector(c_dataWidth-1 DOWNTO 0)" +) +) +*94 (Grouping +uid 9226,0 +optionalChildren [ +*95 (CommentGraphic +uid 9216,0 +shape (Arc2D +pts [ +"82000,42000" +"94000,41000" +"98000,42000" +] +uid 9217,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "82000,40675,98000,42000" +) +) +*96 (CommentText +uid 9223,0 +shape (Rectangle +uid 9224,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "90000,39000,94000,41000" +) +text (MLText +uid 9225,0 +va (VaSet +fg "32768,0,65280" +) +xt "90200,39200,93400,40400" +st " +Tdec +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 3600 +) +) +*97 (CommentGraphic +uid 9218,0 +shape (PolyLine2D +pts [ +"97993,42006" +"99993,43006" +] +as 2 +uid 9219,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "97993,42006,99993,43006" +) +) +] +shape (GroupingShape +uid 9227,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "82000,39000,99993,43006" +) +) +*98 (CommentText +uid 9232,0 +shape (Rectangle +uid 9233,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "31000,70000,35000,72000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9234,0 +va (VaSet +fg "32768,0,65280" +) +xt "31200,70200,33800,71400" +st " +Tpc +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4000 +) +) +*99 (Grouping +uid 9237,0 +optionalChildren [ +*100 (CommentGraphic +uid 9235,0 +shape (PolyLine2D +pts [ +"33555,72570" +"33999,73000" +] +as 2 +uid 9236,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "33555,72570,33999,73000" +) +oxt "38993,72006,40993,73006" +) +*101 (CommentGraphic +uid 9230,0 +shape (Arc2D +pts [ +"30001,72566" +"32667,72096" +"33557,72566" +] +uid 9231,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "30001,71956,33557,72566" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,71994,34000,73000" +) +oxt "23000,70666,40993,73006" +) +*102 (Grouping +uid 9239,0 +optionalChildren [ +*103 (CommentGraphic +uid 9241,0 +shape (PolyLine2D +pts [ +"24333,74570" +"24999,75000" +] +as 2 +uid 9242,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "24333,74570,24999,75000" +) +oxt "38993,72006,40993,73006" +) +*104 (CommentGraphic +uid 9243,0 +shape (Arc2D +pts [ +"19001,74566" +"23000,74126" +"24335,74566" +] +uid 9244,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "19001,73986,24335,74566" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9240,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "19000,73994,25000,75000" +) +oxt "23000,70666,40993,73006" +) +*105 (CommentText +uid 9245,0 +shape (Rectangle +uid 9246,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "19000,72000,23000,74000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9247,0 +va (VaSet +fg "32768,0,65280" +) +xt "19200,72200,22700,73400" +st " +Tmux +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4000 +) +) +*106 (CommentText +uid 9248,0 +shape (Rectangle +uid 9249,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "113000,75000,117000,77000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9250,0 +va (VaSet +fg "32768,0,65280" +) +xt "113200,75200,116700,76400" +st " +Tmux +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4000 +) +) +*107 (Grouping +uid 9251,0 +optionalChildren [ +*108 (CommentGraphic +uid 9253,0 +shape (PolyLine2D +pts [ +"118333,77570" +"118999,78000" +] +as 2 +uid 9254,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "118333,77570,118999,78000" +) +oxt "38993,72006,40993,73006" +) +*109 (CommentGraphic +uid 9255,0 +shape (Arc2D +pts [ +"113001,77566" +"117000,77126" +"118335,77566" +] +uid 9256,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "113001,76986,118335,77566" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9252,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "113000,76994,119000,78000" +) +oxt "23000,70666,40993,73006" +) +*110 (CommentText +uid 9257,0 +shape (Rectangle +uid 9258,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "177000,75000,181000,77000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9259,0 +va (VaSet +fg "32768,0,65280" +) +xt "177200,75200,180700,76400" +st " +Tmux +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4000 +) +) +*111 (Grouping +uid 9260,0 +optionalChildren [ +*112 (CommentGraphic +uid 9262,0 +shape (PolyLine2D +pts [ +"182333,77570" +"182999,78000" +] +as 2 +uid 9263,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "182333,77570,182999,78000" +) +oxt "38993,72006,40993,73006" +) +*113 (CommentGraphic +uid 9264,0 +shape (Arc2D +pts [ +"177001,77566" +"181000,77126" +"182335,77566" +] +uid 9265,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "177001,76986,182335,77566" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9261,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "177000,76994,183000,78000" +) +oxt "23000,70666,40993,73006" +) +*114 (CommentText +uid 9266,0 +shape (Rectangle +uid 9267,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "160000,65000,166000,67000" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9268,0 +va (VaSet +fg "32768,0,65280" +) +xt "160200,65200,165600,66400" +st " +Tmem_rd +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 6000 +) +) +*115 (Grouping +uid 9269,0 +optionalChildren [ +*116 (CommentGraphic +uid 9271,0 +shape (PolyLine2D +pts [ +"165889,67572" +"166999,68000" +] +as 2 +uid 9272,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "165889,67572,166999,68000" +) +oxt "38993,72006,40993,73006" +) +*117 (CommentGraphic +uid 9273,0 +shape (Arc2D +pts [ +"157001,67568" +"163666,67136" +"165891,67568" +] +uid 9274,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "157001,66994,165891,67568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9270,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "157000,67000,167000,68000" +) +oxt "23000,70666,40993,73006" +) +*118 (CommentText +uid 9275,0 +shape (Rectangle +uid 9276,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "54000,74000,60000,76000" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9277,0 +va (VaSet +fg "32768,0,65280" +) +xt "54200,74200,59600,75400" +st " +Tmem_rd +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 6000 +) +) +*119 (Grouping +uid 9278,0 +optionalChildren [ +*120 (CommentGraphic +uid 9280,0 +shape (PolyLine2D +pts [ +"59889,76572" +"60999,77000" +] +as 2 +uid 9281,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "59889,76572,60999,77000" +) +oxt "38993,72006,40993,73006" +) +*121 (CommentGraphic +uid 9282,0 +shape (Arc2D +pts [ +"51001,76568" +"57666,76136" +"59891,76568" +] +uid 9283,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "51001,75994,59891,76568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9279,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "51000,76000,61000,77000" +) +oxt "23000,70666,40993,73006" +) +*122 (CommentText +uid 9284,0 +shape (Rectangle +uid 9285,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "128000,67000,132200,69000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9286,0 +va (VaSet +fg "32768,0,65280" +) +xt "128200,67200,131100,68400" +st " +Talu +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*123 (Grouping +uid 9287,0 +optionalChildren [ +*124 (CommentGraphic +uid 9289,0 +shape (PolyLine2D +pts [ +"135777,69572" +"136999,70000" +] +as 2 +uid 9290,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "135777,69572,136999,70000" +) +oxt "38993,72006,40993,73006" +) +*125 (CommentGraphic +uid 9291,0 +shape (Arc2D +pts [ +"126002,69568" +"133333,69141" +"135780,69568" +] +uid 9292,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "126002,69000,135780,69568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9288,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "126000,69000,137000,70000" +) +oxt "23000,70666,40993,73006" +) +*126 (CommentText +uid 9293,0 +shape (Rectangle +uid 9294,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "123000,88000,127200,90000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9295,0 +va (VaSet +fg "32768,0,65280" +) +xt "123200,88200,126400,89400" +st " +Tadd +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*127 (Grouping +uid 9296,0 +optionalChildren [ +*128 (CommentGraphic +uid 9298,0 +shape (PolyLine2D +pts [ +"131333,89572" +"131999,90000" +] +as 2 +uid 9299,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "131333,89572,131999,90000" +) +oxt "38993,72006,40993,73006" +) +*129 (CommentGraphic +uid 9300,0 +shape (Arc2D +pts [ +"126001,89568" +"130000,89141" +"131335,89568" +] +uid 9301,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "126001,89005,131335,89568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9297,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "126000,89000,132000,90000" +) +oxt "23000,70666,40993,73006" +) +*130 (CommentText +uid 9302,0 +shape (Rectangle +uid 9303,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "41000,82000,45200,84000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9304,0 +va (VaSet +fg "32768,0,65280" +) +xt "41200,82200,44400,83400" +st " +Tadd +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*131 (Grouping +uid 9305,0 +optionalChildren [ +*132 (CommentGraphic +uid 9307,0 +shape (PolyLine2D +pts [ +"45333,84572" +"45999,85000" +] +as 2 +uid 9308,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "45333,84572,45999,85000" +) +oxt "38993,72006,40993,73006" +) +*133 (CommentGraphic +uid 9309,0 +shape (Arc2D +pts [ +"40001,84568" +"44000,84141" +"45335,84568" +] +uid 9310,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "40001,84005,45335,84568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9306,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "40000,84000,46000,85000" +) +oxt "23000,70666,40993,73006" +) +*134 (Grouping +uid 9311,0 +optionalChildren [ +*135 (CommentGraphic +uid 9313,0 +shape (PolyLine2D +pts [ +"98111,100428" +"99999,100000" +] +as 2 +uid 9314,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "98111,100000,99999,100428" +) +oxt "38993,70666,40993,71666" +) +*136 (CommentGraphic +uid 9315,0 +shape (Arc2D +pts [ +"98117,100432" +"94334,100859" +"83001,100432" +] +uid 9316,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "83001,100432,98117,101000" +) +oxt "23000,71673,39000,73006" +) +] +shape (GroupingShape +uid 9312,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "83000,100000,100000,101000" +) +oxt "23000,70666,40993,73006" +) +*137 (CommentText +uid 9317,0 +shape (Rectangle +uid 9318,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "91000,101000,95000,103000" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9319,0 +va (VaSet +fg "32768,0,65280" +) +xt "91200,101200,94200,102400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4000 +) +) +*138 (Grouping +uid 9320,0 +optionalChildren [ +*139 (CommentGraphic +uid 9322,0 +shape (PolyLine2D +pts [ +"56333,93572" +"56999,94000" +] +as 2 +uid 9323,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "56333,93572,56999,94000" +) +oxt "38993,72006,40993,73006" +) +*140 (CommentGraphic +uid 9324,0 +shape (Arc2D +pts [ +"51001,93568" +"55000,93141" +"56335,93568" +] +uid 9325,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "51001,93005,56335,93568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9321,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "51000,93000,57000,94000" +) +oxt "23000,70666,40993,73006" +) +*141 (CommentText +uid 9326,0 +shape (Rectangle +uid 9327,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "53043,91504,57243,93504" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9328,0 +va (VaSet +fg "32768,0,65280" +) +xt "53243,91704,56143,92904" +st " +0 ps +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*142 (CommentText +uid 9329,0 +shape (Rectangle +uid 9330,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "118043,91504,122243,93504" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9331,0 +va (VaSet +fg "32768,0,65280" +) +xt "118243,91704,121143,92904" +st " +0 ps +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*143 (Grouping +uid 9332,0 +optionalChildren [ +*144 (CommentGraphic +uid 9334,0 +shape (PolyLine2D +pts [ +"121333,93572" +"121999,94000" +] +as 2 +uid 9335,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "121333,93572,121999,94000" +) +oxt "38993,72006,40993,73006" +) +*145 (CommentGraphic +uid 9336,0 +shape (Arc2D +pts [ +"116001,93568" +"120000,93141" +"121335,93568" +] +uid 9337,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "116001,93005,121335,93568" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9333,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "116000,93000,122000,94000" +) +oxt "23000,70666,40993,73006" +) +*146 (Grouping +uid 9344,0 +optionalChildren [ +*147 (CommentGraphic +uid 9340,0 +shape (PolyLine2D +pts [ +"152751,86649" +"151751,86649" +] +as 2 +uid 9341,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "151751,86649,152751,86649" +) +oxt "150000,94000,151000,94000" +) +*148 (CommentGraphic +uid 9338,0 +shape (Arc2D +pts [ +"151718,85575" +"154720,84777" +"152718,86575" +] +uid 9339,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "151642,83540,154751,86649" +) +oxt "149891,90891,153000,94000" +) +] +shape (GroupingShape +uid 9345,0 +layer 8 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "151642,83540,154751,86649" +) +oxt "149891,90891,153000,94000" +) +*149 (CommentText +uid 9346,0 +shape (Rectangle +uid 9347,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "151608,84371,155608,86371" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9348,0 +va (VaSet +fg "32768,0,65280" +font "Verdana,8,0" +) +xt "151808,84571,155008,85571" +st " +Tsetup +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 4000 +) +) +*150 (CommentText +uid 9355,0 +shape (Rectangle +uid 9356,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "77608,80371,81608,82371" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9357,0 +va (VaSet +fg "32768,0,65280" +font "Verdana,8,0" +) +xt "77808,80571,81008,81571" +st " +Tsetup +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 4000 +) +) +*151 (Grouping +uid 9358,0 +optionalChildren [ +*152 (CommentGraphic +uid 9360,0 +shape (PolyLine2D +pts [ +"78751,82649" +"77751,82649" +] +as 2 +uid 9361,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "77751,82649,78751,82649" +) +oxt "150000,94000,151000,94000" +) +*153 (CommentGraphic +uid 9362,0 +shape (Arc2D +pts [ +"77718,81575" +"80720,80777" +"78718,82575" +] +uid 9363,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "77642,79540,80751,82649" +) +oxt "149891,90891,153000,94000" +) +] +shape (GroupingShape +uid 9359,0 +layer 8 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "77642,79540,80751,82649" +) +oxt "149891,90891,153000,94000" +) +*154 (CommentText +uid 9364,0 +shape (Rectangle +uid 9365,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "151608,73371,157608,75371" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9366,0 +va (VaSet +fg "32768,0,65280" +font "Verdana,8,0" +) +xt "151808,73571,156408,74571" +st " +Tmem_wr +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 6000 +) +) +*155 (Grouping +uid 9367,0 +optionalChildren [ +*156 (CommentGraphic +uid 9369,0 +shape (PolyLine2D +pts [ +"152751,75649" +"151751,75649" +] +as 2 +uid 9370,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "151751,75649,152751,75649" +) +oxt "150000,94000,151000,94000" +) +*157 (CommentGraphic +uid 9371,0 +shape (Arc2D +pts [ +"151718,74575" +"154720,73777" +"152718,75575" +] +uid 9372,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "151642,72540,154751,75649" +) +oxt "149891,90891,153000,94000" +) +] +shape (GroupingShape +uid 9368,0 +layer 8 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "151642,72540,154751,75649" +) +oxt "149891,90891,153000,94000" +) +*158 (CommentText +uid 9373,0 +shape (Rectangle +uid 9374,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "92608,67371,96608,69371" +) +autoResize 1 +oxt "90000,39000,94000,41000" +text (MLText +uid 9375,0 +va (VaSet +fg "32768,0,65280" +font "Verdana,8,0" +) +xt "92808,67571,95908,68571" +st " +Trf_wr +" +tm "CommentText" +visibleHeight 2000 +visibleWidth 4000 +) +) +*159 (Grouping +uid 9376,0 +optionalChildren [ +*160 (CommentGraphic +uid 9378,0 +shape (PolyLine2D +pts [ +"93751,69649" +"92751,69649" +] +as 2 +uid 9379,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "92751,69649,93751,69649" +) +oxt "150000,94000,151000,94000" +) +*161 (CommentGraphic +uid 9380,0 +shape (Arc2D +pts [ +"92718,68575" +"95720,67777" +"93718,69575" +] +uid 9381,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "92642,66540,95751,69649" +) +oxt "149891,90891,153000,94000" +) +] +shape (GroupingShape +uid 9377,0 +layer 8 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "92642,66540,95751,69649" +) +oxt "149891,90891,153000,94000" +) +*162 (CommentText +uid 9391,0 +shape (Rectangle +uid 9392,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +lineColor "65535,65535,65535" +lineWidth -1 +) +xt "87899,63302,92099,65302" +) +oxt "90000,39000,94000,41000" +text (MLText +uid 9393,0 +va (VaSet +fg "32768,0,65280" +) +xt "88099,63502,91799,64702" +st " +Trf_rd +" +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 4200 +) +) +*163 (Grouping +uid 9394,0 +optionalChildren [ +*164 (CommentGraphic +uid 9396,0 +shape (PolyLine2D +pts [ +"97999,66145" +"99999,66999" +] +as 2 +uid 9397,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "97999,66145,99999,66999" +) +oxt "38993,72006,40993,73006" +) +*165 (CommentGraphic +uid 9398,0 +shape (Arc2D +pts [ +"82001,66139" +"94000,65285" +"98005,66139" +] +uid 9399,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +lineColor "32768,0,65280" +) +xt "82001,65006,98005,66139" +) +oxt "23000,70666,39000,71999" +) +] +shape (GroupingShape +uid 9395,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "82000,65000,100000,67000" +) +oxt "23000,70666,40993,73006" +) +*166 (SaComponent +uid 9502,0 +optionalChildren [ +*167 (CptPort +uid 9486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "99000,96625,99750,97375" +) +tg (CPTG +uid 9488,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9489,0 +va (VaSet +font "Verdana,12,0" +) +xt "91200,96300,98000,97700" +st "extended" +ju 2 +blo "98000,97500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "extended" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +) +*168 (CptPort +uid 9490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "83250,96625,84000,97375" +) +tg (CPTG +uid 9492,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9493,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,96300,89000,97700" +st "input" +blo "85000,97500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(31 DOWNTO 7)" +o 1 +suid 2,0 +) +) +) +*169 (CptPort +uid 9494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9495,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "99000,93625,99750,94375" +) +tg (CPTG +uid 9496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9497,0 +va (VaSet +font "Verdana,12,0" +) +xt "95400,93300,98000,94700" +st "src" +ju 2 +blo "98000,94500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "src" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*170 (CommentGraphic +uid 9498,0 +shape (CustomPolygon +pts [ +"84000,96000" +"99000,96000" +"99000,99000" +"84000,99000" +"84000,96000" +] +uid 9499,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "84000,96000,99000,99000" +) +oxt "16000,13000,31000,16000" +) +*171 (CommentGraphic +uid 9500,0 +shape (CustomPolygon +pts [ +"84001,99000" +"84001,96000" +"98999,93000" +"98999,99000" +"84001,99000" +] +uid 9501,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "26368,26368,26368" +) +xt "84001,93000,98999,99000" +) +oxt "16001,10000,30999,16000" +) +] +shape (Rectangle +uid 9503,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "84000,93000,99000,99000" +fos 1 +) +oxt "16000,10000,31000,16000" +ttg (MlTextGroup +uid 9504,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*172 (Text +uid 9505,0 +va (VaSet +font "Verdana,9,1" +) +xt "78500,98300,83500,99500" +st "HEIRV32" +blo "78500,99300" +tm "BdLibraryNameMgr" +) +*173 (Text +uid 9506,0 +va (VaSet +font "Verdana,9,1" +) +xt "78500,99500,82600,100700" +st "extend" +blo "78500,100500" +tm "CptNameMgr" +) +*174 (Text +uid 9507,0 +va (VaSet +font "Verdana,9,1" +) +xt "78500,100700,83900,101900" +st "U_extend" +blo "78500,101700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9508,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9509,0 +text (MLText +uid 9510,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "83000,103800,106500,105400" +st "g_dataWidth = c_dataWidth ( positive ) +g_tExt = c_tExt ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tExt" +type "time" +value "c_tExt" +) +] +) +viewicon (ZoomableIcon +uid 9511,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "84250,97250,85750,98750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*175 (SaComponent +uid 9536,0 +optionalChildren [ +*176 (CptPort +uid 9512,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9513,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31625,76250,32375,77000" +) +tg (CPTG +uid 9514,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9515,0 +va (VaSet +font "Verdana,8,0" +) +xt "31184,77000,32784,78000" +st "clk" +blo "31184,77800" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*177 (CptPort +uid 9516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9517,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,79625,34750,80375" +) +tg (CPTG +uid 9518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9519,0 +va (VaSet +font "Verdana,8,0" +) +xt "31436,79454,33736,80454" +st "out1" +ju 2 +blo "33736,80254" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +*178 (CptPort +uid 9520,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9521,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,79625,30000,80375" +) +tg (CPTG +uid 9522,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9523,0 +va (VaSet +font "Verdana,8,0" +) +xt "30310,79500,32010,80500" +st "in1" +blo "30310,80300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*179 (CptPort +uid 9524,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9525,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31625,84000,32375,84750" +) +tg (CPTG +uid 9526,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9527,0 +va (VaSet +font "Verdana,8,0" +) +xt "31414,83000,32914,84000" +st "en" +blo "31414,83800" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*180 (CptPort +uid 9528,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9529,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,81625,30000,82375" +) +tg (CPTG +uid 9530,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9531,0 +va (VaSet +font "Verdana,8,0" +) +xt "30264,81500,31764,82500" +st "rst" +blo "30264,82300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*181 (CommentGraphic +uid 9532,0 +shape (PolyLine2D +pts [ +"30000,77000" +"32000,79000" +] +uid 9533,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "30000,77000,32000,79000" +) +oxt "22000,13000,24000,15000" +) +*182 (CommentGraphic +uid 9534,0 +shape (PolyLine2D +pts [ +"32000,79000" +"34000,77000" +] +uid 9535,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "32000,77000,34000,79000" +) +oxt "24000,13000,26000,15000" +) +] +shape (Rectangle +uid 9537,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,77000,34000,84000" +fos 1 +) +oxt "22000,13000,26000,20000" +ttg (MlTextGroup +uid 9538,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*183 (Text +uid 9539,0 +va (VaSet +font "Verdana,8,1" +) +xt "26600,85800,31200,86800" +st "HEIRV32" +blo "26600,86600" +tm "BdLibraryNameMgr" +) +*184 (Text +uid 9540,0 +va (VaSet +font "Verdana,8,1" +) +xt "26600,86800,38500,87800" +st "bufferUnsignedEnable" +blo "26600,87600" +tm "CptNameMgr" +) +*185 (Text +uid 9541,0 +va (VaSet +font "Verdana,8,1" +) +xt "26600,87800,32900,88800" +st "U_pcBuffer" +blo "26600,88600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9542,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9543,0 +text (MLText +uid 9544,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "28000,87600,49000,89200" +st "g_bitNb = c_dataWidth ( positive ) +g_tPC = c_tPC ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_bitNb" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tPC" +type "time" +value "c_tPC" +) +] +) +viewicon (ZoomableIcon +uid 9545,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "30250,82250,31750,83750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*186 (SaComponent +uid 9604,0 +optionalChildren [ +*187 (CptPort +uid 9580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9581,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "180625,80749,181375,81499" +) +tg (CPTG +uid 9582,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9583,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "180500,82000,181500,83600" +st "sel" +ju 2 +blo "181300,82000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +) +*188 (CptPort +uid 9584,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9585,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177250,86625,178000,87375" +) +tg (CPTG +uid 9586,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9587,0 +va (VaSet +font "Verdana,8,0" +) +xt "178000,86500,179700,87500" +st "in4" +blo "178000,87300" +) +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +) +*189 (CptPort +uid 9588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177250,82625,178000,83375" +) +tg (CPTG +uid 9590,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9591,0 +va (VaSet +font "Verdana,8,0" +) +xt "178000,82500,179700,83500" +st "in2" +blo "178000,83300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +) +*190 (CptPort +uid 9592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177250,80625,178000,81375" +) +tg (CPTG +uid 9594,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9595,0 +va (VaSet +font "Verdana,8,0" +) +xt "178000,80500,179700,81500" +st "in1" +blo "178000,81300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*191 (CptPort +uid 9596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9597,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "177250,84625,178000,85375" +) +tg (CPTG +uid 9598,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9599,0 +va (VaSet +font "Verdana,8,0" +) +xt "178000,84500,179700,85500" +st "in3" +blo "178000,85300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +) +*192 (CptPort +uid 9600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "182000,83625,182750,84375" +) +tg (CPTG +uid 9602,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9603,0 +va (VaSet +font "Verdana,8,0" +) +xt "179700,83500,182000,84500" +st "out1" +ju 2 +blo "182000,84300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +) +] +shape (Mux +uid 9605,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "178000,79000,182000,89000" +) +oxt "20000,14000,24000,24000" +ttg (MlTextGroup +uid 9606,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*193 (Text +uid 9607,0 +va (VaSet +font "Verdana,9,1" +) +xt "176000,90800,181000,92000" +st "HEIRV32" +blo "176000,91800" +tm "BdLibraryNameMgr" +) +*194 (Text +uid 9608,0 +va (VaSet +font "Verdana,9,1" +) +xt "176000,92000,186000,93200" +st "mux4To1ULogVec" +blo "176000,93000" +tm "CptNameMgr" +) +*195 (Text +uid 9609,0 +va (VaSet +font "Verdana,9,1" +) +xt "176000,93200,182400,94400" +st "U_muxData" +blo "176000,94200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9610,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9611,0 +text (MLText +uid 9612,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "176000,93600,199500,95200" +st "g_dataWidth = c_dataWidth ( positive ) +g_tMux = c_tMux ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tMux" +type "time" +value "c_tMux" +) +] +) +viewicon (ZoomableIcon +uid 9613,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "178250,87250,179750,88750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*196 (SaComponent +uid 9676,0 +optionalChildren [ +*197 (CptPort +uid 9656,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9657,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "130625,73027,131375,73777" +) +tg (CPTG +uid 9658,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9659,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "130300,74777,131700,77477" +st "ctrl" +ju 2 +blo "131500,74777" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ctrl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*198 (CptPort +uid 9660,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9661,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "135000,79625,135750,80375" +) +tg (CPTG +uid 9662,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9663,0 +va (VaSet +font "Verdana,12,0" +) +xt "131200,79300,134000,80700" +st "res" +ju 2 +blo "134000,80500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "res" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*199 (CptPort +uid 9664,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9665,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "125250,73625,126000,74375" +) +tg (CPTG +uid 9666,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9667,0 +va (VaSet +font "Verdana,12,0" +) +xt "127000,73300,130400,74700" +st "srcA" +blo "127000,74500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*200 (CptPort +uid 9668,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9669,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "125250,82625,126000,83375" +) +tg (CPTG +uid 9670,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9671,0 +va (VaSet +font "Verdana,12,0" +) +xt "127000,82300,130400,83700" +st "srcB" +blo "127000,83500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*201 (CptPort +uid 9672,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9673,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "135000,77625,135750,78375" +) +tg (CPTG +uid 9674,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9675,0 +va (VaSet +font "Verdana,12,0" +) +xt "130400,77300,134000,78700" +st "zero" +ju 2 +blo "134000,78500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Alu +uid 9677,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "126000,71000,135000,86000" +) +oxt "15000,9000,24000,24000" +ttg (MlTextGroup +uid 9678,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*202 (Text +uid 9679,0 +va (VaSet +font "Verdana,9,1" +) +xt "133500,83300,138500,84500" +st "HEIRV32" +blo "133500,84300" +tm "BdLibraryNameMgr" +) +*203 (Text +uid 9680,0 +va (VaSet +font "Verdana,9,1" +) +xt "133500,84500,136200,85700" +st "ALU" +blo "133500,85500" +tm "CptNameMgr" +) +*204 (Text +uid 9681,0 +va (VaSet +font "Verdana,9,1" +) +xt "133500,85700,136900,86900" +st "U_alu" +blo "133500,86700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9682,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9683,0 +text (MLText +uid 9684,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "126000,89600,149500,91200" +st "g_datawidth = c_dataWidth ( positive ) +g_tALU = c_tALU ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_tALU" +type "time" +value "c_tALU" +) +] +) +viewicon (ZoomableIcon +uid 9685,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "126250,84250,127750,85750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*205 (SaComponent +uid 9738,0 +optionalChildren [ +*206 (CptPort +uid 9686,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9687,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84625,70250,85375,71000" +) +tg (CPTG +uid 9688,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9689,0 +va (VaSet +font "Verdana,12,0" +) +xt "83424,71345,85824,72745" +st "clk" +ju 2 +blo "85824,72545" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*207 (CptPort +uid 9690,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9691,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,74625,82000,75375" +) +tg (CPTG +uid 9692,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9693,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,74300,87500,75700" +st "addr1" +blo "83000,75500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr1" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*208 (CptPort +uid 9694,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9695,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,82625,82000,83375" +) +tg (CPTG +uid 9696,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9697,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,82300,90000,83700" +st "writeData" +blo "83000,83500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*209 (CptPort +uid 9698,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9699,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "99000,75625,99750,76375" +) +tg (CPTG +uid 9700,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9701,0 +va (VaSet +font "Verdana,12,0" +) +xt "94700,75300,98000,76700" +st "RD1" +ju 2 +blo "98000,76500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*210 (CptPort +uid 9702,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9703,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "99000,79625,99750,80375" +) +tg (CPTG +uid 9704,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9705,0 +va (VaSet +font "Verdana,12,0" +) +xt "94700,79300,98000,80700" +st "RD2" +ju 2 +blo "98000,80500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD2" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +) +*211 (CptPort +uid 9706,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9707,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91625,70250,92375,71000" +) +tg (CPTG +uid 9708,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9709,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "91300,72000,92700,81900" +st "writeEnable3" +ju 2 +blo "92500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnable3" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*212 (CptPort +uid 9710,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9711,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87625,70250,88375,71000" +) +tg (CPTG +uid 9712,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9713,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "87300,72000,88700,74500" +st "rst" +ju 2 +blo "88500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*213 (CptPort +uid 9714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,76625,82000,77375" +) +tg (CPTG +uid 9716,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9717,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,76300,87500,77700" +st "addr2" +blo "83000,77500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr2" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*214 (CptPort +uid 9718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9719,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,78625,82000,79375" +) +tg (CPTG +uid 9720,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9721,0 +va (VaSet +font "Verdana,12,0" +) +xt "83000,78300,87500,79700" +st "addr3" +blo "83000,79500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr3" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*215 (CptPort +uid 9722,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9723,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "94625,70250,95375,71000" +) +tg (CPTG +uid 9724,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9725,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "94300,72000,95700,74400" +st "en" +ju 2 +blo "95500,72000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +*216 (CptPort +uid 9726,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9727,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "93625,85000,94375,85750" +) +tg (CPTG +uid 9728,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9729,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "93300,80400,94700,84000" +st "btns" +blo "94500,84000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +) +*217 (CptPort +uid 9730,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9731,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "95625,85000,96375,85750" +) +tg (CPTG +uid 9732,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9733,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "95300,80600,96700,84000" +st "leds" +blo "96500,84000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 12 +suid 12,0 +) +) +) +*218 (CommentGraphic +uid 9734,0 +shape (PolyLine2D +pts [ +"83000,71000" +"85000,74000" +] +uid 9735,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "83000,71000,85000,74000" +) +oxt "17000,21000,19000,24000" +) +*219 (CommentGraphic +uid 9736,0 +shape (PolyLine2D +pts [ +"85000,74000" +"87000,71000" +] +uid 9737,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "85000,71000,87000,74000" +) +oxt "19000,21000,21000,24000" +) +] +shape (Rectangle +uid 9739,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "82000,71000,99000,85000" +fos 1 +) +oxt "16000,21000,33000,35000" +ttg (MlTextGroup +uid 9740,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*220 (Text +uid 9741,0 +va (VaSet +font "Verdana,9,1" +) +xt "78050,85300,83050,86500" +st "HEIRV32" +blo "78050,86300" +tm "BdLibraryNameMgr" +) +*221 (Text +uid 9742,0 +va (VaSet +font "Verdana,9,1" +) +xt "78050,86500,84950,87700" +st "registerFile" +blo "78050,87500" +tm "CptNameMgr" +) +*222 (Text +uid 9743,0 +va (VaSet +font "Verdana,9,1" +) +xt "78050,87700,86250,88900" +st "U_registerFile" +blo "78050,88700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9744,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9745,0 +text (MLText +uid 9746,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "83000,88600,106500,92600" +st "g_dataWidth = c_dataWidth ( positive ) +g_btnsNb = g_btnsNb ( positive ) +g_tRfRd = c_tRfRd ( time ) +g_tRfWr = c_tRfWr ( time ) +g_tSetup = c_tSetup ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_btnsNb" +type "positive" +value "g_btnsNb" +) +(GiElement +name "g_tRfRd" +type "time" +value "c_tRfRd" +) +(GiElement +name "g_tRfWr" +type "time" +value "c_tRfWr" +) +(GiElement +name "g_tSetup" +type "time" +value "c_tSetup" +) +] +) +viewicon (ZoomableIcon +uid 9747,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "82250,83250,83750,84750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*223 (SaComponent +uid 9792,0 +optionalChildren [ +*224 (CptPort +uid 9748,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9749,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,48625,98750,49375" +) +tg (CPTG +uid 9750,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9751,0 +va (VaSet +font "Verdana,8,0" +) +xt "91600,48500,97000,49500" +st "ALUControl" +ju 2 +blo "97000,49300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 5 +suid 1,0 +) +) +) +*225 (CptPort +uid 9752,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9753,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,50625,98750,51375" +) +tg (CPTG +uid 9754,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9755,0 +va (VaSet +font "Verdana,8,0" +) +xt "93800,50500,97000,51500" +st "ALUSrc" +ju 2 +blo "97000,51300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +) +*226 (CptPort +uid 9756,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9757,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,53625,82000,54375" +) +tg (CPTG +uid 9758,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9759,0 +va (VaSet +font "Verdana,8,0" +) +xt "83000,53500,86000,54500" +st "funct3" +blo "83000,54300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 5,0 +) +) +) +*227 (CptPort +uid 9760,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9761,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,55625,82000,56375" +) +tg (CPTG +uid 9762,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9763,0 +va (VaSet +font "Verdana,8,0" +) +xt "83000,55500,86000,56500" +st "funct7" +blo "83000,56300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*228 (CptPort +uid 9764,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9765,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,52625,98750,53375" +) +tg (CPTG +uid 9766,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9767,0 +va (VaSet +font "Verdana,8,0" +) +xt "93500,52500,97000,53500" +st "immSrc" +ju 2 +blo "97000,53300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 7,0 +) +) +) +*229 (CptPort +uid 9768,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9769,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,46625,98750,47375" +) +tg (CPTG +uid 9770,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9771,0 +va (VaSet +font "Verdana,8,0" +) +xt "92300,46500,97000,47500" +st "memWrite" +ju 2 +blo "97000,47300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 8,0 +) +) +) +*230 (CptPort +uid 9772,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9773,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,51625,82000,52375" +) +tg (CPTG +uid 9774,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9775,0 +va (VaSet +font "Verdana,8,0" +) +xt "83000,51500,84500,52500" +st "op" +blo "83000,52300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*231 (CptPort +uid 9776,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9777,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "81250,44625,82000,45375" +) +tg (CPTG +uid 9778,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9779,0 +va (VaSet +font "Verdana,8,0" +) +xt "83000,44500,85800,45500" +st "PCSrc" +blo "83000,45300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 7 +suid 10,0 +) +) +) +*232 (CptPort +uid 9780,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9781,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "91625,59000,92375,59750" +) +tg (CPTG +uid 9782,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9783,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "91500,54200,92500,58000" +st "regwrite" +blo "92300,58000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 11,0 +) +) +) +*233 (CptPort +uid 9784,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9785,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,44625,98750,45375" +) +tg (CPTG +uid 9786,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9787,0 +va (VaSet +font "Verdana,8,0" +) +xt "93100,44500,97000,45500" +st "resultSrc" +ju 2 +blo "97000,45300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 11 +suid 12,0 +) +) +) +*234 (CptPort +uid 9788,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9789,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "98000,56625,98750,57375" +) +tg (CPTG +uid 9790,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9791,0 +va (VaSet +font "Verdana,8,0" +) +xt "94800,56500,97000,57500" +st "zero" +ju 2 +blo "97000,57300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "zero" +t "std_ulogic" +o 4 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9793,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "82000,44000,98000,59000" +) +oxt "16000,23000,32000,38000" +ttg (MlTextGroup +uid 9794,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +uid 9795,0 +va (VaSet +font "Verdana,9,1" +) +xt "81800,59800,89200,61000" +st "HEIRV32_SC" +blo "81800,60800" +tm "BdLibraryNameMgr" +) +*236 (Text +uid 9796,0 +va (VaSet +font "Verdana,9,1" +) +xt "81800,61000,88600,62200" +st "controlUnit" +blo "81800,62000" +tm "CptNameMgr" +) +*237 (Text +uid 9797,0 +va (VaSet +font "Verdana,9,1" +) +xt "81800,62200,89900,63400" +st "U_controlUnit" +blo "81800,63200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9798,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9799,0 +text (MLText +uid 9800,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "82000,63200,98000,64000" +st "g_tDec = c_tDec ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "c_tDec" +) +] +) +viewicon (ZoomableIcon +uid 9801,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "82250,57250,83750,58750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*238 (SaComponent +uid 9810,0 +optionalChildren [ +*239 (CptPort +uid 9802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9803,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,81625,60750,82375" +) +tg (CPTG +uid 9804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9805,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,81300,59000,82700" +st "instruction" +ju 2 +blo "59000,82500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 26 +suid 3,0 +) +) +) +*240 (CptPort +uid 9806,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9807,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51250,79625,52000,80375" +) +tg (CPTG +uid 9808,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9809,0 +va (VaSet +font "Verdana,12,0" +) +xt "53000,79300,55500,80700" +st "PC" +blo "53000,80500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(g_dataWidth - 1 DOWNTO 0)" +o 32 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9811,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "52000,78000,60000,85000" +) +oxt "18000,18000,26000,25000" +ttg (MlTextGroup +uid 9812,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*241 (Text +uid 9813,0 +va (VaSet +font "Verdana,9,1" +) +xt "52300,85800,59700,87000" +st "HEIRV32_SC" +blo "52300,86800" +tm "BdLibraryNameMgr" +) +*242 (Text +uid 9814,0 +va (VaSet +font "Verdana,9,1" +) +xt "52300,87000,59700,88200" +st "instrMemory" +blo "52300,88000" +tm "CptNameMgr" +) +*243 (Text +uid 9815,0 +va (VaSet +font "Verdana,9,1" +) +xt "52300,88200,61000,89400" +st "U_instrMemory" +blo "52300,89200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9816,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9817,0 +text (MLText +uid 9818,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "52000,89600,78500,92800" +st "g_dataWidth = c_dataWidth ( positive ) +g_memoryNbBits = g_memoryNbBits ( positive ) +g_programFile = g_programFile ( string ) +g_tMemRd = c_tMemRd ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "g_memoryNbBits" +) +(GiElement +name "g_programFile" +type "string" +value "g_programFile" +) +(GiElement +name "g_tMemRd" +type "time" +value "c_tMemRd" +) +] +) +viewicon (ZoomableIcon +uid 9819,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "52250,83250,53750,84750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*244 (SaComponent +uid 9909,0 +optionalChildren [ +*245 (CptPort +uid 9877,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9878,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,79625,157000,80375" +) +tg (CPTG +uid 9879,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9880,0 +va (VaSet +font "Verdana,8,0" +) +xt "158000,79500,161600,80500" +st "address" +blo "158000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "address" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 30 +suid 1,0 +) +) +) +*246 (CptPort +uid 9881,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9882,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "158625,72250,159375,73000" +) +tg (CPTG +uid 9883,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9884,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "158453,73307,159453,74907" +st "clk" +ju 2 +blo "159253,73307" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*247 (CptPort +uid 9885,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9886,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "163625,72250,164375,73000" +) +tg (CPTG +uid 9887,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9888,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "163500,74000,164500,75500" +st "en" +ju 2 +blo "164300,74000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*248 (CptPort +uid 9889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,76625,157000,77375" +) +tg (CPTG +uid 9891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9892,0 +va (VaSet +font "Verdana,8,0" +) +xt "158000,76500,161500,77500" +st "writeEn" +blo "158000,77300" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 29 +suid 4,0 +) +) +) +*249 (CptPort +uid 9893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "166000,82625,166750,83375" +) +tg (CPTG +uid 9895,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9896,0 +va (VaSet +font "Verdana,8,0" +) +xt "160800,82500,165000,83500" +st "readData" +ju 2 +blo "165000,83300" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 32 +suid 5,0 +) +) +) +*250 (CptPort +uid 9897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9898,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "160625,72250,161375,73000" +) +tg (CPTG +uid 9899,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 9900,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "160500,74000,161500,75500" +st "rst" +ju 2 +blo "161300,74000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 6,0 +) +) +) +*251 (CptPort +uid 9901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 9902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "156250,87625,157000,88375" +) +tg (CPTG +uid 9903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 9904,0 +va (VaSet +font "Verdana,8,0" +) +xt "158000,87500,162400,88500" +st "writeData" +blo "158000,88300" +) +) +thePort (LogicalPort +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 31 +suid 7,0 +) +) +) +*252 (CommentGraphic +uid 9905,0 +shape (PolyLine2D +pts [ +"158000,73000" +"159000,75000" +] +uid 9906,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "158000,73000,159000,75000" +) +oxt "18000,14000,19000,16000" +) +*253 (CommentGraphic +uid 9907,0 +shape (PolyLine2D +pts [ +"160000,73000" +"159000,75000" +] +uid 9908,0 +layer 8 +sl 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "159000,73000,160000,75000" +) +oxt "19000,14000,20000,16000" +) +] +shape (Rectangle +uid 9910,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "157000,73000,166000,91000" +fos 1 +) +oxt "17000,14000,26000,32000" +ttg (MlTextGroup +uid 9911,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*254 (Text +uid 9912,0 +va (VaSet +font "Verdana,9,1" +) +xt "157300,91800,164700,93000" +st "HEIRV32_SC" +blo "157300,92800" +tm "BdLibraryNameMgr" +) +*255 (Text +uid 9913,0 +va (VaSet +font "Verdana,9,1" +) +xt "157300,93000,164700,94200" +st "dataMemory" +blo "157300,94000" +tm "CptNameMgr" +) +*256 (Text +uid 9914,0 +va (VaSet +font "Verdana,9,1" +) +xt "157300,94200,166000,95400" +st "U_dataMemory" +blo "157300,95200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 9915,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 9916,0 +text (MLText +uid 9917,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "157000,95600,183500,99600" +st "g_dataWidth = c_dataWidth ( positive ) +g_memoryNbBits = g_memoryNbBits ( positive ) +g_tMemWr = c_tMemWr ( time ) +g_tMemRd = c_tMemRd ( time ) +g_tSetup = c_tSetup ( time ) " +) +header "" +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "c_dataWidth" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "g_memoryNbBits" +) +(GiElement +name "g_tMemWr" +type "time" +value "c_tMemWr" +) +(GiElement +name "g_tMemRd" +type "time" +value "c_tMemRd" +) +(GiElement +name "g_tSetup" +type "time" +value "c_tSetup" +) +] +) +viewicon (ZoomableIcon +uid 9918,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "157250,89250,158750,90750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +sed 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*257 (Wire +uid 342,0 +shape (OrthoPolyLine +uid 343,0 +va (VaSet +vasetType 3 +) +xt "11000,38000,14000,38000" +pts [ +"11000,38000" +"14000,38000" +] +) +start &12 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 349,0 +va (VaSet +isHidden 1 +) +xt "13000,36800,15200,38000" +st "clk" +blo "13000,37800" +tm "WireNameMgr" +) +) +on &15 +) +*258 (Wire +uid 350,0 +shape (OrthoPolyLine +uid 351,0 +va (VaSet +vasetType 3 +) +xt "11000,40000,14000,40000" +pts [ +"11000,40000" +"14000,40000" +] +) +start &13 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 357,0 +va (VaSet +isHidden 1 +) +xt "13000,38800,15100,40000" +st "rst" +blo "13000,39800" +tm "WireNameMgr" +) +) +on &14 +) +*259 (Wire +uid 782,0 +optionalChildren [ +*260 (BdJunction +uid 8907,0 +ps "OnConnectorStrategy" +shape (Circle +uid 8908,0 +va (VaSet +vasetType 1 +) +xt "47600,96600,48400,97400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 783,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,79000,48000,97000" +pts [ +"46000,89000" +"48000,89000" +"48000,97000" +"12000,97000" +"12000,79000" +"20000,79000" +] +) +start &53 +end &17 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 787,0 +va (VaSet +) +xt "15000,77800,20100,79000" +st "PCPlus4" +blo "15000,78800" +tm "WireNameMgr" +) +) +on &47 +) +*261 (Wire +uid 852,0 +shape (OrthoPolyLine +uid 853,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,80000,29250,80000" +pts [ +"24000,80000" +"29250,80000" +] +) +start &18 +end &178 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 856,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 857,0 +va (VaSet +) +xt "25000,78800,29600,80000" +st "PCNext" +blo "25000,79800" +tm "WireNameMgr" +) +s (Text +uid 2895,0 +va (VaSet +) +xt "25000,80000,25000,80000" +blo "25000,80000" +tm "SignalTypeMgr" +) +) +on &48 +) +*262 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,51960,81250,52000" +pts [ +"68000,51960" +"74000,51960" +"74000,52000" +"81250,52000" +] +) +start *263 (Ripper +uid 7269,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,50960" +"68000,51960" +] +uid 7270,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,50960,68000,51960" +) +) +end &230 +sat 32 +eat 32 +sty 1 +sl "(6 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +) +xt "70000,50800,79400,52000" +st "instruction(6:0)" +blo "70000,51800" +tm "WireNameMgr" +) +) +on &29 +) +*264 (Wire +uid 1333,0 +shape (OrthoPolyLine +uid 1334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,54000,81250,54000" +pts [ +"68000,54000" +"81250,54000" +] +) +start *265 (Ripper +uid 7271,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,53000" +"68000,54000" +] +uid 7272,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,53000,68000,54000" +) +) +end &226 +sat 32 +eat 32 +sty 1 +sl "(14 DOWNTO 12)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1338,0 +va (VaSet +) +xt "70000,52800,80800,54000" +st "instruction(14:12)" +blo "70000,53800" +tm "WireNameMgr" +) +) +on &29 +) +*266 (Wire +uid 1344,0 +shape (OrthoPolyLine +uid 1345,0 +va (VaSet +vasetType 3 +) +xt "68000,56000,81250,56000" +pts [ +"68000,56000" +"81250,56000" +] +) +start *267 (Ripper +uid 7273,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,55000" +"68000,56000" +] +uid 7274,0 +va (VaSet +vasetType 3 +) +xt "67000,55000,68000,56000" +) +) +end &227 +sat 32 +eat 32 +sl "(30)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1349,0 +va (VaSet +) +xt "70000,54800,79000,56000" +st "instruction(30)" +blo "70000,55800" +tm "WireNameMgr" +) +) +on &29 +) +*268 (Wire +uid 1354,0 +shape (OrthoPolyLine +uid 1355,0 +va (VaSet +vasetType 3 +) +xt "98750,57000,138000,78000" +pts [ +"135750,78000" +"138000,78000" +"138000,57000" +"98750,57000" +] +) +start &201 +end &234 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1360,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1361,0 +va (VaSet +) +xt "101000,55800,103800,57000" +st "zero" +blo "101000,56800" +tm "WireNameMgr" +) +) +on &24 +) +*269 (Wire +uid 1380,0 +shape (OrthoPolyLine +uid 1381,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,49000,131000,73027" +pts [ +"98750,49000" +"131000,49000" +"131000,73027" +] +) +start &224 +end &197 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1387,0 +va (VaSet +) +xt "101000,47800,108300,49000" +st "ALUControl" +blo "101000,48800" +tm "WireNameMgr" +) +) +on &26 +) +*270 (Wire +uid 1412,0 +shape (OrthoPolyLine +uid 1413,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,53000,105000,94000" +pts [ +"98750,53000" +"105000,53000" +"105000,94000" +"99750,94000" +] +) +start &228 +end &169 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1418,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1419,0 +va (VaSet +) +xt "100750,51800,105250,53000" +st "immSrc" +blo "100750,52800" +tm "WireNameMgr" +) +) +on &27 +) +*271 (Wire +uid 1794,0 +optionalChildren [ +*272 (Ripper +uid 1832,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,96000" +"68000,97000" +] +uid 1833,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,96000,68000,97000" +) +) +&263 +&265 +&267 +*273 (BdJunction +uid 7281,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7282,0 +va (VaSet +vasetType 1 +) +xt "66600,81600,67400,82400" +radius 400 +) +) +*274 (Ripper +uid 7293,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,74000" +"68000,75000" +] +uid 7294,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,74000,68000,75000" +) +) +*275 (Ripper +uid 7295,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,76000" +"68000,77000" +] +uid 7296,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,76000,68000,77000" +) +) +*276 (Ripper +uid 7297,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"67000,78000" +"68000,79000" +] +uid 7298,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,78000,68000,79000" +) +) +] +shape (OrthoPolyLine +uid 1795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "67000,49000,67000,98000" +pts [ +"67000,49000" +"67000,98000" +] +) +sat 16 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1799,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "65800,47000,67000,53800" +st "instruction" +blo "66800,53800" +tm "WireNameMgr" +) +) +on &29 +) +*277 (Wire +uid 1802,0 +shape (OrthoPolyLine +uid 1803,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,75000,81250,75000" +pts [ +"68000,75000" +"81250,75000" +] +) +start &274 +end &207 +sat 32 +eat 32 +sty 1 +sl "(19 DOWNTO 15)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1807,0 +va (VaSet +) +xt "71000,73800,81800,75000" +st "instruction(19:15)" +blo "71000,74800" +tm "WireNameMgr" +) +) +on &29 +) +*278 (Wire +uid 1810,0 +shape (OrthoPolyLine +uid 1811,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,77000,81250,77000" +pts [ +"68000,77000" +"81250,77000" +] +) +start &275 +end &213 +sat 32 +eat 32 +sty 1 +sl "(24 DOWNTO 20)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1814,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1815,0 +va (VaSet +) +xt "71000,75800,81800,77000" +st "instruction(24:20)" +blo "71000,76800" +tm "WireNameMgr" +) +) +on &29 +) +*279 (Wire +uid 1818,0 +shape (OrthoPolyLine +uid 1819,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,79000,81250,79000" +pts [ +"68000,79000" +"81250,79000" +] +) +start &276 +end &214 +sat 32 +eat 32 +sty 1 +sl "(11 DOWNTO 7)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1822,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1823,0 +va (VaSet +) +xt "71000,77800,81100,79000" +st "instruction(11:7)" +blo "71000,78800" +tm "WireNameMgr" +) +) +on &29 +) +*280 (Wire +uid 1826,0 +shape (OrthoPolyLine +uid 1827,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "68000,97000,83250,97000" +pts [ +"68000,97000" +"83250,97000" +] +) +start &272 +end &168 +sat 32 +eat 32 +sty 1 +sl "(31 DOWNTO 7)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1830,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1831,0 +va (VaSet +) +xt "69000,95800,79100,97000" +st "instruction(31:7)" +blo "69000,96800" +tm "WireNameMgr" +) +) +on &29 +) +*281 (Wire +uid 1836,0 +shape (OrthoPolyLine +uid 1837,0 +va (VaSet +vasetType 3 +) +xt "85000,69000,85000,70250" +pts [ +"85000,69000" +"85000,70250" +] +) +end &206 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1842,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1843,0 +va (VaSet +) +xt "84059,67035,86259,68235" +st "clk" +blo "84059,68035" +tm "WireNameMgr" +) +) +on &15 +) +*282 (Wire +uid 1844,0 +shape (OrthoPolyLine +uid 1845,0 +va (VaSet +vasetType 3 +) +xt "88000,69000,88000,70250" +pts [ +"88000,69000" +"88000,70250" +] +) +end &212 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1850,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1851,0 +va (VaSet +) +xt "87000,67041,89100,68241" +st "rst" +blo "87000,68041" +tm "WireNameMgr" +) +) +on &14 +) +*283 (Wire +uid 2096,0 +shape (OrthoPolyLine +uid 2097,0 +va (VaSet +vasetType 3 +) +xt "92000,59750,92000,70250" +pts [ +"92000,59750" +"92000,70250" +] +) +start &232 +end &211 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2099,0 +va (VaSet +) +xt "92000,60800,96700,62000" +st "regwrite" +blo "92000,61800" +tm "WireNameMgr" +) +) +on &28 +) +*284 (Wire +uid 2114,0 +optionalChildren [ +*285 (BdJunction +uid 7330,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7331,0 +va (VaSet +vasetType 1 +) +xt "108600,96600,109400,97400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 2115,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "99750,97000,116000,97000" +pts [ +"99750,97000" +"116000,97000" +] +) +start &167 +end &75 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2121,0 +va (VaSet +) +xt "111000,95800,115500,97000" +st "immExt" +blo "111000,96800" +tm "WireNameMgr" +) +) +on &30 +) +*286 (Wire +uid 2436,0 +shape (OrthoPolyLine +uid 2437,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "99750,74000,125250,76000" +pts [ +"99750,76000" +"108000,76000" +"108000,74000" +"125250,74000" +] +) +start &209 +end &199 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2440,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2441,0 +va (VaSet +) +xt "122000,72800,125100,74000" +st "srcA" +blo "122000,73800" +tm "WireNameMgr" +) +s (Text +uid 2925,0 +va (VaSet +) +xt "122000,74000,122000,74000" +blo "122000,74000" +tm "SignalTypeMgr" +) +) +on &31 +) +*287 (Wire +uid 2444,0 +shape (OrthoPolyLine +uid 2445,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "118000,81000,125250,83000" +pts [ +"118000,81000" +"122000,81000" +"122000,83000" +"125250,83000" +] +) +start &67 +end &200 +ss 0 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2448,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2449,0 +va (VaSet +) +xt "122255,81800,125255,83000" +st "srcB" +blo "122255,82800" +tm "WireNameMgr" +) +s (Text +uid 2926,0 +va (VaSet +) +xt "122255,83000,122255,83000" +blo "122255,83000" +tm "SignalTypeMgr" +) +) +on &32 +) +*288 (Wire +uid 2849,0 +shape (OrthoPolyLine +uid 2850,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "14000,81000,135000,107000" +pts [ +"20000,81000" +"14000,81000" +"14000,107000" +"135000,107000" +"135000,94000" +"131000,94000" +] +) +start &20 +end &60 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2851,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2852,0 +va (VaSet +) +xt "15000,79800,20500,81000" +st "PCTarget" +blo "15000,80800" +tm "WireNameMgr" +) +s (Text +uid 2934,0 +va (VaSet +) +xt "15000,81000,15000,81000" +blo "15000,81000" +tm "SignalTypeMgr" +) +) +on &46 +) +*289 (Wire +uid 3748,0 +optionalChildren [ +*290 (BdJunction +uid 7584,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7585,0 +va (VaSet +vasetType 1 +) +xt "146600,79600,147400,80400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 3749,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "135750,80000,156250,80000" +pts [ +"156250,80000" +"135750,80000" +] +) +start &245 +end &198 +ss 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3750,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3751,0 +va (VaSet +) +xt "140000,78800,146100,80000" +st "ALUResult" +blo "140000,79800" +tm "WireNameMgr" +) +) +on &33 +) +*291 (Wire +uid 4353,0 +shape (OrthoPolyLine +uid 4354,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "170000,14000,173000,14000" +pts [ +"173000,14000" +"170000,14000" +] +) +start &35 +end &36 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4357,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4358,0 +va (VaSet +isHidden 1 +) +xt "175000,12800,180200,14000" +st "dbg_leds" +blo "175000,13800" +tm "WireNameMgr" +) +) +on &34 +) +*292 (Wire +uid 4484,0 +shape (OrthoPolyLine +uid 4485,0 +va (VaSet +vasetType 3 +) +xt "11000,42000,14000,42000" +pts [ +"11000,42000" +"13000,42000" +"14000,42000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4488,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4489,0 +va (VaSet +isHidden 1 +) +xt "11000,39800,12900,41000" +st "en" +blo "11000,40800" +tm "WireNameMgr" +) +) +on &41 +) +*293 (Wire +uid 4728,0 +shape (OrthoPolyLine +uid 4729,0 +va (VaSet +vasetType 3 +) +xt "95000,69000,95000,70250" +pts [ +"95000,69000" +"95000,70250" +] +) +end &215 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4734,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4735,0 +va (VaSet +) +xt "94155,66800,96055,68000" +st "en" +blo "94155,67800" +tm "WireNameMgr" +) +) +on &41 +) +*294 (Wire +uid 5837,0 +shape (OrthoPolyLine +uid 5838,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91000,85750,94000,88000" +pts [ +"94000,85750" +"94000,88000" +"91000,88000" +] +) +start &216 +end &43 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5840,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "92800,88750,94000,91650" +st "btns" +blo "93800,91650" +tm "WireNameMgr" +) +) +on &42 +) +*295 (Wire +uid 5849,0 +shape (OrthoPolyLine +uid 5850,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "91000,85750,96000,89000" +pts [ +"96000,85750" +"96000,89000" +"91000,89000" +] +) +start &217 +end &45 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5851,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5852,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "94800,87750,96000,90550" +st "leds" +blo "95800,90550" +tm "WireNameMgr" +) +) +on &44 +) +*296 (Wire +uid 7104,0 +shape (OrthoPolyLine +uid 7105,0 +va (VaSet +vasetType 3 +) +xt "26000,82000,29250,82000" +pts [ +"26000,82000" +"29250,82000" +] +) +end &180 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 7108,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7109,0 +va (VaSet +) +xt "27000,80800,29100,82000" +st "rst" +blo "27000,81800" +tm "WireNameMgr" +) +) +on &14 +) +*297 (Wire +uid 7116,0 +shape (OrthoPolyLine +uid 7117,0 +va (VaSet +vasetType 3 +) +xt "32000,75000,32000,76250" +pts [ +"32000,75000" +"32000,76250" +] +) +end &176 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 7120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7121,0 +va (VaSet +) +xt "31059,73035,33259,74235" +st "clk" +blo "31059,74035" +tm "WireNameMgr" +) +) +on &15 +) +*298 (Wire +uid 7122,0 +shape (OrthoPolyLine +uid 7123,0 +va (VaSet +vasetType 3 +) +xt "32000,84750,32000,86000" +pts [ +"32000,86000" +"32000,84750" +] +) +end &179 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7126,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7127,0 +va (VaSet +) +xt "30000,84800,31900,86000" +st "en" +blo "30000,85800" +tm "WireNameMgr" +) +) +on &41 +) +*299 (Wire +uid 7164,0 +shape (OrthoPolyLine +uid 7165,0 +va (VaSet +vasetType 3 +) +xt "23000,45000,81250,78500" +pts [ +"23000,78500" +"23000,45000" +"81250,45000" +] +) +start &19 +end &231 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7168,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7169,0 +ro 270 +va (VaSet +) +xt "21800,73800,23000,77700" +st "PCSrc" +blo "22800,77700" +tm "WireNameMgr" +) +s (Text +uid 7923,0 +ro 270 +va (VaSet +) +xt "23000,77700,23000,77700" +blo "23000,77700" +tm "SignalTypeMgr" +) +) +on &49 +) +*300 (Wire +uid 7174,0 +optionalChildren [ +*301 (BdJunction +uid 7188,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7189,0 +va (VaSet +vasetType 1 +) +xt "36600,79600,37400,80400" +radius 400 +) +) +*302 (BdJunction +uid 7336,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7337,0 +va (VaSet +vasetType 1 +) +xt "48600,79600,49400,80400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 7175,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34750,80000,51250,80000" +pts [ +"34750,80000" +"51250,80000" +] +) +start &177 +end &240 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7178,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7179,0 +va (VaSet +) +xt "35000,78800,37200,80000" +st "PC" +blo "35000,79800" +tm "WireNameMgr" +) +) +on &50 +) +*303 (Wire +uid 7182,0 +shape (OrthoPolyLine +uid 7183,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,80000,41000,87000" +pts [ +"37000,80000" +"37000,87000" +"41000,87000" +] +) +start &301 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7186,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7187,0 +va (VaSet +) +xt "39000,85800,41200,87000" +st "PC" +blo "39000,86800" +tm "WireNameMgr" +) +) +on &50 +) +*304 (Wire +uid 7275,0 +shape (OrthoPolyLine +uid 7276,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "60750,82000,67000,82000" +pts [ +"67000,82000" +"60750,82000" +] +) +start &273 +end &239 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7279,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7280,0 +va (VaSet +) +xt "61000,80800,67800,82000" +st "instruction" +blo "61000,81800" +tm "WireNameMgr" +) +) +on &29 +) +*305 (Wire +uid 7324,0 +shape (OrthoPolyLine +uid 7325,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "109000,82000,114000,97000" +pts [ +"109000,97000" +"109000,82000" +"114000,82000" +] +) +start &285 +end &69 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7329,0 +va (VaSet +) +xt "110000,80800,114500,82000" +st "immExt" +blo "110000,81800" +tm "WireNameMgr" +) +) +on &30 +) +*306 (Wire +uid 7332,0 +shape (OrthoPolyLine +uid 7333,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49000,80000,126000,92000" +pts [ +"49000,80000" +"49000,91000" +"122000,91000" +"122000,92000" +"126000,92000" +] +) +start &302 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7334,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7335,0 +va (VaSet +) +xt "123000,90800,125200,92000" +st "PC" +blo "123000,91800" +tm "WireNameMgr" +) +) +on &50 +) +*307 (Wire +uid 7370,0 +optionalChildren [ +*308 (BdJunction +uid 7382,0 +ps "OnConnectorStrategy" +shape (Circle +uid 7383,0 +va (VaSet +vasetType 1 +) +xt "106600,79600,107400,80400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 7371,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "99750,80000,114000,80000" +pts [ +"99750,80000" +"114000,80000" +] +) +start &210 +end &66 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7372,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7373,0 +va (VaSet +) +xt "109000,78800,114500,80000" +st "writeData" +blo "109000,79800" +tm "WireNameMgr" +) +) +on &73 +) +*309 (Wire +uid 7376,0 +shape (OrthoPolyLine +uid 7377,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "107000,80000,156250,88000" +pts [ +"107000,80000" +"107000,88000" +"156250,88000" +] +) +start &308 +end &251 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7380,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7381,0 +va (VaSet +) +xt "140000,86800,145500,88000" +st "writeData" +blo "140000,87800" +tm "WireNameMgr" +) +) +on &73 +) +*310 (Wire +uid 7406,0 +shape (OrthoPolyLine +uid 7407,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "121000,96000,126000,97000" +pts [ +"121000,97000" +"122000,97000" +"122000,96000" +"126000,96000" +] +) +start &76 +end &61 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7408,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7409,0 +va (VaSet +isHidden 1 +) +xt "125000,94800,128000,96000" +st "out1" +blo "125000,95800" +tm "WireNameMgr" +) +s (Text +uid 7927,0 +va (VaSet +isHidden 1 +) +xt "125000,96000,125000,96000" +blo "125000,96000" +tm "SignalTypeMgr" +) +) +on &80 +) +*311 (Wire +uid 7424,0 +shape (OrthoPolyLine +uid 7425,0 +va (VaSet +vasetType 3 +) +xt "98750,51000,117000,79500" +pts [ +"117000,79500" +"117000,51000" +"98750,51000" +] +) +start &68 +end &225 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 7428,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7429,0 +va (VaSet +) +xt "101000,49800,105600,51000" +st "ALUSrc" +blo "101000,50800" +tm "WireNameMgr" +) +s (Text +uid 7930,0 +va (VaSet +) +xt "101000,51000,101000,51000" +blo "103900,52700" +tm "SignalTypeMgr" +) +) +on &81 +) +*312 (Wire +uid 7432,0 +shape (OrthoPolyLine +uid 7433,0 +va (VaSet +vasetType 3 +) +xt "159000,71000,159000,72250" +pts [ +"159000,71000" +"159000,72250" +] +) +end &246 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 7438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7439,0 +va (VaSet +) +xt "158059,69035,160259,70235" +st "clk" +blo "158059,70035" +tm "WireNameMgr" +) +) +on &15 +) +*313 (Wire +uid 7440,0 +shape (OrthoPolyLine +uid 7441,0 +va (VaSet +vasetType 3 +) +xt "161000,71000,161000,72250" +pts [ +"161000,71000" +"161000,72250" +] +) +end &250 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 7446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7447,0 +va (VaSet +) +xt "160000,69041,162100,70241" +st "rst" +blo "160000,70041" +tm "WireNameMgr" +) +) +on &14 +) +*314 (Wire +uid 7448,0 +shape (OrthoPolyLine +uid 7449,0 +va (VaSet +vasetType 3 +) +xt "164000,71000,164000,72250" +pts [ +"164000,71000" +"164000,72250" +] +) +end &247 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7455,0 +va (VaSet +) +xt "163155,68800,165055,70000" +st "en" +blo "163155,69800" +tm "WireNameMgr" +) +) +on &41 +) +*315 (Wire +uid 7468,0 +shape (OrthoPolyLine +uid 7469,0 +va (VaSet +vasetType 3 +) +xt "98750,47000,156250,77000" +pts [ +"98750,47000" +"150000,47000" +"150000,77000" +"156250,77000" +] +) +start &229 +end &248 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 7474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7475,0 +va (VaSet +) +xt "101000,45800,106700,47000" +st "memWrite" +blo "101000,46800" +tm "WireNameMgr" +) +) +on &82 +) +*316 (Wire +uid 7580,0 +shape (OrthoPolyLine +uid 7581,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "147000,63000,177250,81000" +pts [ +"147000,80000" +"147000,63000" +"173000,63000" +"173000,81000" +"177250,81000" +] +) +start &290 +end &190 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7582,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7583,0 +va (VaSet +isHidden 1 +) +xt "173000,79800,179100,81000" +st "ALUResult" +blo "173000,80800" +tm "WireNameMgr" +) +) +on &33 +) +*317 (Wire +uid 7628,0 +shape (OrthoPolyLine +uid 7629,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "77000,83000,189000,103000" +pts [ +"81250,83000" +"77000,83000" +"77000,103000" +"189000,103000" +"189000,84000" +"182750,84000" +] +) +start &208 +end &192 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7630,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7631,0 +va (VaSet +) +xt "184000,82800,187600,84000" +st "result" +blo "184000,83800" +tm "WireNameMgr" +) +) +on &83 +) +*318 (Wire +uid 8697,0 +shape (OrthoPolyLine +uid 8698,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,91000,41000,91000" +pts [ +"41000,91000" +"31000,91000" +] +) +start &54 +sat 32 +eat 16 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 8701,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8702,0 +va (VaSet +) +xt "32000,89800,41200,91000" +st "c_fourUnsigned" +blo "32000,90800" +tm "WireNameMgr" +) +s (Text +uid 8976,0 +va (VaSet +) +xt "32000,91000,32000,91000" +blo "32000,91000" +tm "SignalTypeMgr" +) +) +on &84 +) +*319 (Wire +uid 8715,0 +shape (OrthoPolyLine +uid 8716,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98750,45000,181000,80749" +pts [ +"98750,45000" +"181000,45000" +"181000,80749" +] +) +start &233 +end &187 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 8717,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8718,0 +va (VaSet +) +xt "101000,43800,106300,45000" +st "resultSrc" +blo "101000,44800" +tm "WireNameMgr" +) +) +on &25 +) +*320 (Wire +uid 8815,0 +shape (OrthoPolyLine +uid 8816,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "169000,87000,177250,87000" +pts [ +"177250,87000" +"169000,87000" +] +) +start &188 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 8819,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8820,0 +va (VaSet +) +xt "170000,85800,179000,87000" +st "c_zeroUlogVec" +blo "170000,86800" +tm "WireNameMgr" +) +) +on &85 +) +*321 (Wire +uid 8903,0 +shape (OrthoPolyLine +uid 8904,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,97000,51000,97000" +pts [ +"48000,97000" +"51000,97000" +] +) +start &260 +end &87 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8905,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8906,0 +va (VaSet +) +xt "42000,95800,47100,97000" +st "PCPlus4" +blo "42000,96800" +tm "WireNameMgr" +) +) +on &47 +) +*322 (Wire +uid 8911,0 +shape (OrthoPolyLine +uid 8912,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "56000,85000,177250,105000" +pts [ +"56000,97000" +"61000,97000" +"61000,105000" +"168000,105000" +"168000,85000" +"177250,85000" +] +) +start &88 +end &191 +sat 32 +eat 32 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 8913,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 8914,0 +va (VaSet +) +xt "168000,83800,178700,85000" +st "PCPlus4_UlogVec" +blo "168000,84800" +tm "WireNameMgr" +) +s (Text +uid 8979,0 +va (VaSet +) +xt "168000,85000,168000,85000" +blo "168000,85000" +tm "SignalTypeMgr" +) +) +on &92 +) +*323 (Wire +uid 9096,0 +shape (OrthoPolyLine +uid 9097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "166750,83000,177250,83000" +pts [ +"166750,83000" +"177250,83000" +] +) +start &249 +end &189 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 9098,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9099,0 +va (VaSet +) +xt "168750,81800,174050,83000" +st "readData" +blo "168750,82800" +tm "WireNameMgr" +) +) +on &93 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *324 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*325 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*326 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*327 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*328 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*329 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*330 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*331 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*332 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*333 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1928,1048" +viewArea "-2771,-2892,213843,111249" +cachedDiagramExtent "0,0,199500,112800" +pageSetupInfo (PageSetupInfo +ptrCmd "Adobe PDF,winspool," +fileName "Documents\\*.pdf" +toPrinter 1 +colour 1 +xMargin 48 +yMargin 48 +paperWidth 1077 +paperHeight 761 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +bestFit 1 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 10032,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*334 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*335 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*336 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*337 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*338 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*339 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*340 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*341 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*342 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*343 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*344 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*345 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*346 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*347 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*348 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*349 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*350 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*351 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*352 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*353 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*354 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,7200,25200,8400" +st "Pre User:" +blo "20000,8200" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8400,47500,19600" +st "constant c_dataWidth : positive := g_dataWidth; +constant c_bramAddrWidth : positive := 10; + +constant c_tPC : time := 40 ps; +constant c_tSetup : time := 50 ps; +constant c_tMux : time := 30 ps; +constant c_tALU : time := 120 ps; +constant c_tDec : time := 25 ps; +constant c_tExt : time := 35 ps; +constant c_tMemRd : time := 200 ps; +constant c_tMemWr : time := 60 ps; +constant c_tRfRd : time := 100 ps; +constant c_tRfWr : time := 60 ps; +constant c_tAdd : time := 100 ps;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,19600,29500,20800" +st "Diagram Signals:" +blo "20000,20600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,40000,26400,41200" +st "Post User:" +blo "20000,41000" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,32400,40000,33200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 139,0 +usingSuid 1 +emptyRow *355 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*356 (RefLabelRowHdr +) +*357 (TitleRowHdr +) +*358 (FilterRowHdr +) +*359 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*360 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*361 (GroupColHdr +tm "GroupColHdrMgr" +) +*362 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*363 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*364 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*365 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*366 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*367 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*368 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 20,0 +) +) +uid 676,0 +) +*369 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 21,0 +) +) +uid 678,0 +) +*370 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "zero" +t "std_ulogic" +o 30 +suid 46,0 +) +) +uid 1364,0 +) +*371 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 downto 0)" +o 26 +suid 48,0 +) +) +uid 1438,0 +) +*372 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 50,0 +) +) +uid 1440,0 +) +*373 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 57,0 +) +) +uid 1446,0 +) +*374 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "regwrite" +t "std_ulogic" +o 24 +suid 58,0 +) +) +uid 1448,0 +) +*375 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 20 +suid 59,0 +) +) +uid 1664,0 +) +*376 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "immExt" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 18 +suid 65,0 +) +) +uid 2124,0 +) +*377 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 27 +suid 74,0 +) +) +uid 2454,0 +) +*378 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 28 +suid 75,0 +) +) +uid 2456,0 +) +*379 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "ALUResult" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 8 +suid 79,0 +) +) +uid 2771,0 +) +*380 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 93,0 +) +) +uid 4371,0 +) +*381 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 97,0 +) +) +uid 4506,0 +) +*382 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 101,0 +) +) +uid 5859,0 +) +*383 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 102,0 +) +) +uid 5861,0 +) +*384 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PCTarget" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 15 +suid 103,0 +) +) +uid 7215,0 +) +*385 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PCPlus4" +t "unsigned" +b "(c_dataWidth - 1 downto 0)" +o 12 +suid 104,0 +) +) +uid 7217,0 +) +*386 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PCNext" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 11 +suid 105,0 +) +) +uid 7219,0 +) +*387 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PCSrc" +t "std_uLogic" +o 14 +suid 107,0 +) +) +uid 7221,0 +) +*388 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "PC" +t "unsigned" +b "(c_dataWidth - 1 DOWNTO 0)" +o 10 +suid 109,0 +) +) +uid 7223,0 +) +*389 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 29 +suid 113,0 +) +) +uid 7410,0 +) +*390 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "out1" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 22 +suid 114,0 +) +) +uid 7412,0 +) +*391 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 9 +suid 116,0 +) +) +uid 7478,0 +) +*392 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "memWrite" +t "std_ulogic" +o 21 +suid 118,0 +) +) +uid 7480,0 +) +*393 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "result" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 25 +suid 126,0 +) +) +uid 7634,0 +) +*394 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "c_fourUnsigned" +t "unsigned" +b "(c_dataWidth-1 DOWNTO 0)" +o 16 +suid 131,0 +i "to_unsigned(4, c_dataWidth)" +) +) +uid 8705,0 +) +*395 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "c_zeroUlogVec" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 17 +suid 135,0 +i "(others=>'0')" +) +) +uid 8881,0 +) +*396 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PCPlus4_UlogVec" +t "std_ulogic_vector" +b "(c_dataWidth - 1 downto 0)" +o 13 +suid 138,0 +) +) +uid 8919,0 +) +*397 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "readData" +t "std_ulogic_vector" +b "(c_dataWidth-1 DOWNTO 0)" +o 30 +suid 139,0 +) +) +uid 9100,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*398 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *399 (MRCItem +litem &355 +pos 30 +dimension 20 +) +uid 69,0 +optionalChildren [ +*400 (MRCItem +litem &356 +pos 0 +dimension 20 +uid 70,0 +) +*401 (MRCItem +litem &357 +pos 1 +dimension 23 +uid 71,0 +) +*402 (MRCItem +litem &358 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*403 (MRCItem +litem &368 +pos 0 +dimension 20 +uid 677,0 +) +*404 (MRCItem +litem &369 +pos 1 +dimension 20 +uid 679,0 +) +*405 (MRCItem +litem &370 +pos 6 +dimension 20 +uid 1365,0 +) +*406 (MRCItem +litem &371 +pos 7 +dimension 20 +uid 1439,0 +) +*407 (MRCItem +litem &372 +pos 8 +dimension 20 +uid 1441,0 +) +*408 (MRCItem +litem &373 +pos 9 +dimension 20 +uid 1447,0 +) +*409 (MRCItem +litem &374 +pos 10 +dimension 20 +uid 1449,0 +) +*410 (MRCItem +litem &375 +pos 11 +dimension 20 +uid 1665,0 +) +*411 (MRCItem +litem &376 +pos 12 +dimension 20 +uid 2125,0 +) +*412 (MRCItem +litem &377 +pos 13 +dimension 20 +uid 2455,0 +) +*413 (MRCItem +litem &378 +pos 14 +dimension 20 +uid 2457,0 +) +*414 (MRCItem +litem &379 +pos 15 +dimension 20 +uid 2772,0 +) +*415 (MRCItem +litem &380 +pos 2 +dimension 20 +uid 4372,0 +) +*416 (MRCItem +litem &381 +pos 3 +dimension 20 +uid 4507,0 +) +*417 (MRCItem +litem &382 +pos 4 +dimension 20 +uid 5860,0 +) +*418 (MRCItem +litem &383 +pos 5 +dimension 20 +uid 5862,0 +) +*419 (MRCItem +litem &384 +pos 16 +dimension 20 +uid 7216,0 +) +*420 (MRCItem +litem &385 +pos 17 +dimension 20 +uid 7218,0 +) +*421 (MRCItem +litem &386 +pos 18 +dimension 20 +uid 7220,0 +) +*422 (MRCItem +litem &387 +pos 19 +dimension 20 +uid 7222,0 +) +*423 (MRCItem +litem &388 +pos 20 +dimension 20 +uid 7224,0 +) +*424 (MRCItem +litem &389 +pos 21 +dimension 20 +uid 7411,0 +) +*425 (MRCItem +litem &390 +pos 22 +dimension 20 +uid 7413,0 +) +*426 (MRCItem +litem &391 +pos 23 +dimension 20 +uid 7479,0 +) +*427 (MRCItem +litem &392 +pos 24 +dimension 20 +uid 7481,0 +) +*428 (MRCItem +litem &393 +pos 25 +dimension 20 +uid 7635,0 +) +*429 (MRCItem +litem &394 +pos 26 +dimension 20 +uid 8706,0 +) +*430 (MRCItem +litem &395 +pos 27 +dimension 20 +uid 8882,0 +) +*431 (MRCItem +litem &396 +pos 28 +dimension 20 +uid 8920,0 +) +*432 (MRCItem +litem &397 +pos 29 +dimension 20 +uid 9101,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*433 (MRCItem +litem &359 +pos 0 +dimension 20 +uid 74,0 +) +*434 (MRCItem +litem &361 +pos 1 +dimension 50 +uid 75,0 +) +*435 (MRCItem +litem &362 +pos 2 +dimension 100 +uid 76,0 +) +*436 (MRCItem +litem &363 +pos 3 +dimension 50 +uid 77,0 +) +*437 (MRCItem +litem &364 +pos 4 +dimension 100 +uid 78,0 +) +*438 (MRCItem +litem &365 +pos 5 +dimension 100 +uid 79,0 +) +*439 (MRCItem +litem &366 +pos 6 +dimension 50 +uid 80,0 +) +*440 (MRCItem +litem &367 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *441 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*442 (RefLabelRowHdr +) +*443 (TitleRowHdr +) +*444 (FilterRowHdr +) +*445 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*446 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*447 (GroupColHdr +tm "GroupColHdrMgr" +) +*448 (NameColHdr +tm "GenericNameColHdrMgr" +) +*449 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*450 (InitColHdr +tm "GenericValueColHdrMgr" +) +*451 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*452 (EolColHdr +tm "GenericEolColHdrMgr" +) +*453 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +uid 5660,0 +) +*454 (LogGeneric +generic (GiElement +name "g_btnsNb" +type "positive" +value "2" +) +uid 5833,0 +) +*455 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 6190,0 +) +*456 (LogGeneric +generic (GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +uid 7812,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*457 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *458 (MRCItem +litem &441 +pos 4 +dimension 20 +) +uid 97,0 +optionalChildren [ +*459 (MRCItem +litem &442 +pos 0 +dimension 20 +uid 98,0 +) +*460 (MRCItem +litem &443 +pos 1 +dimension 23 +uid 99,0 +) +*461 (MRCItem +litem &444 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*462 (MRCItem +litem &453 +pos 0 +dimension 20 +uid 5661,0 +) +*463 (MRCItem +litem &454 +pos 1 +dimension 20 +uid 5834,0 +) +*464 (MRCItem +litem &455 +pos 2 +dimension 20 +uid 6191,0 +) +*465 (MRCItem +litem &456 +pos 3 +dimension 20 +uid 7813,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*466 (MRCItem +litem &445 +pos 0 +dimension 20 +uid 102,0 +) +*467 (MRCItem +litem &447 +pos 1 +dimension 50 +uid 103,0 +) +*468 (MRCItem +litem &448 +pos 2 +dimension 100 +uid 104,0 +) +*469 (MRCItem +litem &449 +pos 3 +dimension 100 +uid 105,0 +) +*470 (MRCItem +litem &450 +pos 4 +dimension 234 +uid 106,0 +) +*471 (MRCItem +litem &451 +pos 5 +dimension 50 +uid 107,0 +) +*472 (MRCItem +litem &452 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/symbol.sb b/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/symbol.sb new file mode 100644 index 0000000..85fae23 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/heirv32_sc/symbol.sb @@ -0,0 +1,1732 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 468,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +uid 470,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 3870,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 4130,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +uid 4762,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +uid 4764,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 68,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 467,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 469,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 3869,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 4129,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 4761,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 4763,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +uid 4689,0 +) +*52 (LogGeneric +generic (GiElement +name "g_btnsNb" +type "positive" +value "2" +) +uid 4737,0 +) +*53 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 4914,0 +) +*54 (LogGeneric +generic (GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +uid 4985,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &39 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*57 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 97,0 +) +*58 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 98,0 +) +*59 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*60 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 4688,0 +) +*61 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 4736,0 +) +*62 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 4913,0 +) +*63 (MRCItem +litem &54 +pos 3 +dimension 20 +uid 4984,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*64 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 101,0 +) +*65 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 102,0 +) +*66 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 103,0 +) +*67 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 104,0 +) +*68 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 105,0 +) +*69 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 106,0 +) +*70 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "heirv32_sc" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:20:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_sc" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\heirv32_sc\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:20:21" +) +(vvPair +variable "unit" +value "heirv32_sc" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 471,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 472,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,27625,19000,28375" +) +tg (CPTG +uid 473,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 474,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,27300,22500,28700" +st "rst" +blo "20000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 475,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "rst : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*73 (CptPort +uid 476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 477,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,29625,19000,30375" +) +tg (CPTG +uid 478,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 479,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,29300,22400,30700" +st "clk" +blo "20000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 480,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*74 (CptPort +uid 3871,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3872,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35000,25625,35750,26375" +) +tg (CPTG +uid 3873,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3874,0 +va (VaSet +font "Verdana,12,0" +) +xt "27400,25300,34000,26700" +st "dbg_leds" +ju 2 +blo "34000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 3875,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,71500,6400" +st "dbg_leds : OUT std_ulogic_vector (31 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 4131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18250,25625,19000,26375" +) +tg (CPTG +uid 4133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4134,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,25300,22400,26700" +st "en" +blo "20000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4135,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*76 (CptPort +uid 4765,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4766,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,33000,24375,33750" +) +tg (CPTG +uid 4767,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4768,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "23300,28400,24700,32000" +st "btns" +blo "24500,32000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4769,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,75500,3200" +st "btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +) +*77 (CptPort +uid 4770,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4771,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,33000,26375,33750" +) +tg (CPTG +uid 4772,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4773,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "25300,28600,26700,32000" +st "leds" +blo "26500,32000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 4774,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,76000,7200" +st "leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,25000,35000,33000" +) +oxt "15000,6000,44000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,28800,35200,30000" +st "HEIRV32_SC" +blo "27800,29800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,30000,34300,31200" +st "heirv32_sc" +blo "27800,31000" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "29000,34200,57000,39000" +st "Generic Declarations + +g_programFile string \"$SIMULATION_DIR/code.txt\" +g_btnsNb positive 2 +g_dataWidth positive 32 +g_memoryNbBits positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "2" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*92 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "359,164,1381,854" +viewArea "12059,19869,48781,43364" +cachedDiagramExtent "0,0,76000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 5031,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/instr@memory/symbol.sb b/Libs/RiscV/HEIRV32/SingleCycle/hds/instr@memory/symbol.sb new file mode 100644 index 0000000..fc98d94 --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/instr@memory/symbol.sb @@ -0,0 +1,1481 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 26 +suid 3,0 +) +) +uid 178,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(g_dataWidth - 1 DOWNTO 0)" +o 32 +suid 4,0 +) +) +uid 180,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 68,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 179,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 181,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 205,0 +) +*44 (LogGeneric +generic (GiElement +name "g_memoryNbBits" +type "positive" +value "8" +) +uid 230,0 +) +*45 (LogGeneric +generic (GiElement +name "g_programFile" +type "string" +value "\"\"" +) +uid 255,0 +) +*46 (LogGeneric +generic (GiElement +name "g_tMemRd" +type "time" +value "200 ps" +) +uid 280,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &31 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*49 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 97,0 +) +*50 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 98,0 +) +*51 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*52 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 206,0 +) +*53 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 231,0 +) +*54 (MRCItem +litem &45 +pos 2 +dimension 20 +uid 256,0 +) +*55 (MRCItem +litem &46 +pos 3 +dimension 20 +uid 281,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instr@memory\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instr@memory\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instr@memory" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instrMemory" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "instrMemory" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:00:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "instrMemory" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instr@memory\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\instrMemory\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:00:46" +) +(vvPair +variable "unit" +value "instrMemory" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26000,21625,26750,22375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +font "Verdana,12,0" +) +xt "16800,21300,25000,22700" +st "instruction" +ju 2 +blo "25000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,77500,4000" +st "instruction : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "instruction" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 26 +suid 3,0 +) +) +) +*65 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,19625,18000,20375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,19300,21500,20700" +st "PC" +blo "19000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,75000,3200" +st "PC : IN unsigned (g_dataWidth - 1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "PC" +t "unsigned" +b "(g_dataWidth - 1 DOWNTO 0)" +o 32 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,18000,26000,25000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "18300,25800,25700,27000" +st "HEIRV32_SC" +blo "18300,26800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "18300,27000,25700,28200" +st "instrMemory" +blo "18300,28000" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,29600,35500,34400" +st "Generic Declarations + +g_dataWidth positive 32 +g_memoryNbBits positive 8 +g_programFile string \"\" +g_tMemRd time 200 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "8" +) +(GiElement +name "g_programFile" +type "string" +value "\"\"" +) +(GiElement +name "g_tMemRd" +type "time" +value "200 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,24,1921,1080" +viewArea "-2200,-400,75678,41000" +cachedDiagramExtent "0,0,77500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_SC" +entityName "heirv32_sc" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4000,45200,5200" +st "User:" +blo "42000,5000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,44000,5200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 327,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/SingleCycle/hds/main@decoder/symbol.sb b/Libs/RiscV/HEIRV32/SingleCycle/hds/main@decoder/symbol.sb new file mode 100644 index 0000000..e9eebce --- /dev/null +++ b/Libs/RiscV/HEIRV32/SingleCycle/hds/main@decoder/symbol.sb @@ -0,0 +1,1882 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 12 +suid 1,0 +) +) +uid 96,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +uid 98,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 13 +suid 3,0 +) +) +uid 100,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +uid 102,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "jump" +t "std_uLogic" +o 14 +suid 5,0 +) +) +uid 104,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 6,0 +) +) +uid 106,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 7,0 +) +) +uid 108,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 8,0 +) +) +uid 110,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 9,0 +) +) +uid 112,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 97,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 99,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 101,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 103,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 105,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 107,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 109,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 111,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "g_tDec" +type "time" +value "25 ps" +) +uid 286,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *59 (MRCItem +litem &45 +pos 1 +dimension 20 +) +uid 159,0 +optionalChildren [ +*60 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 160,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 161,0 +) +*62 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*63 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 287,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*64 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 164,0 +) +*65 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 165,0 +) +*66 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 166,0 +) +*67 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 167,0 +) +*68 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 168,0 +) +*69 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 169,0 +) +*70 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\main@decoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\main@decoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\main@decoder" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\mainDecoder" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "mainDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:22:56" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_SC" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/SingleCycle/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "mainDecoder" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\main@decoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\SingleCycle\\hds\\mainDecoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:22:56" +) +(vvPair +variable "unit" +value "mainDecoder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,25000,21375,25750" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,19000,21700,24000" +st "ALUOp" +blo "21500,24000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,71500,4000" +st "ALUOp : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 12 +suid 1,0 +) +) +) +*73 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,16625,30750,17375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "23900,16300,29000,17700" +st "ALUSrc" +ju 2 +blo "29000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "ALUSrc : OUT std_uLogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUSrc" +t "std_uLogic" +o 6 +suid 2,0 +) +) +) +*74 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,7625,30750,8375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "23900,7300,29000,8700" +st "branch" +ju 2 +blo "29000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "branch : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "branch" +t "std_uLogic" +o 13 +suid 3,0 +) +) +) +*75 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,18625,30750,19375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "23800,18300,29000,19700" +st "immSrc" +ju 2 +blo "29000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,71500,6400" +st "immSrc : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "immSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*76 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,9625,30750,10375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "25100,9300,29000,10700" +st "jump" +ju 2 +blo "29000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61500,7200" +st "jump : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "jump" +t "std_uLogic" +o 14 +suid 5,0 +) +) +) +*77 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,14625,30750,15375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,12,0" +) +xt "21800,14300,29000,15700" +st "memWrite" +ju 2 +blo "29000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61500,8000" +st "memWrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "memWrite" +t "std_ulogic" +o 9 +suid 6,0 +) +) +) +*78 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,18400,11700" +st "op" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,71500,3200" +st "op : IN std_ulogic_vector (6 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic_vector" +b "(6 DOWNTO 0)" +o 3 +suid 7,0 +) +) +) +*79 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,20625,30750,21375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,12,0" +) +xt "22900,20300,29000,21700" +st "regwrite" +ju 2 +blo "29000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,61500,8800" +st "regwrite : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "regwrite" +t "std_ulogic" +o 10 +suid 8,0 +) +) +) +*80 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30000,12625,30750,13375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,12,0" +) +xt "22700,12300,29000,13700" +st "resultSrc" +ju 2 +blo "29000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,70500,9600" +st "resultSrc : OUT std_ulogic_vector (1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "resultSrc" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 11 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,30000,25000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "28700,25300,36100,26500" +st "HEIRV32_SC" +blo "28700,26300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "28700,26500,36300,27700" +st "mainDecoder" +blo "28700,27500" +) +) +gi *81 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "29000,30200,40500,32600" +st "Generic Declarations + +g_tDec time 25 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "25 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*82 (Grouping +uid 16,0 +optionalChildren [ +*83 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *93 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*95 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2201,98,3220,788" +viewArea "-500,-500,70690,47560" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-8000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_SC" +entityName "controlUnit" +viewName "masterVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *96 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *97 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9600,45200,10800" +st "User:" +blo "42000,10600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,44000,10800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 287,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hdl/ALU_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/ALU_rtl.vhd new file mode 100644 index 0000000..82927d7 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/ALU_rtl.vhd @@ -0,0 +1,40 @@ +-- Risc-V ed. 2022 page 250 (pdf page 273) + +ARCHITECTURE rtl OF ALU IS + + signal lvec_res : std_ulogic_vector(res'range); + signal lsig_zero : std_ulogic; + +BEGIN + + lsig_zero <= '1' when lvec_res = (lvec_res'range => '0') else '0'; + zero <= lsig_zero after g_tALU; + res <= lvec_res after g_tALU; + + alu : process(srcA, srcB, ctrl) + begin + case ctrl is + when "000" => -- add + lvec_res <= std_ulogic_vector(resize( + unsigned(srcA) + unsigned(srcB), lvec_res'length + )); + when "001" => -- substract + lvec_res <= std_ulogic_vector(resize( + unsigned(srcA) - unsigned(srcB), lvec_res'length + )); + when "010" => -- AND + lvec_res <= srcA and srcB; + when "011" => -- OR + lvec_res <= srcA or srcB; + when "101" => -- SLT + if srcA < srcB then + lvec_res <= (lvec_res'high downto 1 => '0') & '1'; + else + lvec_res <= (lvec_res'high downto 1 => '0') & '0'; + end if; + when others => -- unknown + lvec_res <= (others => '-'); + end case; + end process alu; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/aluDecoder_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/aluDecoder_rtl.vhd new file mode 100644 index 0000000..a380f66 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/aluDecoder_rtl.vhd @@ -0,0 +1,27 @@ + +ARCHITECTURE rtl OF aluDecoder IS + signal lsig_rTypeSub : std_ulogic; +BEGIN + lsig_rTypeSub <= funct7 and op; -- true for R-type substract + + decode : process(op, funct3, funct7, ALUOp, lsig_rTypeSub) + begin + case ALUOp is + when "00" => ALUControl <= "000" after g_tDec; -- addition + when "01" => ALUControl <= "001" after g_tDec; -- substraction + when others => + case funct3 is -- R-type or I-type + when "000" => + if lsig_rTypeSub = '1' then + ALUControl <= "001" after g_tDec; -- sub + else + ALUControl <= "000" after g_tDec; -- add, addi + end if; + when "010" => ALUControl <= "101" after g_tDec; -- slt, slti + when "110" => ALUControl <= "011" after g_tDec; -- or, ori + when "111" => ALUControl <= "010" after g_tDec; -- and, andi + when others => ALUControl <= "---" after g_tDec; -- unknown + end case; + end case; + end process decode; +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/bramAddrReducer_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/bramAddrReducer_rtl.vhd new file mode 100644 index 0000000..23da467 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/bramAddrReducer_rtl.vhd @@ -0,0 +1,6 @@ + +ARCHITECTURE rtl OF bramAddrReducer IS +BEGIN + -- +2 to srr(2) the address (as it makes +4) + addrOut <= std_ulogic_vector(addrIn(addrOut'high+2 downto addrOut'low+2)); +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/bufferStdULogEnable_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/bufferStdULogEnable_rtl.vhd new file mode 100644 index 0000000..f79b51b --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/bufferStdULogEnable_rtl.vhd @@ -0,0 +1,17 @@ + +ARCHITECTURE rtl OF bufferStdULogEnable IS +BEGIN + + buffering:process(rst, CLK) + begin + if rst = '1' then + out1 <= (others=>'0'); + elsif rising_edge(CLK) then + if EN = '1' then + out1 <= in1; + end if; + end if; + end process buffering; + +END ARCHITECTURE rtl; + diff --git a/Libs/RiscV/HEIRV32/hdl/bufferUnsignedEnable_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/bufferUnsignedEnable_rtl.vhd new file mode 100644 index 0000000..a7df21b --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/bufferUnsignedEnable_rtl.vhd @@ -0,0 +1,16 @@ + +ARCHITECTURE rtl OF bufferUnsignedEnable IS +BEGIN + + buffering:process(rst, CLK) + begin + if rst = '1' then + out1 <= (others=>'0') after g_tPC; + elsif rising_edge(CLK) then + if EN = '1' then + out1 <= in1 after g_tPC; + end if; + end if; + end process buffering; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/extend_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/extend_rtl.vhd new file mode 100644 index 0000000..d0d2010 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/extend_rtl.vhd @@ -0,0 +1,26 @@ + +ARCHITECTURE rtl OF extend IS +BEGIN + + extend : process(input, src) + begin + case src is + when "00" => -- I-type + extended <= (12 to 31 => input(31)) & + input(31 downto 20) after g_tExt; + when "01" => -- S-types (stores) + extended <= (12 to 31 => input(31)) & + input(31 downto 25) & input(11 downto 7) after g_tExt; + when "10" => -- B-type (branches) + extended <= (12 to 31 => input(31)) & input(7) & + input(30 downto 25) & input(11 downto 8) & '0' after g_tExt; + when "11" => -- J-type (jal) + extended <= (20 to 31 => input(31)) & + input(19 downto 12) & input(20) & + input(30 downto 21) & '0' after g_tExt; + when others => -- impossible + extended <= (others => '-') after g_tExt; + end case; + end process extend; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/mux4To1ULogVec_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/mux4To1ULogVec_rtl.vhd new file mode 100644 index 0000000..683819f --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/mux4To1ULogVec_rtl.vhd @@ -0,0 +1,16 @@ + +ARCHITECTURE rtl OF mux4To1ULogVec IS +BEGIN + + muxSelect: process(sel, in1, in2, in3, in4) + begin + case to_integer(unsigned(sel)) is + when 0 => out1 <= in1 after g_tMux; + when 1 => out1 <= in2 after g_tMux; + when 2 => out1 <= in3 after g_tMux; + when 3 => out1 <= in4 after g_tMux; + when others => out1 <= (others => 'X') after g_tMux; + end case; + end process muxSelect; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hdl/registerFile_rtl.vhd b/Libs/RiscV/HEIRV32/hdl/registerFile_rtl.vhd new file mode 100644 index 0000000..0ab2793 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hdl/registerFile_rtl.vhd @@ -0,0 +1,53 @@ + +ARCHITECTURE rtl OF registerFile IS + -- Bank of register + type t_registersBank is array (31 downto 0) of + std_ulogic_vector(31 downto 0); + -- A bank of registers + signal larr_registers: t_registersBank; + signal lvec_btns : std_ulogic_vector(31 downto 0); +BEGIN + -- Special regs + process(rst, clk) + begin + if rst = '1' then + lvec_btns <= (others => '0'); + elsif rising_edge(clk) then + lvec_btns <= (btns'length to g_datawidth-1 => '0') & btns; + end if; + end process; + + -- Clocked write + process(rst, clk) begin + if rst = '1' then + larr_registers <= (others => (others => '0')) after g_tRfWr; + elsif rising_edge(clk) then + if writeEnable3 = '1' and en = '1' then + larr_registers(to_integer(unsigned(addr3))) <= writeData after (g_tRfWr + g_tSetup); + end if; + end if; + end process; + + -- Comb. read + -- Addr 0 wired to 0s + process(addr1, addr2) begin + if (to_integer(unsigned(addr1)) = 0) then + RD1 <= (others => '0') after g_tRfRd; + elsif (to_integer(unsigned(addr1)) = 31) then -- buttons + RD1 <= lvec_btns after g_tRfRd; + else + RD1 <= larr_registers(to_integer(unsigned(addr1))) after g_tRfRd; + end if; + + if (to_integer(unsigned(addr2)) = 0) then + RD2 <= (others => '0') after g_tRfRd; + elsif (to_integer(unsigned(addr2)) = 31) then -- buttons + RD2 <= lvec_btns after g_tRfRd; + else + RD2 <= larr_registers(to_integer(unsigned(addr2))) after g_tRfRd; + end if; + end process; + + leds <= larr_registers(30); + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_ALU_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_ALU_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_ALU_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_aluDecoder_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bramAddrReducer_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bramAddrReducer_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bramAddrReducer_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferStdULogEnable_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferStdULogEnable_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferStdULogEnable_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferUnsignedEnable_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferUnsignedEnable_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_bufferUnsignedEnable_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_extend_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_extend_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_extend_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instrDecoder_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_instructionForwarder_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mainfsm_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mux4To1ULogVec_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mux4To1ULogVec_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_mux4To1ULogVec_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_registerFile_rtl.vhd._fpf b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_registerFile_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/.hdlsidedata/_registerFile_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32/hds/@a@l@u/symbol.sb b/Libs/RiscV/HEIRV32/hds/@a@l@u/symbol.sb new file mode 100644 index 0000000..0391d81 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/@a@l@u/symbol.sb @@ -0,0 +1,1641 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ctrl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 214,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "res" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +uid 216,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 8,0 +) +) +uid 218,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 220,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 222,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 215,0 +) +*25 (MRCItem +litem &15 +pos 3 +dimension 20 +uid 217,0 +) +*26 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 219,0 +) +*27 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 221,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 223,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "g_datawidth" +type "positive" +value "32" +) +uid 225,0 +) +*50 (LogGeneric +generic (GiElement +name "g_tALU" +type "time" +value "120 ps" +) +uid 302,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 224,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 303,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\@a@l@u\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\@a@l@u\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\@a@l@u" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\ALU" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "ALU" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:16:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "ALU" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\@a@l@u\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\ALU\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:16:47" +) +(vvPair +variable "unit" +value "ALU" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,11027,20375,11777" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 192,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,12777,20700,15477" +st "ctrl" +ju 2 +blo "20500,12777" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 193,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,69000,3200" +st "ctrl : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ctrl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*67 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17625,24750,18375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +font "Verdana,12,0" +) +xt "20200,17300,23000,18700" +st "res" +ju 2 +blo "23000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,75000,5600" +st "res : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "res" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 4 +suid 7,0 +) +) +) +*68 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,19400,12700" +st "srcA" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,75000,4000" +st "srcA : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcA" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*69 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,20625,15000,21375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,20300,19400,21700" +st "srcB" +blo "16000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,75000,4800" +st "srcB : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "srcB" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*70 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,15625,24750,16375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "Verdana,12,0" +) +xt "19400,15300,23000,16700" +st "zero" +ju 2 +blo "23000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,58000,6400" +st "zero : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "zero" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Alu +uid 278,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,9000,24000,24000" +) +oxt "15000,6000,23000,21000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15500,24300,20500,25500" +st "HEIRV32" +blo "15500,25300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15500,25500,18200,26700" +st "ALU" +blo "15500,26500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "15000,27600,31000,30800" +st "Generic Declarations + +g_datawidth positive 32 +g_tALU time 120 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_datawidth" +type "positive" +value "32" +) +(GiElement +name "g_tALU" +type "time" +value "120 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,44600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1920,561,1,1617" +viewArea "-300,-1200,62341,32100" +cachedDiagramExtent "0,0,75000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 303,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/_alu._epf b/Libs/RiscV/HEIRV32/hds/_alu._epf new file mode 100644 index 0000000..9726e36 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_alu._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom ALU_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_aludecoder._epf b/Libs/RiscV/HEIRV32/hds/_aludecoder._epf new file mode 100644 index 0000000..e3a9212 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_aludecoder._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom aluDecoder_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_bramaddrreducer._epf b/Libs/RiscV/HEIRV32/hds/_bramaddrreducer._epf new file mode 100644 index 0000000..345f4f6 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_bramaddrreducer._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom bramAddrReducer_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_bufferstdulogenable._epf b/Libs/RiscV/HEIRV32/hds/_bufferstdulogenable._epf new file mode 100644 index 0000000..ed3f160 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_bufferstdulogenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom bufferStdULogEnable_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_bufferunsignedenable._epf b/Libs/RiscV/HEIRV32/hds/_bufferunsignedenable._epf new file mode 100644 index 0000000..698ca42 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_bufferunsignedenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom bufferUnsignedEnable_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_extend._epf b/Libs/RiscV/HEIRV32/hds/_extend._epf new file mode 100644 index 0000000..f80d769 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_extend._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom extend_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_mux4to1ulogvec._epf b/Libs/RiscV/HEIRV32/hds/_mux4to1ulogvec._epf new file mode 100644 index 0000000..5cb02f7 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_mux4to1ulogvec._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom mux4To1ULogVec_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/_registerfile._epf b/Libs/RiscV/HEIRV32/hds/_registerfile._epf new file mode 100644 index 0000000..3fcd3da --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/_registerfile._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom registerFile_rtl.vhd diff --git a/Libs/RiscV/HEIRV32/hds/alu@decoder/symbol.sb b/Libs/RiscV/HEIRV32/hds/alu@decoder/symbol.sb new file mode 100644 index 0000000..bb407f0 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/alu@decoder/symbol.sb @@ -0,0 +1,1614 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 20 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "g_tDec" +type "time" +value "25 ps" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\alu@decoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\alu@decoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\alu@decoder" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\aluDecoder" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "aluDecoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:24:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "aluDecoder" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\alu@decoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\aluDecoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:24:21" +) +(vvPair +variable "unit" +value "aluDecoder" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,16625,36750,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "26400,16300,35000,17700" +st "ALUControl" +ju 2 +blo "35000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,71000,6400" +st "ALUControl : OUT std_ulogic_vector (2 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "ALUControl" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 7 +suid 1,0 +) +) +) +*65 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,11250,27375,12000" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,13000,27700,18000" +st "ALUOp" +ju 2 +blo "27500,13000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,72000,3200" +st "ALUOp : IN std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "ALUOp" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 20 +suid 2,0 +) +) +) +*66 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,15625,20000,16375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,15300,25700,16700" +st "funct3" +blo "21000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,72000,4000" +st "funct3 : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct3" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,17625,20000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,17300,25700,18700" +st "funct7" +blo "21000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,62000,4800" +st "funct7 : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "funct7" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*68 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,13625,20000,14375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,13300,23400,14700" +st "op" +blo "21000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,62000,5600" +st "op : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "op" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,12000,36000,21000" +) +oxt "15000,6000,25000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "36650,18800,41650,20000" +st "HEIRV32" +blo "36650,19800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "36650,20000,43350,21200" +st "aluDecoder" +blo "36650,21000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "37000,22200,48500,24600" +st "Generic Declarations + +g_tDec time 25 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_tDec" +type "time" +value "25 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "284,222,1301,912" +viewArea "200,3800,45962,34772" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-10000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "controlUnit" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 190,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/bram@addr@reducer/symbol.sb b/Libs/RiscV/HEIRV32/hds/bram@addr@reducer/symbol.sb new file mode 100644 index 0000000..4e49a3c --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/bram@addr@reducer/symbol.sb @@ -0,0 +1,1435 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addrIn" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 61,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrOut" +t "std_ulogic_vector" +b "(g_addrWidth-1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +uid 63,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 82,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 62,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 64,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 145,0 +) +*44 (LogGeneric +generic (GiElement +name "g_addrWidth" +type "positive" +value "10" +) +uid 147,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 111,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 112,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 146,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 115,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 116,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 117,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 118,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 119,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 120,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bram@addr@reducer\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bram@addr@reducer\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bram@addr@reducer" +) +(vvPair +variable "d_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bramAddrReducer" +) +(vvPair +variable "date" +value "11.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "bramAddrReducer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "11.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332111" +) +(vvPair +variable "graphical_source_time" +value "16:20:19" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332111" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "bramAddrReducer" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bram@addr@reducer\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bramAddrReducer\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:20:19" +) +(vvPair +variable "unit" +value "bramAddrReducer" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,21000,11700" +st "addrIn" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,72000,3200" +st "addrIn : IN unsigned (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addrIn" +t "unsigned" +b "(g_dataWidth-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,10625,28750,11375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "21000,10300,27000,11700" +st "addrOut" +ju 2 +blo "27000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,75500,4000" +st "addrOut : OUT std_ulogic_vector (g_addrWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrOut" +t "std_ulogic_vector" +b "(g_addrWidth-1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,10000,28000,14000" +) +oxt "15000,6000,28000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15450,15800,20450,17000" +st "HEIRV32" +blo "15450,16800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15450,17000,25550,18200" +st "bramAddrReducer" +blo "15450,18000" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,20200,30000,23400" +st "Generic Declarations + +g_dataWidth positive 32 +g_addrWidth positive 10 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_addrWidth" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*63 (Grouping +uid 16,0 +optionalChildren [ +*64 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*76 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "662,232,1678,922" +viewArea "-14090,-500,56830,47560" +cachedDiagramExtent "0,0,75500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-9000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "instructionDataMemory" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4000,45200,5200" +st "User:" +blo "42000,5000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,44000,5200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 148,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/buffer@std@u@log@enable/symbol.sb b/Libs/RiscV/HEIRV32/hds/buffer@std@u@log@enable/symbol.sb new file mode 100644 index 0000000..9f1150d --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/buffer@std@u@log@enable/symbol.sb @@ -0,0 +1,1642 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 5 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_uLogic" +o 2 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 166,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@std@u@log@enable\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@std@u@log@enable\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@std@u@log@enable" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bufferStdULogEnable" +) +(vvPair +variable "date" +value "11.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "bufferStdULogEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "11.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "15:01:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "bufferStdULogEnable" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@std@u@log@enable\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bufferStdULogEnable\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:01:42" +) +(vvPair +variable "unit" +value "bufferStdULogEnable" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,24625,13000,25375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "13236,24500,14736,25500" +st "rst" +blo "13236,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59000,5600" +st "rst : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*65 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14625,27000,15375,27750" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,8,0" +) +xt "14342,25579,15842,26579" +st "en" +blo "14342,26379" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59000,4000" +st "en : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_uLogic" +o 2 +suid 4,0 +) +) +) +*66 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14625,19250,15375,20000" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "14108,20148,15708,21148" +st "clk" +ju 2 +blo "15708,20948" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59000,3200" +st "clk : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "12250,22625,13000,23375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "13177,22530,14877,23530" +st "in1" +blo "13177,23330" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,75000,4800" +st "in1 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17000,22625,17750,23375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "14612,22500,16912,23500" +st "out1" +ju 2 +blo "16912,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,75000,6400" +st "out1 : OUT std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 5 +suid 1,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "13000,20000,17000,27000" +) +oxt "15000,6000,21000,15000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17050,29300,22050,30500" +st "HEIRV32" +blo "17050,30300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17050,30500,28950,31700" +st "bufferStdULogEnable" +blo "17050,31500" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,33200,31000,35600" +st "Generic Declarations + +g_dataWidth positive 32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*81 (CommentGraphic +uid 172,0 +shape (PolyLine2D +pts [ +"15000,22000" +"17000,20000" +] +uid 173,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "15000,20000,17000,22000" +) +) +*82 (CommentGraphic +uid 174,0 +shape (PolyLine2D +pts [ +"13000,20000" +"15000,22000" +] +uid 175,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "13000,20000,15000,22000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1100,-234,-83,456" +viewArea "7600,17800,30481,33286" +cachedDiagramExtent "0,0,75000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-12000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 198,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/RiscV/HEIRV32/hds/buffer@unsigned@enable/symbol.sb b/Libs/RiscV/HEIRV32/hds/buffer@unsigned@enable/symbol.sb new file mode 100644 index 0000000..e82b0e6 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/buffer@unsigned@enable/symbol.sb @@ -0,0 +1,1658 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "in1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "g_bitNb" +type "positive" +value "32" +) +uid 166,0 +) +*50 (LogGeneric +generic (GiElement +name "g_tPC" +type "time" +value "40 ps" +) +uid 402,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 131,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 403,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@unsigned@enable\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@unsigned@enable\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@unsigned@enable" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bufferUnsignedEnable" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "bufferUnsignedEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:09:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "bufferUnsignedEnable" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\buffer@unsigned@enable\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\bufferUnsignedEnable\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:09:50" +) +(vvPair +variable "unit" +value "bufferUnsignedEnable" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,12250,24375,13000" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,8,0" +) +xt "23184,13000,24784,14000" +st "clk" +blo "23184,13800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59000,3200" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26000,15625,26750,16375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "23436,15454,25736,16454" +st "out1" +ju 2 +blo "25736,16254" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,68500,6400" +st "out1 : OUT unsigned (g_bitNb - 1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 7 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,15625,22000,16375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "22310,15500,24010,16500" +st "in1" +blo "22310,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,69500,4800" +st "in1 : IN unsigned (g_bitNb - 1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "unsigned" +b "(g_bitNb - 1 DOWNTO 0)" +o 6 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,20000,24375,20750" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,8,0" +) +xt "23414,19000,24914,20000" +st "en" +blo "23414,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59000,4000" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,17625,22000,18375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "22264,17500,23764,18500" +st "rst" +blo "22264,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59000,5600" +st "rst : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22000,13000,26000,20000" +) +oxt "15000,6000,19000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "19600,21800,24200,22800" +st "HEIRV32" +blo "19600,22600" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "19600,22800,31500,23800" +st "bufferUnsignedEnable" +blo "19600,23600" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "20000,23600,33500,26800" +st "Generic Declarations + +g_bitNb positive 32 +g_tPC time 40 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_bitNb" +type "positive" +value "32" +) +(GiElement +name "g_tPC" +type "time" +value "40 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*83 (CommentGraphic +uid 191,0 +shape (PolyLine2D +pts [ +"22000,13000" +"24000,15000" +] +uid 192,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "22000,13000,24000,15000" +) +oxt "22000,12000,26000,15000" +) +*84 (CommentGraphic +uid 193,0 +shape (PolyLine2D +pts [ +"24000,15000" +"26000,13000" +] +uid 194,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "24000,13000,26000,15000" +) +oxt "26000,12000,30000,15000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-8,-8,1928,1048" +viewArea "-8400,-4900,70168,36500" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-13000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/extend/symbol.sb b/Libs/RiscV/HEIRV32/hds/extend/symbol.sb new file mode 100644 index 0000000..83ad80d --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/extend/symbol.sb @@ -0,0 +1,1550 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 3,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "extended" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +uid 61,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(31 DOWNTO 7)" +o 1 +suid 2,0 +) +) +uid 63,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "src" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 284,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*19 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*20 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*21 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*22 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 62,0 +) +*23 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 64,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 285,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*25 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*26 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*27 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*28 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*29 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*30 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*31 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*32 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 145,0 +) +*46 (LogGeneric +generic (GiElement +name "g_tExt" +type "time" +value "35 ps" +) +uid 360,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 111,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 112,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 146,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 361,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 115,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 116,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 117,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 118,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 119,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 120,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "extend" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:09:36" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "extend" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\extend\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:09:36" +) +(vvPair +variable "unit" +value "extend" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,13625,31750,14375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "23200,13300,30000,14700" +st "extended" +ju 2 +blo "30000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,76000,4800" +st "extended : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "extended" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 2 +suid 1,0 +) +) +) +*63 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,13625,16000,14375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,13300,21000,14700" +st "input" +blo "17000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,71500,3200" +st "input : IN std_ulogic_vector (31 DOWNTO 7) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(31 DOWNTO 7)" +o 1 +suid 2,0 +) +) +) +*64 (CommentGraphic +uid 209,0 +shape (CustomPolygon +pts [ +"16000,13000" +"31000,13000" +"31000,16000" +"16000,16000" +"16000,13000" +] +uid 210,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,13000,31000,16000" +) +) +*65 (CommentGraphic +uid 159,0 +shape (CustomPolygon +pts [ +"16001,16000" +"16001,13000" +"30999,10000" +"30999,16000" +"16001,16000" +] +uid 160,0 +layer 0 +sl 0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "26368,26368,26368" +) +xt "16001,10000,30999,16000" +) +) +*66 (CptPort +uid 286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 287,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31000,10625,31750,11375" +) +tg (CPTG +uid 288,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 289,0 +va (VaSet +font "Verdana,12,0" +) +xt "27400,10300,30000,11700" +st "src" +ju 2 +blo "30000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 290,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,71000,4000" +st "src : IN std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "src" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +transparent 1 +lineColor "26368,26368,26368" +lineStyle 2 +) +xt "16000,10000,31000,16000" +fos 1 +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15500,17300,20500,18500" +st "HEIRV32" +blo "15500,18300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15500,18500,19600,19700" +st "extend" +blo "15500,19500" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "15000,20800,30500,24000" +st "Generic Declarations + +g_dataWidth positive 32 +g_tExt time 35 ps " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_tExt" +type "time" +value "35 ps" +) +] +) +sed 1 +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "480,270,1505,960" +viewArea "14000,7300,37113,22786" +cachedDiagramExtent "0,0,76000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,4800,45200,6000" +st "User:" +blo "42000,5800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,44000,6000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 384,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/mux4@to1@u@log@vec/symbol.sb b/Libs/RiscV/HEIRV32/hds/mux4@to1@u@log@vec/symbol.sb new file mode 100644 index 0000000..1b5fe74 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/mux4@to1@u@log@vec/symbol.sb @@ -0,0 +1,1701 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +uid 89,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +uid 91,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 82,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 84,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 86,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 88,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 90,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 92,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 173,0 +) +*52 (LogGeneric +generic (GiElement +name "g_tMux" +type "time" +value "30 ps" +) +uid 222,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 138,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 174,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 223,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4@to1@u@log@vec\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4@to1@u@log@vec\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4@to1@u@log@vec" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4To1ULogVec" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "mux4To1ULogVec" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:11:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "mux4To1ULogVec" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4@to1@u@log@vec\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\mux4To1ULogVec\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:11:14" +) +(vvPair +variable "unit" +value "mux4To1ULogVec" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,15749,23375,16499" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +ro 270 +va (VaSet +font "Verdana,8,0" +) +xt "22500,17000,23500,18600" +st "sel" +ju 2 +blo "23300,17000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,69000,6400" +st "sel : IN std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sel" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 18 +suid 1,0 +) +) +) +*69 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,21625,20000,22375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,21500,21700,22500" +st "in4" +blo "20000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,75000,5600" +st "in4 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 23 +suid 2,0 +) +) +) +*70 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,17625,20000,18375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,17500,21700,18500" +st "in2" +blo "20000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,76000,4000" +st "in2 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 13 +suid 3,0 +) +) +) +*71 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,15625,20000,16375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,15500,21700,16500" +st "in1" +blo "20000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,76000,3200" +st "in1 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*72 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19250,19625,20000,20375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,19500,21700,20500" +st "in3" +blo "20000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,75000,4800" +st "in3 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 21 +suid 5,0 +) +) +) +*73 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,18625,24750,19375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "21700,18500,24000,19500" +st "out1" +ju 2 +blo "24000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,74000,7200" +st "out1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 25 +suid 6,0 +) +) +) +] +shape (Mux +uid 198,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "20000,14000,24000,24000" +) +oxt "15000,6000,19000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "18000,25800,23000,27000" +st "HEIRV32" +blo "18000,26800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "18000,27000,28000,28200" +st "mux4To1ULogVec" +blo "18000,28000" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,28600,33500,31800" +st "Generic Declarations + +g_dataWidth positive 32 +g_tMux time 30 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_tMux" +type "time" +value "30 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 16,0 +optionalChildren [ +*76 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*88 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "96,54,1115,744" +viewArea "7600,13000,31330,29020" +cachedDiagramExtent "0,0,76000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-13000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,7200,45200,8400" +st "User:" +blo "42000,8200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8400,44000,8400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 246,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32/hds/register@file/symbol.sb b/Libs/RiscV/HEIRV32/hds/register@file/symbol.sb new file mode 100644 index 0000000..77505e3 --- /dev/null +++ b/Libs/RiscV/HEIRV32/hds/register@file/symbol.sb @@ -0,0 +1,2190 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 86,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addr1" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 88,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 90,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +uid 92,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD2" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +uid 94,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnable3" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 96,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 98,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addr2" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 2 +suid 8,0 +) +) +uid 180,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addr3" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 182,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 10 +suid 10,0 +) +) +uid 320,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +uid 350,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 12 +suid 12,0 +) +) +uid 361,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 117,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 87,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 89,0 +) +*33 (MRCItem +litem &16 +pos 4 +dimension 20 +uid 91,0 +) +*34 (MRCItem +litem &17 +pos 5 +dimension 20 +uid 93,0 +) +*35 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 95,0 +) +*36 (MRCItem +litem &19 +pos 7 +dimension 20 +uid 97,0 +) +*37 (MRCItem +litem &20 +pos 8 +dimension 20 +uid 99,0 +) +*38 (MRCItem +litem &21 +pos 3 +dimension 20 +uid 181,0 +) +*39 (MRCItem +litem &22 +pos 2 +dimension 20 +uid 183,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 321,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 351,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 362,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "g_dataWidth" +type "positive" +value "32" +) +uid 198,0 +) +*64 (LogGeneric +generic (GiElement +name "g_btnsNb" +type "positive" +value "2" +) +uid 352,0 +) +*65 (LogGeneric +generic (GiElement +name "g_tRfRd" +type "time" +value "100 ps" +) +uid 414,0 +) +*66 (LogGeneric +generic (GiElement +name "g_tRfWr" +type "time" +value "60 ps" +) +uid 416,0 +) +*67 (LogGeneric +generic (GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +uid 418,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*68 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *69 (MRCItem +litem &51 +pos 5 +dimension 20 +) +uid 145,0 +optionalChildren [ +*70 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 146,0 +) +*71 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 147,0 +) +*72 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +*73 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 199,0 +) +*74 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 353,0 +) +*75 (MRCItem +litem &65 +pos 2 +dimension 20 +uid 415,0 +) +*76 (MRCItem +litem &66 +pos 3 +dimension 20 +uid 417,0 +) +*77 (MRCItem +litem &67 +pos 4 +dimension 20 +uid 419,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*78 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 150,0 +) +*79 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 151,0 +) +*80 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 152,0 +) +*81 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 153,0 +) +*82 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 154,0 +) +*83 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 155,0 +) +*84 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\register@file\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\register@file\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\register@file" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\registerFile" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:19:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr/RiscV/HEIRV32/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\register@file\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32\\hds\\registerFile\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "11:19:02" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,20250,19375,21000" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +font "Verdana,12,0" +) +xt "17424,21345,19824,22745" +st "clk" +ju 2 +blo "19824,22545" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,63000,6400" +st "clk : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*87 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,25625,16000,26375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,25300,21500,26700" +st "addr1" +blo "17000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,73000,3200" +st "addr1 : IN std_ulogic_vector (4 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr1" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*88 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,32625,16000,33375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,32300,24000,33700" +st "writeData" +blo "17000,33500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,80000,8800" +st "writeData : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeData" +t "std_ulogic_vector" +b "(g_dataWidth - 1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*89 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,25625,33750,26375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +font "Verdana,12,0" +) +xt "28700,25300,32000,26700" +st "RD1" +ju 2 +blo "32000,26500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,79000,10400" +st "RD1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD1" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 8 +suid 4,0 +) +) +) +*90 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,29625,33750,30375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +font "Verdana,12,0" +) +xt "28700,29300,32000,30700" +st "RD2" +ju 2 +blo "32000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10400,79000,11200" +st "RD2 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RD2" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 9 +suid 5,0 +) +) +) +*91 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25625,20250,26375,21000" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "25300,22000,26700,31900" +st "writeEnable3" +ju 2 +blo "26500,22000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,63000,9600" +st "writeEnable3 : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnable3" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +*92 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21625,20250,22375,21000" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "21300,22000,22700,24500" +st "rst" +ju 2 +blo "22500,22000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,63000,8000" +st "rst : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*93 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,27625,16000,28375" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 187,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,27300,21500,28700" +st "addr2" +blo "17000,28500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 188,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,73000,4000" +st "addr2 : IN std_ulogic_vector (4 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr2" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 2 +suid 8,0 +) +) +) +*94 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,29625,16000,30375" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 192,0 +va (VaSet +font "Verdana,12,0" +) +xt "17000,29300,21500,30700" +st "addr3" +blo "17000,30500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 193,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,73000,4800" +st "addr3 : IN std_ulogic_vector (4 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addr3" +t "std_ulogic_vector" +b "(4 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*95 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,20250,29375,21000" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,22000,29700,24400" +st "en" +ju 2 +blo "29500,22000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,63000,7200" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 10 +suid 10,0 +) +) +) +*96 (CptPort +uid 356,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 357,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27625,35000,28375,35750" +) +tg (CPTG +uid 358,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 359,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "27300,30400,28700,34000" +st "btns" +blo "28500,34000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 360,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,77500,5600" +st "btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 11 +suid 11,0 +) +) +) +*97 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29625,35000,30375,35750" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "29300,30600,30700,34000" +st "leds" +blo "30500,34000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11200,78000,12000" +st "leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 12 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 246,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,21000,33000,35000" +) +oxt "16000,21000,37000,33000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17050,35300,22050,36500" +st "HEIRV32" +blo "17050,36300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17050,36500,23950,37700" +st "registerFile" +blo "17050,37500" +) +) +gi *98 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "17000,38600,33000,44200" +st "Generic Declarations + +g_dataWidth positive 32 +g_btnsNb positive 2 +g_tRfRd time 100 ps +g_tRfWr time 60 ps +g_tSetup time 50 ps +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_btnsNb" +type "positive" +value "2" +) +(GiElement +name "g_tRfRd" +type "time" +value "100 ps" +) +(GiElement +name "g_tRfWr" +type "time" +value "60 ps" +) +(GiElement +name "g_tSetup" +type "time" +value "50 ps" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*99 (Grouping +uid 16,0 +optionalChildren [ +*100 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*106 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*107 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*108 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*109 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*110 (CommentGraphic +uid 247,0 +shape (PolyLine2D +pts [ +"17000,21000" +"19000,24000" +] +uid 248,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "17000,21000,19000,24000" +) +) +*111 (CommentGraphic +uid 249,0 +shape (PolyLine2D +pts [ +"19000,24000" +"21000,21000" +] +uid 250,0 +layer 8 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "19000,21000,21000,24000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*114 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2037,128,3060,818" +viewArea "6000,16100,52110,47072" +cachedDiagramExtent "0,0,80000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32" +entityName "heirv32" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,12000,45200,13200" +st "User:" +blo "42000,13000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13200,44000,13200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 419,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32_test/concat/concatenated.vhd b/Libs/RiscV/HEIRV32_test/concat/concatenated.vhd new file mode 100644 index 0000000..0df33fe --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/concat/concatenated.vhd @@ -0,0 +1,3275 @@ +-- VHDL Entity HEIRV32_test.heirv32_tb.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE2332101) +-- at - 14:52:58 18.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY heirv32_tb IS +-- Declarations + +END heirv32_tb ; + + + + + +-- VHDL Entity HEIRV32_test.universalTester.interface +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 16:01:58 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY universalTester IS + GENERIC( + c_btnsNb : positive := 2 + ); + PORT( + btns : OUT std_ulogic_vector (c_btnsNb-1 DOWNTO 0); + clk : OUT std_ulogic; + en : OUT std_ulogic; + rst : OUT std_ulogic + ); + +-- Declarations + +END universalTester ; + + + + + +LIBRARY std; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +PACKAGE testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string); + + + --============================================================================ + -- string manipulation + -- + + -- conversion to lowercase + function lc(value : string) return string; + procedure lc(value : inout line); + -- conversion to uppercase + function uc(value : string) return string; + procedure uc(value : inout line); + -- expand a string to a given length + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string; + -- remove separator characters at beginning and end of line + procedure rm_side_separators( + value : inout line; + separators : in string + ); + procedure rm_side_separators( + value : inout line + ); + -- remove multiple occurences of separator characters + procedure trim_line( + value : inout line; + separators : in string + ); + + procedure trim_line( + value : inout line + ); + -- remove all occurences of separator characters + procedure rm_all_separators( + value : inout line; + separators : in string + ); + + procedure rm_all_separators( + value : inout line + ); + -- find and remove first word + procedure read_first( + value : inout line; + separators : in string; + first : out line + ); + + procedure read_first( + value : inout line; + first : out line + ); + -- find and remove last word + procedure read_last( + value : inout line; + separators : in string; + last : out line + ); + + procedure read_last( + value : inout line; + last : out line + ); + + + --============================================================================ + -- formatted string output + -- + -- format codes: + -- code integer real std_logic std_(u)logic_vector (un)signed time + -- b v v v v binary + -- c character + -- d v v v v v decimal + -- e real numbers, with power of 10 exponent + -- f v v fixed point real numbers + -- s string + -- ts v time in seconds + -- tm v time in milliseconds + -- tu v time in microseconds + -- tn v time in nanoseconds + -- tp v time in picoseconds + -- x v v v v hexadecimal + -- X v v v v hexadecimal with upper-case letters + + function sprintf(format : string; value : integer ) return string; + function sprintf(format : string; value : real ) return string; + function sprintf(format : string; value : std_logic ) return string; + function sprintf(format : string; value : std_ulogic_vector) return string; + function sprintf(format : string; value : std_logic_vector ) return string; + function sprintf(format : string; value : unsigned ) return string; + function sprintf(format : string; value : signed ) return string; + function sprintf(format : string; value : time ) return string; + + --============================================================================ + -- formatted string input + -- + subtype nibbleUlogicType is std_ulogic_vector(3 downto 0); + subtype nibbleUnsignedType is unsigned(3 downto 0); + + function sscanf(value : character) return natural; + function sscanf(value : character) return nibbleUlogicType; + function sscanf(value : character) return nibbleUnsignedType; + function sscanf(value : string ) return natural; + function sscanf(value : string ) return unsigned; + function sscanf(value : string ) return std_ulogic_vector; + function sscanf(value : string ) return time; + + procedure sscanf(value : inout line; time_val : out time); + +END testUtils; + + + + +PACKAGE BODY testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string) is + variable my_line : line; + begin + write(my_line, value); + writeLine(output, my_line); + deallocate(my_line); + end print; + + + --============================================================================ + -- string manipulation + -- + + ------------------------------------------------------------------------------ + -- change to lowercase + ------------------------------------------------------------------------------ + procedure lc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'A') and (value(index) <= 'Z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('A') + + character'pos('a') + ); + end if; + end loop; + end lc; + + function lc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + lc(out_line); + return(out_line.all); + end lc; + + ------------------------------------------------------------------------------ + -- change to uppercase + ------------------------------------------------------------------------------ + procedure uc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'a') and (value(index) <= 'z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('a') + + character'pos('A') + ); + end if; + end loop; + end uc; + + function uc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + uc(out_line); + return(out_line.all); + end uc; + + ------------------------------------------------------------------------------ + -- formatted string output: padding and justifying + ------------------------------------------------------------------------------ + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string is + variable value_line : line; + variable out_line : line; + variable value_length : natural; + variable shift_sign : boolean; + begin + write(value_line, value); + value_length := value_line.all'length; + if string_length = 0 then + write(out_line, value_line.all); + elsif string_length > value_length then + if right_justify then + if (value_line.all(value_line.all'left) <= '-') and not(fill_char = ' ') then + shift_sign := true; + write(out_line, value_line.all(value_line.all'left)); + end if; + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + if shift_sign then + write(out_line, value_line.all(value_line.all'left+1 to value_line.all'right)); + else + write(out_line, value_line.all); + end if; + if not right_justify then + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + elsif string_length < value_length then + write(out_line, '#'); + write(out_line, value_line.all(value_length-string_length+2 to value_length)); + else + write(out_line, value_line.all); + end if; + deallocate(value_line); + return(out_line.all); + end pad; + + ------------------------------------------------------------------------------ + -- remove separator characters at beginning and end of line + ------------------------------------------------------------------------------ + procedure rm_side_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable found : boolean := false; + variable position : integer := 0; + begin + -- remove all separators in the beginning + position := -1; + for character_index in input_line'range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(position+1 to input_line'right) ); + end if; + + -- remove all separators in the end + position := -1; + for character_index in input_line'reverse_range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(input_line'left to position-1) ); + end if; + + value := input_line; + end; + + procedure rm_side_separators(value : inout line) is + begin + rm_side_separators(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove multiple occurences of separator characters, keeping one single + ------------------------------------------------------------------------------ + procedure trim_line( + value : inout line; + separators : in string + ) is + variable input_line: line := value; + variable output_line: line := new string'(""); + variable is_separator, was_separator : boolean := false; + begin + rm_side_separators(input_line); + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not (is_separator and was_separator) then + write(output_line, input_line.all(character_index)); + end if; + was_separator := is_separator; + end loop; + + value := output_line; + end; + + procedure trim_line(value : inout line) is + begin + trim_line(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove all occurences of separator characters + ------------------------------------------------------------------------------ + procedure rm_all_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable is_separator : boolean := false; + begin + + -- remove separators from beginn and end of the line + -- rm_separator_be(value, separators); + + -- empty output line + value := new string'(""); + + -- find all separator symbols + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not is_separator then + write(value, input_line.all(character_index)); + end if; + end loop; + + end; + + procedure rm_all_separators(value : inout line) is + begin + rm_all_separators(value, " _." & ht); + end; + + ------------------------------------------------------------------------------ + -- read first "word" out of a line + ------------------------------------------------------------------------------ + procedure read_first( + value : inout line; + separators : in string; + first : out line + ) is + variable input_line: line; + variable position: natural := 0; + begin + input_line := value; + for character_index in input_line.all'reverse_range loop + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position > 1 then + first := new string'(input_line.all(input_line'left to position-1)); + value := new string'(input_line(position+1 to input_line'right)); + else + first := new string'(input_line.all); + value := new string'(""); + end if; + end; + + procedure read_first(value : inout line; first : out line) is + begin + read_first(value, " :" & ht, first); + end; + + ------------------------------------------------------------------------------ + -- read last "word" out of a line + ------------------------------------------------------------------------------ + procedure read_last( + value : inout line; + separators : in string; + last : out line + ) is + variable input_line: line := value; + variable position: natural := 0; + begin + for character_index in input_line'range loop + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position <= input_line'right and + position > 0 then + value := new string'(input_line(input_line'left to position-1)); + last := new string'(input_line(position+1 to input_line'right)); + else + last := new string'(input_line.all); + end if; + end; + + procedure read_last(value : inout line; last : out line) is + begin + read_last(value, " :" & ht, last); + end; + + + --============================================================================ + -- formatted string output, internal functions + -- + + ------------------------------------------------------------------------------ + -- get format specification + ------------------------------------------------------------------------------ + procedure get_format_items( + format : string; + right_justify : out boolean; + add_sign : out boolean; + fill_char : out character; + total_length : out natural; + point_precision : out natural; + format_type : inout line + ) is + variable find_sign : boolean := false; + variable find_padding : boolean := false; + variable find_length : boolean := false; + variable find_precision : boolean := false; + variable find_type : boolean := false; + variable right_justify_int : boolean := true; + variable total_length_int : natural := 0; + variable point_precision_int : natural := 0; + begin + add_sign := false; + fill_char := ' '; + for index in 1 to format'length loop + if find_type then + write(format_type, format(index)); + end if; + if find_precision then + if (format(index) >= '0') and (format(index) <= '9') then + point_precision_int := 10*point_precision_int + character'pos(format(index)) - character'pos('0'); + if format(index+1) >= 'A' then + find_precision := false; + find_type := true; + end if; + end if; + end if; + if find_length then + if (format(index) >= '0') and (format(index) <= '9') then + total_length_int := 10*total_length_int + character'pos(format(index)) - character'pos('0'); + end if; + if format(index) = '.' then + find_length := false; + find_precision := true; + elsif format(index+1) >= 'A' then + find_length := false; + find_type := true; + end if; + end if; + if find_padding then + if format(index) = '0' then + if right_justify_int then + fill_char := '0'; + end if; + end if; + find_padding := false; + if format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if find_sign then + if format(index) = '-' then + right_justify_int := false; + end if; + if format(index) = '+' then + add_sign := true; + end if; + find_sign := false; + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if format(index) = '%' then + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + end loop; + right_justify := right_justify_int; + total_length := total_length_int; + point_precision := point_precision_int; + end get_format_items; + + + ------------------------------------------------------------------------------ + -- formatted string output: converting std_ulogic to character + ------------------------------------------------------------------------------ + function to_character(value: std_ulogic) return character is + variable out_value: character; + begin + case value is + when 'U' => out_value := 'U'; + when 'X' => out_value := 'X'; + when '0' => out_value := '0'; + when '1' => out_value := '1'; + when 'Z' => out_value := 'Z'; + when 'W' => out_value := 'W'; + when 'L' => out_value := 'L'; + when 'H' => out_value := 'H'; + when '-' => out_value := '-'; + end case; + return(out_value); + end to_character; + + ------------------------------------------------------------------------------ + -- formatted string output: binary integer + ------------------------------------------------------------------------------ + function sprintf_b(value: std_ulogic_vector) return string is + variable out_line : line; + begin + for index in value'range loop + write(out_line, to_character(value(index))); + end loop; + return(out_line.all); + end sprintf_b; + + ------------------------------------------------------------------------------ + -- formatted string output: decimal integer + ------------------------------------------------------------------------------ + function sprintf_d( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + value : integer + ) return string is + variable value_line : line; + begin + if add_sign and (value >= 0) then + write(value_line, '+'); + end if; + write(value_line, value); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_d; + + ------------------------------------------------------------------------------ + -- formatted string output: fixed point real + ------------------------------------------------------------------------------ + function sprintf_f( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + point_precision : natural; + value : real + ) return string is + variable point_precision_int : natural; + variable integer_part : integer; + variable decimal_part : natural; + variable value_line : line; + begin + if point_precision = 0 then + point_precision_int := 6; + else + point_precision_int := point_precision; + end if; + if value >= 0.0 then + integer_part := integer(value-0.5); + else + integer_part := - integer(-value-0.5); + end if; + decimal_part := abs(integer((value-real(integer_part))*(10.0**point_precision_int))); + if add_sign and (value >= 0.0) then + write(value_line, '+'); + end if; + write(value_line, integer_part); + write(value_line, '.'); + write(value_line, sprintf_d(true, false, '0', point_precision_int, decimal_part)); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_f; + + ------------------------------------------------------------------------------ + -- formatted string output: hexadecimal integer + ------------------------------------------------------------------------------ + function sprintf_X( + extend_unsigned : boolean; + value : std_ulogic_vector + ) return string is + variable bit_count : positive; + variable value_line : line; + variable out_line : line; + variable nibble: string(1 to 4); + begin + bit_count := value'length; + while (bit_count mod 4) /= 0 loop + if extend_unsigned then + write(value_line, to_character('0')); + else + write(value_line, to_character(value(value'high))); + end if; + bit_count := bit_count + 1; + end loop; + write(value_line, sprintf_b(value)); + for index in value_line.all'range loop + if (index mod 4) = 0 then + nibble := value_line.all(index-3 to index); + case nibble is + when "0000" => write(out_line, 0); + when "0001" => write(out_line, 1); + when "0010" => write(out_line, 2); + when "0011" => write(out_line, 3); + when "0100" => write(out_line, 4); + when "0101" => write(out_line, 5); + when "0110" => write(out_line, 6); + when "0111" => write(out_line, 7); + when "1000" => write(out_line, 8); + when "1001" => write(out_line, 9); + when "1010" => write(out_line, 'A'); + when "1011" => write(out_line, 'B'); + when "1100" => write(out_line, 'C'); + when "1101" => write(out_line, 'D'); + when "1110" => write(out_line, 'E'); + when "1111" => write(out_line, 'F'); + when others => write(out_line, 'X'); + end case; + end if; + end loop; + return(out_line.all); + end sprintf_X; + + + --============================================================================ + -- formatted string output, interface functions + -- + + ------------------------------------------------------------------------------ + -- integer + ------------------------------------------------------------------------------ + function sprintf(format : string; value : integer) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all = "b" then + if string_length = 0 then + string_length := 8; + end if; + return(sprintf_b(std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, string_length, value)); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, real(value))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if string_length = 0 then + string_length := 8; + end if; + string_length := 4*string_length; + if format_type.all = "X" then + return(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + else + return(lc(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0))))); + end if; + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- real + ------------------------------------------------------------------------------ + function sprintf(format : string; value : real) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "d") or (point_precision = 0) then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, integer(value))); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, value)); + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable logic_vector: std_logic_vector(1 to 1); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + logic_vector(1) := value; + return(sprintf(format, std_ulogic_vector(logic_vector))); + else + return("Not a std_logic format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_ulogic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_ulogic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if format_type.all = "b" then + return(pad(sprintf_b(value), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(unsigned(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if format_type.all = "X" then + return(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a std_ulogic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not a std_logic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- unsigned + ------------------------------------------------------------------------------ + function sprintf(format : string; value : unsigned) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not an unsigned format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- signed + ------------------------------------------------------------------------------ + function sprintf(format : string; value : signed) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if (fill_char = '0') and (value(value'left) = '1') then + fill_char := '1'; + end if; + if format_type.all = "b" then + return(pad(sprintf_b(std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(signed(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if fill_char = '1' then + fill_char := 'F'; + end if; + if format_type.all = "X" then + return(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a signed format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- time + ------------------------------------------------------------------------------ + function sprintf(format : string; value : time) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable scaling : real; + variable base_time : time; + variable unit : string(1 to 3); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all(format_type.all'left) = 't' then + scaling := 10.0**point_precision; + if format_type.all = "tp" then + base_time := 1 ps; + unit := " ps"; + elsif format_type.all = "tn" then + base_time := 1 ns; + unit := " ns"; + elsif format_type.all = "tu" then + base_time := 1 us; + unit := " us"; + elsif format_type.all = "tm" then + base_time := 1 ms; + unit := " ms"; + elsif format_type.all = "ts" then + base_time := 1 sec; + unit := " s."; + else + return("Undefined time format: '" & format_type.all & "'"); + end if; + if point_precision = 0 then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, value/base_time) & unit); + else + return(sprintf_f(right_justify, add_sign, fill_char, string_length, + point_precision, real(scaling*value/base_time)/scaling) & unit); + end if; + else + return("Not a time format: '" & format_type.all & "'"); + end if; + end sprintf; + + + --============================================================================ + -- formatted string input + ------------------------------------------------------------------------------ + + ------------------------------------------------------------------------------ + -- read a nibble out of a character + ------------------------------------------------------------------------------ + function sscanf(value : character) return natural is + begin + if (value >= '0') and (value <= '9') then + return(character'pos(value) - character'pos('0')); + elsif (value >= 'a') and (value <= 'f') then + return(character'pos(value) - character'pos('a') + 10); + elsif (value >= 'A') and (value <= 'F') then + return(character'pos(value) - character'pos('A') + 10); + else + return(0); + end if; + end sscanf; + + function sscanf(value : character) return nibbleUnsignedType is + begin + return(to_unsigned(sscanf(value), nibbleUnsignedType'length)); + end sscanf; + + function sscanf(value : character) return nibbleUlogicType is + variable unsigned_value : nibbleUnsignedType; + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end sscanf; + + ------------------------------------------------------------------------------ + -- read an binary word out of a string + ------------------------------------------------------------------------------ + function sscanf(value : string) return natural is + variable integer_value : natural; + begin + integer_value := 0; + for index in value'left to value'right loop + integer_value := integer_value*16 + sscanf(value(index)); + end loop; + return(integer_value); + end; + + function sscanf(value : string) return unsigned is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := to_unsigned(0,unsigned_value'length); + for index in value'left to value'right loop + unsigned_value := shift_left(unsigned_value,4) + to_unsigned(sscanf(value(index)),4); + end loop; + return(unsigned_value); + end; + + function sscanf(value : string) return std_ulogic_vector is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end; + + ------------------------------------------------------------------------------ + -- read time from a string + -- time can be formated as follows: + -- "1ps" or "1 ps" or " 1 ps " or " 1ps" + -- possible time units are: hr, min, sec, ms, us, ns, ps, fs + ------------------------------------------------------------------------------ + procedure sscanf( + value : inout line; + time_val : out time + ) is + variable time_line : line := value; + variable time_base : string(1 to 3); + variable time_value : integer; + variable time_int : time; + begin + -- remove all spaces and tabs + rm_all_separators(time_line); + + -- strip time base (3 last characters) + time_base := time_line(time_line'right-2 to time_line'right); + + -- separate time value and base + if time_base(2 to 3) = "hr" then + time_int := 1 hr; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base = "min" then + time_int := 1 min; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base = "sec" then + time_int := 1 sec; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base(2 to 3) = "ms" then + time_int := 1 ms; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "us" then + time_int := 1 us; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ns" then + time_int := 1 ns; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ps" then + time_int := 1 ps; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "fs" then + time_int := 1 fs; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + else + time_int := 0 ps; + time_value := 1; + end if; + + -- build time from value and base + time_val := time_int * time_value; + + end; + + function sscanf(value : string) return time is + variable value_line : line; + variable time_val : time; + begin + value_line := new string'(value); + sscanf(value_line, time_val); + return(time_val); + end; + +END testUtils; + + + + +LIBRARY std; + USE std.textio.ALL; + +LIBRARY ieee; + USE ieee.std_logic_textio.ALL; + +LIBRARY Common_test; + USE Common_test.testutils.all; + +ARCHITECTURE test OF universalTester IS + + constant clockPeriod : time := 1.0/66E6 * 1 sec; + signal sClock : std_uLogic := '1'; + signal sReset : std_uLogic ; + + signal testInfo : string(1 to 40) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- reset and clock + sReset <= '1', '0' after 3.5*clockPeriod; + rst <= sReset; + + sClock <= not sClock after clockPeriod/2; + clk <= transport sClock after 0.9*clockPeriod; + + btns <= (others => '1'), (others=>'0') after 4.15 us; + + process + -- Wait list + -- 3 clk for beq + -- 4 clk for others + -- 5 clk for lw + begin + en <= '0'; + + testInfo <= pad("Wait reset", testInfo'length); + wait until rst = '0'; + + while true loop + en <= '1'; + + testInfo <= pad("Running", testInfo'length); + wait; + + end loop; + end process; + +END ARCHITECTURE test; + + + + + +-- VHDL Entity HEIRV32.heirv32.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:23:07 21.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY heirv32 IS + GENERIC( + g_programFile : string := "$SIMULATION_DIR/code.txt"; + g_btnsNb : positive := 2; + g_dataWidth : positive := 32 + ); + PORT( + btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0); + clk : IN std_ulogic; + en : IN std_ulogic; + rst : IN std_ulogic; + dbg_leds : OUT std_ulogic_vector (31 DOWNTO 0); + leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END heirv32 ; + + + + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +PACKAGE gates IS + +-- constant gateDelay: time := 1 ns; + constant gateDelay: time := 0.1 ns; + +END gates; + + + + +-- VHDL Entity gates.transUnsignedUlog.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 14:35:04 11.09.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all; + +ENTITY transUnsignedUlog IS + GENERIC( + delay : time := gateDelay; + dataBitNb : positive := 8 + ); + PORT( + in1 : IN unsigned (dataBitNb-1 DOWNTO 0); + out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END transUnsignedUlog ; + + + + + +ARCHITECTURE sim OF transUnsignedUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; + + + + +-- VHDL Entity HEIRV32.ALU.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 13:26:28 11.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY ALU IS + GENERIC( + g_datawidth : positive := 32 + ); + PORT( + ctrl : IN std_ulogic_vector (2 DOWNTO 0); + srcA : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + srcB : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + res : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + zero : OUT std_ulogic + ); + +-- Declarations + +END ALU ; + + + + + +-- Risc-V ed. 2022 page 250 (pdf page 273) + +ARCHITECTURE rtl OF ALU IS + + signal lvec_res : std_ulogic_vector(res'range); + +BEGIN + + zero <= '1' when lvec_res = (lvec_res'range => '0') else '0'; + res <= lvec_res; + + alu : process(srcA, srcB, ctrl) + begin + case ctrl is + when "000" => -- add + lvec_res <= std_ulogic_vector(resize( + unsigned(srcA) + unsigned(srcB), lvec_res'length + )); + when "001" => -- substract + lvec_res <= std_ulogic_vector(resize( + unsigned(srcA) - unsigned(srcB), lvec_res'length + )); + when "010" => -- AND + lvec_res <= srcA and srcB; + when "011" => -- OR + lvec_res <= srcA or srcB; + when "101" => -- SLT + if srcA < srcB then + lvec_res <= (lvec_res'high downto 1 => '0') & '1'; + else + lvec_res <= (lvec_res'high downto 1 => '0') & '0'; + end if; + when others => -- unknown + lvec_res <= (others => '-'); + end case; + end process alu; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.bufferStdULogEnable.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:01:42 11.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY bufferStdULogEnable IS + GENERIC( + g_dataWidth : positive := 32 + ); + PORT( + clk : IN std_ulogic; + en : IN std_uLogic; + in1 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + rst : IN std_ulogic; + out1 : OUT std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) + ); + +-- Declarations + +END bufferStdULogEnable ; + + + + + + +ARCHITECTURE rtl OF bufferStdULogEnable IS +BEGIN + + buffering:process(rst, CLK) + begin + if rst = '1' then + out1 <= (others=>'0'); + elsif rising_edge(CLK) then + if EN = '1' then + out1 <= in1; + end if; + end if; + end process buffering; + +END ARCHITECTURE rtl; + + + + + +-- VHDL Entity gates.and2.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 14:34:52 11.09.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all; + +ENTITY and2 IS + GENERIC( + delay : time := gateDelay + ); + PORT( + in1 : IN std_uLogic; + in2 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END and2 ; + + + + + +ARCHITECTURE sim OF and2 IS +BEGIN + out1 <= in1 and in2 after delay; +END ARCHITECTURE sim; + + + + +-- VHDL Entity HEIRV32.controlUnit.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:37:23 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY controlUnit IS + GENERIC( + g_datawidth : positive := 32 + ); + PORT( + clk : IN std_ulogic; + en : IN std_ulogic; + funct3 : IN std_ulogic_vector (2 DOWNTO 0); + funct7 : IN std_ulogic; + op : IN std_ulogic_vector (6 DOWNTO 0); + rst : IN std_ulogic; + zero : IN std_ulogic; + ALUControl : OUT std_ulogic_vector (2 DOWNTO 0); + ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0); + IRWrite : OUT std_ulogic; + PCWrite : OUT std_ulogic; + adrSrc : OUT std_uLogic; + immSrc : OUT std_ulogic_vector (1 DOWNTO 0); + memWrite : OUT std_ulogic; + regwrite : OUT std_ulogic; + resultSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + +-- Declarations + +END controlUnit ; + + + + + +-- VHDL Entity gates.or2.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 14:35:09 11.09.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all; + +ENTITY or2 IS + GENERIC( + delay : time := gateDelay + ); + PORT( + in1 : IN std_uLogic; + in2 : IN std_uLogic; + out1 : OUT std_uLogic + ); + +-- Declarations + +END or2 ; + + + + + +ARCHITECTURE sim OF or2 IS +BEGIN + out1 <= in1 or in2 after delay; +END ARCHITECTURE sim; + + + + +-- VHDL Entity HEIRV32.aluDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:46:03 04.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY aluDecoder IS + PORT( + ALUOp : IN std_ulogic_vector (1 DOWNTO 0); + funct3 : IN std_ulogic_vector (2 DOWNTO 0); + funct7 : IN std_ulogic; + op : IN std_ulogic; + ALUControl : OUT std_ulogic_vector (2 DOWNTO 0) + ); + +-- Declarations + +END aluDecoder ; + + + + + + +ARCHITECTURE rtl OF aluDecoder IS + signal lsig_rTypeSub : std_ulogic; +BEGIN + lsig_rTypeSub <= funct7 and op; -- true for R-type substract + + decode : process(op, funct3, funct7, ALUOp, lsig_rTypeSub) + begin + case ALUOp is + when "00" => ALUControl <= "000"; -- addition + when "01" => ALUControl <= "001"; -- substraction + when others => + case funct3 is -- R-type or I-type + when "000" => + if lsig_rTypeSub = '1' then + ALUControl <= "001"; -- sub + else + ALUControl <= "000"; -- add, addi + end if; + when "010" => ALUControl <= "101"; -- slt, slti + when "110" => ALUControl <= "011"; -- or, ori + when "111" => ALUControl <= "010"; -- and, andi + when others => ALUControl <= "---"; -- unknown + end case; + end case; + end process decode; +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.instrDecoder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:47:22 04.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY instrDecoder IS + PORT( + op : IN std_ulogic_vector (6 DOWNTO 0); + immSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + +-- Declarations + +END instrDecoder ; + + + + + + +ARCHITECTURE rtl OF instrDecoder IS +BEGIN + + decode : process(op) + begin + case op is + when "0000011" => immSrc <= "00"; -- lw + when "0100011" => immSrc <= "01"; -- sw + when "0110011" => immSrc <= "--"; -- R-type + when "1100011" => immSrc <= "10"; -- beq + when "0010011" => immSrc <= "00"; -- l-type ALU + when "1101111" => immSrc <= "11"; -- jal + when others => immSrc <= "--"; -- unknwon + end case; + end process decode; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.mainFSM.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE2332101) +-- at - 09:29:07 18.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY mainFSM IS + PORT( + clk : IN std_ulogic; + en : IN std_ulogic; + op : IN std_ulogic_vector (6 DOWNTO 0); + rst : IN std_ulogic; + ALUOp : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0); + IRWrite : OUT std_ulogic; + PCupdate : OUT std_uLogic; + adrSrc : OUT std_uLogic; + branch : OUT std_uLogic; + memWrite : OUT std_ulogic; + regwrite : OUT std_ulogic; + resultSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + +-- Declarations + +END mainFSM ; + + + + + +-- +-- VHDL Architecture HEIRV32.mainFSM.fsm +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:37:29 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ARCHITECTURE fsm OF mainFSM IS + + TYPE STATE_TYPE IS ( + s0_Fetch, + s1_Decode, + s2_MemAdr, + s6_ExecuteR, + s8_ExecuteI, + s9_JAL, + s10_BEQ, + s3_MemRead, + s4_MemWB, + s5_MemWrite, + s7_ALUWB + ); + + -- Declare current and next state signals + SIGNAL current_state : STATE_TYPE; + SIGNAL next_state : STATE_TYPE; + +BEGIN + + ----------------------------------------------------------------- + clocked_proc : PROCESS ( + clk, + rst + ) + ----------------------------------------------------------------- + BEGIN + IF (rst = '1') THEN + current_state <= s0_Fetch; + ELSIF (clk'EVENT AND clk = '1') THEN + current_state <= next_state; + END IF; + END PROCESS clocked_proc; + + ----------------------------------------------------------------- + nextstate_proc : PROCESS ( + current_state, + en, + op + ) + ----------------------------------------------------------------- + BEGIN + CASE current_state IS + WHEN s0_Fetch => + IF (en = '1') THEN + next_state <= s1_Decode; + ELSE + next_state <= s0_Fetch; + END IF; + WHEN s1_Decode => + IF ((op = "0000011" OR op = "0100011") AND en = '1') THEN + next_state <= s2_MemAdr; + ELSIF (op = "0110011" AND en = '1') THEN + next_state <= s6_ExecuteR; + ELSIF (op = "0010011" AND en = '1') THEN + next_state <= s8_ExecuteI; + ELSIF (op = "1101111" AND en = '1') THEN + next_state <= s9_JAL; + ELSIF (op = "1100011" AND en = '1') THEN + next_state <= s10_BEQ; + ELSIF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s1_Decode; + END IF; + WHEN s2_MemAdr => + IF (op = "0000011" AND en = '1') THEN + next_state <= s3_MemRead; + ELSIF (op = "0100011" AND en = '1') THEN + next_state <= s5_MemWrite; + ELSIF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s2_MemAdr; + END IF; + WHEN s6_ExecuteR => + IF (en = '1') THEN + next_state <= s7_ALUWB; + ELSE + next_state <= s6_ExecuteR; + END IF; + WHEN s8_ExecuteI => + IF (en = '1') THEN + next_state <= s7_ALUWB; + ELSE + next_state <= s8_ExecuteI; + END IF; + WHEN s9_JAL => + IF (en = '1') THEN + next_state <= s7_ALUWB; + ELSE + next_state <= s9_JAL; + END IF; + WHEN s10_BEQ => + IF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s10_BEQ; + END IF; + WHEN s3_MemRead => + IF (en = '1') THEN + next_state <= s4_MemWB; + ELSE + next_state <= s3_MemRead; + END IF; + WHEN s4_MemWB => + IF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s4_MemWB; + END IF; + WHEN s5_MemWrite => + IF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s5_MemWrite; + END IF; + WHEN s7_ALUWB => + IF (en = '1') THEN + next_state <= s0_Fetch; + ELSE + next_state <= s7_ALUWB; + END IF; + WHEN OTHERS => + next_state <= s0_Fetch; + END CASE; + END PROCESS nextstate_proc; + + ----------------------------------------------------------------- + output_proc : PROCESS ( + current_state + ) + ----------------------------------------------------------------- + BEGIN + -- Default Assignment + ALUOp <= "00"; + ALUSrcA <= "00"; + ALUSrcB <= "00"; + IRWrite <= '0'; + PCupdate <= '0'; + adrSrc <= '0'; + branch <= '0'; + memWrite <= '0'; + regwrite <= '0'; + resultSrc <= "00"; + + -- Combined Actions + CASE current_state IS + WHEN s0_Fetch => + adrSrc <= '0' ; + IRWrite <= '1' ; + ALUSrcA <= "00" ; + ALUSrcB <= "10" ; + ALUOp <= "00" ; + resultSrc <= "10" ; + PCupdate <= '1' ; + WHEN s1_Decode => + ALUSrcA <= "01" ; + ALUSrcB <= "01" ; + ALUOp <= "00" ; + WHEN s2_MemAdr => + ALUSrcA <= "10" ; + ALUSrcB <= "01" ; + ALUOp <= "00" ; + WHEN s6_ExecuteR => + ALUSrcA <= "10" ; + ALUSrcB <= "00" ; + ALUOp <= "10" ; + WHEN s8_ExecuteI => + ALUSrcA <= "10" ; + ALUSrcB <= "01" ; + ALUOp <= "10" ; + WHEN s9_JAL => + ALUSrcA <= "01" ; + ALUSrcB <= "10" ; + ALUOp <= "00" ; + resultSrc <= "00" ; + PCupdate <= '1' ; + WHEN s10_BEQ => + ALUSrcA <= "10" ; + ALUSrcB <= "00" ; + ALUOp <= "01" ; + resultSrc <= "00" ; + branch <= '1' ; + WHEN s3_MemRead => + adrSrc <= '1' ; + resultSrc <= "00" ; + WHEN s4_MemWB => + resultSrc <= "01" ; + regwrite <= '1' ; + WHEN s5_MemWrite => + adrSrc <= '1' ; + resultSrc <= "00" ; + memWrite <= '1' ; + WHEN s7_ALUWB => + resultSrc <= "00" ; + regwrite <= '1' ; + WHEN OTHERS => + NULL; + END CASE; + END PROCESS output_proc; + +END fsm; + + + + +-- +-- VHDL Architecture HEIRV32.controlUnit.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:37:23 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +LIBRARY HEIRV32; + +ARCHITECTURE struct OF controlUnit IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL ALUOp : std_ulogic_vector(1 DOWNTO 0); + SIGNAL PCupdate : std_uLogic; + SIGNAL branch : std_uLogic; + SIGNAL out1 : std_uLogic; + + + -- Component Declarations + COMPONENT and2 + GENERIC ( + delay : time := gateDelay + ); + PORT ( + in1 : IN std_uLogic ; + in2 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT or2 + GENERIC ( + delay : time := gateDelay + ); + PORT ( + in1 : IN std_uLogic ; + in2 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT aluDecoder + PORT ( + ALUOp : IN std_ulogic_vector (1 DOWNTO 0); + funct3 : IN std_ulogic_vector (2 DOWNTO 0); + funct7 : IN std_ulogic ; + op : IN std_ulogic ; + ALUControl : OUT std_ulogic_vector (2 DOWNTO 0) + ); + END COMPONENT; + COMPONENT instrDecoder + PORT ( + op : IN std_ulogic_vector (6 DOWNTO 0); + immSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT mainFSM + PORT ( + clk : IN std_ulogic ; + en : IN std_ulogic ; + op : IN std_ulogic_vector (6 DOWNTO 0); + rst : IN std_ulogic ; + ALUOp : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0); + IRWrite : OUT std_ulogic ; + PCupdate : OUT std_uLogic ; + adrSrc : OUT std_uLogic ; + branch : OUT std_uLogic ; + memWrite : OUT std_ulogic ; + regwrite : OUT std_ulogic ; + resultSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : aluDecoder USE ENTITY HEIRV32.aluDecoder; + FOR ALL : and2 USE ENTITY gates.and2; + FOR ALL : instrDecoder USE ENTITY HEIRV32.instrDecoder; + FOR ALL : mainFSM USE ENTITY HEIRV32.mainFSM; + FOR ALL : or2 USE ENTITY gates.or2; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + U_1 : and2 + GENERIC MAP ( + delay => gateDelay + ) + PORT MAP ( + in1 => zero, + in2 => branch, + out1 => out1 + ); + U_0 : or2 + GENERIC MAP ( + delay => gateDelay + ) + PORT MAP ( + in1 => out1, + in2 => PCupdate, + out1 => PCWrite + ); + U_2 : aluDecoder + PORT MAP ( + ALUOp => ALUOp, + funct3 => funct3, + funct7 => funct7, + op => op(5), + ALUControl => ALUControl + ); + U_4 : instrDecoder + PORT MAP ( + op => op, + immSrc => immSrc + ); + U_mainFSM : mainFSM + PORT MAP ( + clk => clk, + en => en, + op => op, + rst => rst, + ALUOp => ALUOp, + ALUSrcA => ALUSrcA, + ALUSrcB => ALUSrcB, + IRWrite => IRWrite, + PCupdate => PCupdate, + adrSrc => adrSrc, + branch => branch, + memWrite => memWrite, + regwrite => regwrite, + resultSrc => resultSrc + ); + +END struct; + + + + +-- VHDL Entity HEIRV32.extend.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE2332101) +-- at - 12:47:32 18.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY extend IS + GENERIC( + g_dataWidth : positive := 32 + ); + PORT( + input : IN std_ulogic_vector (31 DOWNTO 7); + src : IN std_ulogic_vector (1 DOWNTO 0); + extended : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END extend ; + + + + + + +ARCHITECTURE rtl OF extend IS +BEGIN + + extend : process(input, src) + begin + case src is + when "00" => -- I-type + extended <= (12 to 31 => input(31)) & + input(31 downto 20); + when "01" => -- S-types (stores) + extended <= (12 to 31 => input(31)) & + input(31 downto 25) & input(11 downto 7); + when "10" => -- B-type (branches) + extended <= (12 to 31 => input(31)) & input(7) & + input(30 downto 25) & input(11 downto 8) & '0'; + when "11" => -- J-type (jal) + extended <= (20 to 31 => input(31)) & + input(19 downto 12) & input(20) & + input(30 downto 21) & '0'; + when others => -- impossible + extended <= (others => '-'); + end case; + end process extend; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.instructionDataMemory.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 18:08:43 18.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY instructionDataMemory IS + GENERIC( + g_dataWidth : positive := 32; + g_addrWidth : positive := 10; + g_programFile : string := "" + ); + PORT( + address : IN unsigned (g_dataWidth-1 DOWNTO 0); + clk : IN std_ulogic; + en : IN std_ulogic; + writeData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + writeEnable : IN std_ulogic; + readData : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END instructionDataMemory ; + + + + + +-- VHDL Entity Memory.bram.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:51:22 11.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY bram IS + GENERIC( + addressBitNb : positive := 8; + dataBitNb : positive := 8; + initFile : string := "bramInit.txt" + ); + PORT( + clock : IN std_ulogic; + en : IN std_ulogic; + writeEn : IN std_ulogic; + addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END bram ; + + + + + +library ieee; + use std.textio.all; + use ieee.std_logic_textio.all; + +ARCHITECTURE hexRead OF bram IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of std_logic_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + HREAD(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := std_logic_vector(dataIn); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE hexRead; + + + + +-- VHDL Entity HEIRV32.bramAddrReducer.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE2332111) +-- at - 16:20:19 11.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY bramAddrReducer IS + GENERIC( + g_dataWidth : positive := 32; + g_addrWidth : positive := 10 + ); + PORT( + addrIn : IN unsigned (g_dataWidth-1 DOWNTO 0); + addrOut : OUT std_ulogic_vector (g_addrWidth-1 DOWNTO 0) + ); + +-- Declarations + +END bramAddrReducer ; + + + + + + +ARCHITECTURE rtl OF bramAddrReducer IS +BEGIN + -- +2 to srr(2) the address (as it makes +4) + addrOut <= std_ulogic_vector(addrIn(addrOut'high+2 downto addrOut'low+2)); +END ARCHITECTURE rtl; + + + + +-- +-- VHDL Architecture HEIRV32.instructionDataMemory.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:38:02 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +LIBRARY HEIRV32; +LIBRARY Memory; + +ARCHITECTURE struct OF instructionDataMemory IS + + -- Architecture declarations + + -- Internal signal declarations + SIGNAL out1 : std_ulogic_vector(g_addrWidth-1 DOWNTO 0); + + + -- Component Declarations + COMPONENT bramAddrReducer + GENERIC ( + g_dataWidth : positive := 32; + g_addrWidth : positive := 10 + ); + PORT ( + addrIn : IN unsigned (g_dataWidth-1 DOWNTO 0); + addrOut : OUT std_ulogic_vector (g_addrWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT bram + GENERIC ( + addressBitNb : positive := 8; + dataBitNb : positive := 8; + initFile : string := "bramInit.txt" + ); + PORT ( + clock : IN std_ulogic ; + en : IN std_ulogic ; + writeEn : IN std_ulogic ; + addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0); + dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0); + dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : bram USE ENTITY Memory.bram; + FOR ALL : bramAddrReducer USE ENTITY HEIRV32.bramAddrReducer; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + U_bramAddrReducer : bramAddrReducer + GENERIC MAP ( + g_dataWidth => g_dataWidth, + g_addrWidth => g_addrWidth + ) + PORT MAP ( + addrIn => address, + addrOut => out1 + ); + U_bram : bram + GENERIC MAP ( + addressBitNb => g_addrWidth, + dataBitNb => g_dataWidth, + initFile => g_programFile + ) + PORT MAP ( + clock => clk, + en => en, + writeEn => writeEnable, + addressIn => out1, + dataIn => writeData, + dataOut => readData + ); + +END struct; + + + + +-- VHDL Entity HEIRV32.instructionForwarder.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE2332101) +-- at - 16:10:50 18.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY instructionForwarder IS + GENERIC( + g_dataWidth : positive := 32 + ); + PORT( + irWrite : IN std_ulogic; + readData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + instruction : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END instructionForwarder ; + + + + + + +ARCHITECTURE rtl OF instructionForwarder IS + signal lvec_irMem : std_ulogic_vector(readData'range); +BEGIN + +-- forwardIR : process(rst, clk) +-- begin +-- if rst = '1' then +-- lvec_irMem <= (others => '0'); +-- elsif rising_edge(clk) then +-- if en = '1' and IRWrite = '1' then +-- lvec_irMem <= readData; +-- end if; +-- end if; +-- end process forwardIR; + + forwardIR : process(readData, irWrite) + begin + if irWrite = '1' then + lvec_irMem <= readData; + end if; + end process forwardIR; + + instruction <= lvec_irMem; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.bufferUnsignedEnable.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 13:59:57 27.09.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +ENTITY bufferUnsignedEnable IS + GENERIC( + g_bitNb : positive := 32 + ); + PORT( + clk : IN std_ulogic; + en : IN std_ulogic; + in1 : IN unsigned (g_bitNb - 1 DOWNTO 0); + rst : IN std_ulogic; + out1 : OUT unsigned (g_bitNb - 1 DOWNTO 0) + ); + +-- Declarations + +END bufferUnsignedEnable ; + + + + + + +ARCHITECTURE rtl OF bufferUnsignedEnable IS +BEGIN + + buffering:process(rst, CLK) + begin + if rst = '1' then + out1 <= (others=>'0'); + elsif rising_edge(CLK) then + if EN = '1' then + out1 <= in1; + end if; + end if; + end process buffering; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity gates.mux2to1Unsigned.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 14:35:12 11.09.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all; + +ENTITY mux2to1Unsigned IS + GENERIC( + dataBitNb : positive := 8; + delay : time := gateDelay + ); + PORT( + in0 : IN unsigned (dataBitNb-1 DOWNTO 0); + in1 : IN unsigned (dataBitNb-1 DOWNTO 0); + sel : IN std_uLogic; + muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END mux2to1Unsigned ; + + + + + +ARCHITECTURE sim OF mux2to1Unsigned IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; + + + + +-- VHDL Entity HEIRV32.registerFile.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 10:23:24 21.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY registerFile IS + GENERIC( + g_dataWidth : positive := 32; + g_btnsNb : positive := 2 + ); + PORT( + addr1 : IN std_ulogic_vector (4 DOWNTO 0); + addr2 : IN std_ulogic_vector (4 DOWNTO 0); + addr3 : IN std_ulogic_vector (4 DOWNTO 0); + btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0); + clk : IN std_ulogic; + en : IN std_ulogic; + rst : IN std_ulogic; + writeData : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + writeEnable3 : IN std_ulogic; + RD1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + RD2 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END registerFile ; + + + + + + +ARCHITECTURE rtl OF registerFile IS + -- Bank of register + type t_registersBank is array (31 downto 0) of + std_ulogic_vector(31 downto 0); + -- A bank of registers + signal larr_registers: t_registersBank; +BEGIN + + -- Clocked write + process(rst, clk) begin + if rst = '1' then + larr_registers <= (others => (others => '0')); + elsif rising_edge(clk) then + if writeEnable3 = '1' and en = '1' then + larr_registers(to_integer(unsigned(addr3))) <= writeData; + end if; + end if; + end process; + + -- Comb. read + -- Addr 0 wired to 0s + process(addr1, addr2) begin + if (to_integer(unsigned(addr1)) = 0) then + RD1 <= (others => '0'); + elsif (to_integer(unsigned(addr1)) = 31) then -- buttons + RD1 <= (btns'length to g_datawidth-1 => '0') & btns; + else + RD1 <= larr_registers(to_integer(unsigned(addr1))); + end if; + + if (to_integer(unsigned(addr2)) = 0) then + RD2 <= (others => '0'); + elsif (to_integer(unsigned(addr2)) = 31) then -- buttons + RD2 <= (btns'length to g_datawidth-1 => '0') & btns; + else + RD2 <= larr_registers(to_integer(unsigned(addr2))); + end if; + end process; + + leds <= larr_registers(30); + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity HEIRV32.mux4To1ULogVec.symbol +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 15:23:48 11.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +ENTITY mux4To1ULogVec IS + GENERIC( + g_dataWidth : positive := 32 + ); + PORT( + in1 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + in2 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + in3 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + in4 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + sel : IN std_ulogic_vector (1 DOWNTO 0); + out1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + +-- Declarations + +END mux4To1ULogVec ; + + + + + + +ARCHITECTURE rtl OF mux4To1ULogVec IS +BEGIN + + muxSelect: process(sel, in1, in2, in3, in4) + begin + case to_integer(unsigned(sel)) is + when 0 => out1 <= in1; + when 1 => out1 <= in2; + when 2 => out1 <= in3; + when 3 => out1 <= in4; + when others => out1 <= (others => 'X'); + end case; + end process muxSelect; + +END ARCHITECTURE rtl; + + + + +-- VHDL Entity gates.transUlogUnsigned.symbol +-- +-- Created: +-- by - silvan.zahno.UNKNOWN (WE6996) +-- at - 14:35:05 11.09.2019 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all; + +ENTITY transUlogUnsigned IS + GENERIC( + delay : time := gateDelay; + dataBitNb : positive := 8 + ); + PORT( + in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0); + out1 : OUT unsigned (dataBitNb-1 DOWNTO 0) + ); + +-- Declarations + +END transUlogUnsigned ; + + + + + +ARCHITECTURE sim OF transUlogUnsigned IS +BEGIN + out1 <= unsigned(in1) after delay; +END ARCHITECTURE sim; + + + + +-- +-- VHDL Architecture HEIRV32.heirv32.struct +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 17:45:34 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; + +LIBRARY HEIRV32; + +ARCHITECTURE struct OF heirv32 IS + + -- Architecture declarations + constant c_dataWidth : positive := g_dataWidth; + constant c_bramAddrWidth : positive := 10; + + -- Internal signal declarations + SIGNAL ALUControl : std_ulogic_vector(2 DOWNTO 0); + SIGNAL ALUOut : std_ulogic_vector(c_dataWidth - 1 DOWNTO 0); + SIGNAL ALUResult : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL ALUSrcA : std_ulogic_vector(1 DOWNTO 0); + SIGNAL ALUSrcB : std_ulogic_vector(1 DOWNTO 0); + SIGNAL IRWrite : std_ulogic; + SIGNAL PC : unsigned(c_dataWidth - 1 DOWNTO 0); + SIGNAL PCNext : unsigned(c_dataWidth - 1 DOWNTO 0); + SIGNAL PCWrite : std_ulogic; + SIGNAL PCu : std_ulogic_vector(c_dataWidth - 1 DOWNTO 0); + SIGNAL RD1 : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL adr : unsigned(c_dataWidth-1 DOWNTO 0); + SIGNAL adrSrc : std_uLogic; + SIGNAL data : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL en1 : std_uLogic; + SIGNAL four_four : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL four_zeros : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL immExt : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL immSrc : std_ulogic_vector(1 DOWNTO 0); + SIGNAL instruction : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL memWrite : std_ulogic; + SIGNAL oldPC : std_ulogic_vector(c_dataWidth - 1 DOWNTO 0); + SIGNAL out1 : std_uLogic; + SIGNAL regwrite : std_ulogic; + SIGNAL result : std_uLogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL resultSrc : std_ulogic_vector(1 DOWNTO 0); + SIGNAL srcA : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL srcB : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL writeData : std_ulogic_vector(c_dataWidth-1 DOWNTO 0); + SIGNAL zero : std_ulogic; + + + -- Component Declarations + COMPONENT and2 + GENERIC ( + delay : time := gateDelay + ); + PORT ( + in1 : IN std_uLogic ; + in2 : IN std_uLogic ; + out1 : OUT std_uLogic + ); + END COMPONENT; + COMPONENT mux2to1Unsigned + GENERIC ( + dataBitNb : positive := 8; + delay : time := gateDelay + ); + PORT ( + in0 : IN unsigned (dataBitNb-1 DOWNTO 0); + in1 : IN unsigned (dataBitNb-1 DOWNTO 0); + sel : IN std_uLogic ; + muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT transUlogUnsigned + GENERIC ( + delay : time := gateDelay; + dataBitNb : positive := 8 + ); + PORT ( + in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0); + out1 : OUT unsigned (dataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT transUnsignedUlog + GENERIC ( + delay : time := gateDelay; + dataBitNb : positive := 8 + ); + PORT ( + in1 : IN unsigned (dataBitNb-1 DOWNTO 0); + out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT ALU + GENERIC ( + g_datawidth : positive := 32 + ); + PORT ( + ctrl : IN std_ulogic_vector (2 DOWNTO 0); + srcA : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + srcB : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + res : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + zero : OUT std_ulogic + ); + END COMPONENT; + COMPONENT bufferStdULogEnable + GENERIC ( + g_dataWidth : positive := 32 + ); + PORT ( + clk : IN std_ulogic ; + en : IN std_uLogic ; + in1 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + rst : IN std_ulogic ; + out1 : OUT std_ulogic_vector (g_dataWidth - 1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT bufferUnsignedEnable + GENERIC ( + g_bitNb : positive := 32 + ); + PORT ( + clk : IN std_ulogic ; + en : IN std_ulogic ; + in1 : IN unsigned (g_bitNb - 1 DOWNTO 0); + rst : IN std_ulogic ; + out1 : OUT unsigned (g_bitNb - 1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT controlUnit + GENERIC ( + g_datawidth : positive := 32 + ); + PORT ( + clk : IN std_ulogic ; + en : IN std_ulogic ; + funct3 : IN std_ulogic_vector (2 DOWNTO 0); + funct7 : IN std_ulogic ; + op : IN std_ulogic_vector (6 DOWNTO 0); + rst : IN std_ulogic ; + zero : IN std_ulogic ; + ALUControl : OUT std_ulogic_vector (2 DOWNTO 0); + ALUSrcA : OUT std_ulogic_vector (1 DOWNTO 0); + ALUSrcB : OUT std_ulogic_vector (1 DOWNTO 0); + IRWrite : OUT std_ulogic ; + PCWrite : OUT std_ulogic ; + adrSrc : OUT std_uLogic ; + immSrc : OUT std_ulogic_vector (1 DOWNTO 0); + memWrite : OUT std_ulogic ; + regwrite : OUT std_ulogic ; + resultSrc : OUT std_ulogic_vector (1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT extend + GENERIC ( + g_dataWidth : positive := 32 + ); + PORT ( + input : IN std_ulogic_vector (31 DOWNTO 7); + src : IN std_ulogic_vector (1 DOWNTO 0); + extended : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT instructionDataMemory + GENERIC ( + g_dataWidth : positive := 32; + g_addrWidth : positive := 10; + g_programFile : string := "" + ); + PORT ( + address : IN unsigned (g_dataWidth-1 DOWNTO 0); + clk : IN std_ulogic ; + en : IN std_ulogic ; + writeData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + writeEnable : IN std_ulogic ; + readData : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT instructionForwarder + GENERIC ( + g_dataWidth : positive := 32 + ); + PORT ( + irWrite : IN std_ulogic ; + readData : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + instruction : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT mux4To1ULogVec + GENERIC ( + g_dataWidth : positive := 32 + ); + PORT ( + in1 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + in2 : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + in3 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + in4 : IN std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + sel : IN std_ulogic_vector (1 DOWNTO 0); + out1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT registerFile + GENERIC ( + g_dataWidth : positive := 32; + g_btnsNb : positive := 2 + ); + PORT ( + addr1 : IN std_ulogic_vector (4 DOWNTO 0); + addr2 : IN std_ulogic_vector (4 DOWNTO 0); + addr3 : IN std_ulogic_vector (4 DOWNTO 0); + btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0); + clk : IN std_ulogic ; + en : IN std_ulogic ; + rst : IN std_ulogic ; + writeData : IN std_ulogic_vector (g_dataWidth - 1 DOWNTO 0); + writeEnable3 : IN std_ulogic ; + RD1 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + RD2 : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0); + leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : ALU USE ENTITY HEIRV32.ALU; + FOR ALL : and2 USE ENTITY gates.and2; + FOR ALL : bufferStdULogEnable USE ENTITY HEIRV32.bufferStdULogEnable; + FOR ALL : bufferUnsignedEnable USE ENTITY HEIRV32.bufferUnsignedEnable; + FOR ALL : controlUnit USE ENTITY HEIRV32.controlUnit; + FOR ALL : extend USE ENTITY HEIRV32.extend; + FOR ALL : instructionDataMemory USE ENTITY HEIRV32.instructionDataMemory; + FOR ALL : instructionForwarder USE ENTITY HEIRV32.instructionForwarder; + FOR ALL : mux2to1Unsigned USE ENTITY gates.mux2to1Unsigned; + FOR ALL : mux4To1ULogVec USE ENTITY HEIRV32.mux4To1ULogVec; + FOR ALL : registerFile USE ENTITY HEIRV32.registerFile; + FOR ALL : transUlogUnsigned USE ENTITY gates.transUlogUnsigned; + FOR ALL : transUnsignedUlog USE ENTITY gates.transUnsignedUlog; + -- pragma synthesis_on + + +BEGIN + -- Architecture concurrent statements + -- HDL Embedded Text Block 1 eb1 + four_zeros <= (c_dataWidth - 1 downto 0 => '0'); + four_four <= std_ulogic_vector(to_unsigned(4, c_dataWidth)); + + -- HDL Embedded Text Block 2 eb2 + + + dbg_leds(7 downto 0) <= std_ulogic_vector(adr(9 downto 2)); -- no need to read LSBs since does +4 each time + + dbg_leds(15 downto 8) <= std_ulogic_vector(instruction(7 downto 0)); + + dbg_leds(23 downto 16) <= std_ulogic_vector(ALUControl & "000" & resultSrc); + + dbg_leds(31 downto 24) <= std_ulogic_vector(regwrite & immSrc & '0' & ALUSrcB & ALUSrcA); + + + -- Instance port mappings. + U_and1 : and2 + GENERIC MAP ( + delay => gateDelay + ) + PORT MAP ( + in1 => en, + in2 => PCWrite, + out1 => out1 + ); + U_and2 : and2 + GENERIC MAP ( + delay => gateDelay + ) + PORT MAP ( + in1 => en, + in2 => IRWrite, + out1 => en1 + ); + U_pcMux : mux2to1Unsigned + GENERIC MAP ( + dataBitNb => c_dataWidth, + delay => gateDelay + ) + PORT MAP ( + in0 => PC, + in1 => PCNext, + sel => adrSrc, + muxOut => adr + ); + U_resultToUnsigned : transUlogUnsigned + GENERIC MAP ( + delay => gateDelay, + dataBitNb => c_dataWidth + ) + PORT MAP ( + in1 => result, + out1 => PCNext + ); + U_0 : transUnsignedUlog + GENERIC MAP ( + delay => gateDelay, + dataBitNb => c_dataWidth + ) + PORT MAP ( + in1 => PC, + out1 => PCu + ); + U_alu : ALU + GENERIC MAP ( + g_datawidth => c_dataWidth + ) + PORT MAP ( + ctrl => ALUControl, + srcA => srcA, + srcB => srcB, + res => ALUResult, + zero => zero + ); + U_aluBuffer : bufferStdULogEnable + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + clk => clk, + en => en, + in1 => ALUResult, + rst => rst, + out1 => ALUOut + ); + U_pcBuffer : bufferStdULogEnable + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + clk => clk, + en => en1, + in1 => PCu, + rst => rst, + out1 => oldPC + ); + U_pcLoadBuffer : bufferUnsignedEnable + GENERIC MAP ( + g_bitNb => c_dataWidth + ) + PORT MAP ( + clk => clk, + en => out1, + in1 => PCNext, + rst => rst, + out1 => PC + ); + U_controlUnit : controlUnit + GENERIC MAP ( + g_datawidth => c_dataWidth + ) + PORT MAP ( + clk => clk, + en => en, + funct3 => instruction(14 DOWNTO 12), + funct7 => instruction(30), + op => instruction(6 DOWNTO 0), + rst => rst, + zero => zero, + ALUControl => ALUControl, + ALUSrcA => ALUSrcA, + ALUSrcB => ALUSrcB, + IRWrite => IRWrite, + PCWrite => PCWrite, + adrSrc => adrSrc, + immSrc => immSrc, + memWrite => memWrite, + regwrite => regwrite, + resultSrc => resultSrc + ); + U_extend : extend + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + input => instruction(31 DOWNTO 7), + src => immSrc, + extended => immExt + ); + U_instrDataMemory : instructionDataMemory + GENERIC MAP ( + g_dataWidth => c_dataWidth, + g_addrWidth => c_bramAddrWidth, + g_programFile => g_programFile + ) + PORT MAP ( + address => adr, + clk => clk, + en => en, + writeData => writeData, + writeEnable => memWrite, + readData => data + ); + U_instrForward : instructionForwarder + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + irWrite => IRWrite, + readData => data, + instruction => instruction + ); + U_resultSel : mux4To1ULogVec + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + in1 => ALUOut, + in2 => data, + in3 => ALUResult, + in4 => four_zeros, + sel => resultSrc, + out1 => result + ); + U_srcASel : mux4To1ULogVec + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + in1 => PCu, + in2 => oldPC, + in3 => RD1, + in4 => four_zeros, + sel => ALUSrcA, + out1 => srcA + ); + U_srcBSel : mux4To1ULogVec + GENERIC MAP ( + g_dataWidth => c_dataWidth + ) + PORT MAP ( + in1 => writeData, + in2 => immExt, + in3 => four_four, + in4 => four_zeros, + sel => ALUSrcB, + out1 => srcB + ); + U_registerFile : registerFile + GENERIC MAP ( + g_dataWidth => c_dataWidth, + g_btnsNb => g_btnsNb + ) + PORT MAP ( + addr1 => instruction(19 DOWNTO 15), + addr2 => instruction(24 DOWNTO 20), + addr3 => instruction(11 DOWNTO 7), + btns => btns, + clk => clk, + en => en, + rst => rst, + writeData => result, + writeEnable3 => regwrite, + RD1 => RD1, + RD2 => writeData, + leds => leds + ); + +END struct; + + + + +-- +-- VHDL Architecture HEIRV32_test.heirv32_tb.universal +-- +-- Created: +-- by - axel.amand.UNKNOWN (WE7860) +-- at - 16:01:58 24.10.2022 +-- +-- Generated by Mentor Graphics' HDL Designer(TM) 2019.2 (Build 5) +-- +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +LIBRARY HEIRV32; +LIBRARY HEIRV32_test; + +ARCHITECTURE universal OF heirv32_tb IS + + -- Architecture declarations + constant c_btnsNb : positive := 4; + + -- Internal signal declarations + SIGNAL btns : std_ulogic_vector(c_btnsNb-1 DOWNTO 0); + SIGNAL clk : std_ulogic; + SIGNAL en : std_ulogic; + SIGNAL rst : std_ulogic; + + + -- Component Declarations + COMPONENT heirv32 + GENERIC ( + g_programFile : string := "$SIMULATION_DIR/code.txt"; + g_btnsNb : positive := 2; + g_dataWidth : positive := 32 + ); + PORT ( + btns : IN std_ulogic_vector (g_btnsNb-1 DOWNTO 0); + clk : IN std_ulogic ; + en : IN std_ulogic ; + rst : IN std_ulogic ; + dbg_leds : OUT std_ulogic_vector (31 DOWNTO 0); + leds : OUT std_ulogic_vector (g_dataWidth-1 DOWNTO 0) + ); + END COMPONENT; + COMPONENT universalTester + GENERIC ( + c_btnsNb : positive := 2 + ); + PORT ( + btns : OUT std_ulogic_vector (c_btnsNb-1 DOWNTO 0); + clk : OUT std_ulogic ; + en : OUT std_ulogic ; + rst : OUT std_ulogic + ); + END COMPONENT; + + -- Optional embedded configurations + -- pragma synthesis_off + FOR ALL : heirv32 USE ENTITY HEIRV32.heirv32; + FOR ALL : universalTester USE ENTITY HEIRV32_test.universalTester; + -- pragma synthesis_on + + +BEGIN + + -- Instance port mappings. + U_1 : heirv32 + GENERIC MAP ( + g_programFile => "$SIMULATION_DIR/code_bram.txt", + g_btnsNb => c_btnsNb, + g_dataWidth => 32 + ) + PORT MAP ( + btns => btns, + clk => clk, + en => en, + rst => rst, + dbg_leds => OPEN, + leds => OPEN + ); + U_0 : universalTester + GENERIC MAP ( + c_btnsNb => c_btnsNb + ) + PORT MAP ( + btns => btns, + clk => clk, + en => en, + rst => rst + ); + +END universal; + + + + diff --git a/Libs/RiscV/HEIRV32_test/hdl/heirv32_mc_tester_test.vhd b/Libs/RiscV/HEIRV32_test/hdl/heirv32_mc_tester_test.vhd new file mode 100644 index 0000000..92dc4f8 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hdl/heirv32_mc_tester_test.vhd @@ -0,0 +1,118 @@ +LIBRARY std; + USE std.textio.ALL; + +LIBRARY ieee; + USE ieee.std_logic_textio.ALL; + +LIBRARY Common_test; + USE Common_test.testutils.all; + +ARCHITECTURE test OF heirv32_mc_tester IS + + constant clockPeriod : time := 1.0/66E6 * 1 sec; + signal sClock : std_uLogic := '1'; + signal sReset : std_uLogic ; + + signal testInfo : string(1 to 40) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- reset and clock + sReset <= '1', '0' after 3.5*clockPeriod; + rst <= sReset; + + sClock <= not sClock after clockPeriod/2; + clk <= transport sClock after 0.9*clockPeriod; + + btns <= (others => '0'); + + process + -- Wait list + -- 3 clk for beq + -- 4 clk for others + -- 5 clk for lw + begin + en <= '0'; + + testInfo <= pad("Wait reset", testInfo'length); + wait until rst = '0'; + + while true loop + en <= '1'; + + testInfo <= pad("Addi, addr. 00", testInfo'length); + wait until clk'event and clk = '1'; + wait for 3*clockPeriod; + + testInfo <= pad("Addi, addr. 04", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Addi, addr. 08", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Or, addr. 0C", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("And, addr. 10", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Add, addr. 14", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Beq, addr. 18", testInfo'length); + wait for 3*clockPeriod; + + testInfo <= pad("Slt, addr. 1C", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Beq, addr. 20", testInfo'length); + wait for 3*clockPeriod; + + --testInfo <= pad("Addi, addr. 24", testInfo'length); + --wait for 4*clockPeriod; + + testInfo <= pad("Slt, addr. 28", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Add, addr. 2C", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Sub, addr. 30", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Sw, addr. 34", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Lw, addr. 38", testInfo'length); + wait for 5*clockPeriod; + + testInfo <= pad("Add, addr. 3C", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Jal, addr. 40", testInfo'length); + wait for 4*clockPeriod; + + --testInfo <= pad("Addi, addr. 44", testInfo'length); + --wait for 4*clockPeriod; + + testInfo <= pad("Add, addr. 48", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Sw, addr.4C", testInfo'length); + wait for 4*clockPeriod; + + testInfo <= pad("Beq, addr. 50", testInfo'length); + wait for 2.8*clockPeriod; + + en <= '0'; + testInfo <= pad("Wait a bit, program should restart", testInfo'length); + wait for 9.2*clockPeriod; + testInfo <= pad("PC should go to 0 on clock", testInfo'length); + en <= '1'; + wait until clk'event and clk = '1'; + + end loop; + end process; + +END ARCHITECTURE test; diff --git a/Libs/RiscV/HEIRV32_test/hdl/heirv32_sc_tester_rtl.vhd b/Libs/RiscV/HEIRV32_test/hdl/heirv32_sc_tester_rtl.vhd new file mode 100644 index 0000000..1404d6b --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hdl/heirv32_sc_tester_rtl.vhd @@ -0,0 +1,116 @@ +LIBRARY std; + USE std.textio.ALL; + +LIBRARY ieee; + USE ieee.std_logic_textio.ALL; + +LIBRARY Common_test; + USE Common_test.testutils.all; + +ARCHITECTURE rtl OF heirv32_sc_tester IS + + constant clockPeriod : time := 1.0/66E6 * 1 sec; + signal sClock : std_uLogic := '1'; + signal sReset : std_uLogic ; + + signal testInfo : string(1 to 40) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- reset and clock + sReset <= '1', '0' after 3.5*clockPeriod; + rst <= sReset; + + sClock <= not sClock after clockPeriod/2; + clk <= transport sClock after 0.9*clockPeriod; + + btns <= (others => '0'); + + process + -- Wait list + -- 1 for all + begin + en <= '0'; + + testInfo <= pad("Wait reset", testInfo'length); + wait until rst = '0'; + + while true loop + en <= '1'; + + testInfo <= pad("Addi, addr. 00", testInfo'length); + wait until clk'event and clk = '1'; + wait for clockPeriod; + + testInfo <= pad("Addi, addr. 04", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Addi, addr. 08", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Or, addr. 0C", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("And, addr. 10", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Add, addr. 14", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Beq, addr. 18", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Slt, addr. 1C", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Beq, addr. 20", testInfo'length); + wait for clockPeriod; + + --testInfo <= pad("Addi, addr. 24", testInfo'length); + --wait for clockPeriod; + + testInfo <= pad("Slt, addr. 28", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Add, addr. 2C", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Sub, addr. 30", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Sw, addr. 34", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Lw, addr. 38", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Add, addr. 3C", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Jal, addr. 40", testInfo'length); + wait for clockPeriod; + + --testInfo <= pad("Addi, addr. 44", testInfo'length); + --wait for clockPeriod; + + testInfo <= pad("Add, addr. 48", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Sw, addr.4C", testInfo'length); + wait for clockPeriod; + + testInfo <= pad("Beq, addr. 50", testInfo'length); + wait for 0.8*clockPeriod; + + en <= '0'; + testInfo <= pad("Wait a bit, PC should be 0", testInfo'length); + wait for 9.2*clockPeriod; + testInfo <= pad("Enabling system", testInfo'length); + en <= '1'; + wait until clk'event and clk = '1'; + + end loop; + end process; + +END ARCHITECTURE rtl; diff --git a/Libs/RiscV/HEIRV32_test/hdl/universalTester_test.vhd b/Libs/RiscV/HEIRV32_test/hdl/universalTester_test.vhd new file mode 100644 index 0000000..a651c16 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hdl/universalTester_test.vhd @@ -0,0 +1,51 @@ +LIBRARY std; + USE std.textio.ALL; + +LIBRARY ieee; + USE ieee.std_logic_textio.ALL; + +LIBRARY Common_test; + USE Common_test.testutils.all; + +ARCHITECTURE test OF universalTester IS + + constant clockPeriod : time := 1.0/66E6 * 1 sec; + signal sClock : std_uLogic := '1'; + signal sReset : std_uLogic ; + + signal testInfo : string(1 to 40) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- reset and clock + sReset <= '1', '0' after 3.5*clockPeriod; + rst <= sReset; + + sClock <= not sClock after clockPeriod/2; + clk <= transport sClock after 0.9*clockPeriod; + + btns <= (others => '1'), (others=>'0') after 4.15 us; + + process + -- Wait list + -- 3 clk for beq + -- 4 clk for others + -- 5 clk for lw + begin + en <= '0'; + + testInfo <= pad("Wait reset", testInfo'length); + wait until rst = '0'; + + while true loop + en <= '1'; + + testInfo <= pad("Running", testInfo'length); + wait; + + end loop; + end process; + +END ARCHITECTURE test; + diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_mc_tester_test.vhd._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_mc_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_mc_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_rtl.vhd._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_test.vhd._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_test.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_sc_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tb_universal.vhg._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tb_universal.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tb_universal.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tester_test.vhd._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tester_test.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_heirv32_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_universalTester_test.vhd._fpf b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_universalTester_test.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/.hdlsidedata/_universalTester_test.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tb._epf b/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tb._epf new file mode 100644 index 0000000..aa9a45f --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom heirv32_mc_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tester._epf b/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tester._epf new file mode 100644 index 0000000..3d43654 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/_heirv32_mc_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom heirv32_mc_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tb._epf b/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tb._epf new file mode 100644 index 0000000..e9fa4c5 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom heirv32_sc_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tester._epf b/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tester._epf new file mode 100644 index 0000000..3e6ffce --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/_heirv32_sc_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom heirv32_sc_tester_rtl.vhd diff --git a/Libs/RiscV/HEIRV32_test/hds/_universaltester._epf b/Libs/RiscV/HEIRV32_test/hds/_universaltester._epf new file mode 100644 index 0000000..943475d --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/_universaltester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom universalTester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/struct.bd b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/struct.bd new file mode 100644 index 0000000..0682904 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/struct.bd @@ -0,0 +1,2807 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_tester" +duLibraryName "HEIRV32_test" +duName "heirv32_mc_tester" +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +mwi 0 +uid 132,0 +) +(Instance +name "U_heirv32" +duLibraryName "HEIRV32_MC" +duName "heirv32_mc" +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb " +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +mwi 0 +uid 842,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "heirv32_mc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:37:43" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_mc_tb" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:37:43" +) +(vvPair +variable "unit" +value "heirv32_mc_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,4000,66000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,4000,60700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,0,70000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "66200,0,69200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,2000,66000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,2000,59200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,2000,49000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "45200,2000,47300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,1000,86000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "66200,1200,75600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "70000,0,86000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "70200,0,71800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,0,66000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "50350,400,60650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,3000,49000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "45200,3000,47300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,4000,49000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "45200,4000,47900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,3000,66000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "49200,3000,62500,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "45000,0,86000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 132,0 +shape (Rectangle +uid 133,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,29000,62000,39000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 134,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 135,0 +va (VaSet +font "Verdana,9,1" +) +xt "16850,32200,25150,33400" +st "HEIRV32_test" +blo "16850,33200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 136,0 +va (VaSet +font "Verdana,9,1" +) +xt "16850,33400,27450,34600" +st "heirv32_mc_tester" +blo "16850,34400" +tm "BlkNameMgr" +) +*15 (Text +uid 137,0 +va (VaSet +font "Verdana,9,1" +) +xt "16850,34600,21850,35800" +st "U_tester" +blo "16850,35600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 138,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 139,0 +text (MLText +uid 140,0 +va (VaSet +font "Courier New,8,0" +) +xt "16850,42200,36850,43000" +st "c_btnsNb = c_btnsNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +) +viewicon (ZoomableIcon +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,37250,16750,38750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 176,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 177,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35500,7200" +st "SIGNAL clk : std_ulogic" +) +) +*17 (Net +uid 184,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 185,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,35500,8800" +st "SIGNAL rst : std_ulogic" +) +) +*18 (Net +uid 192,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 193,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35500,8000" +st "SIGNAL en : std_ulogic" +) +) +*19 (Net +uid 424,0 +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb -1 DOWNTO 0)" +o 4 +suid 5,0 +) +declText (MLText +uid 425,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,50500,6400" +st "SIGNAL btns : std_ulogic_vector(c_btnsNb -1 DOWNTO 0)" +) +) +*20 (SaComponent +uid 842,0 +optionalChildren [ +*21 (CptPort +uid 818,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 819,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,18625,24000,19375" +) +tg (CPTG +uid 820,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 821,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,18300,27500,19700" +st "rst" +blo "25000,19500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*22 (CptPort +uid 822,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 823,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,20625,24000,21375" +) +tg (CPTG +uid 824,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 825,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,20300,27400,21700" +st "clk" +blo "25000,21500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*23 (CptPort +uid 826,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 827,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,16625,40750,17375" +) +tg (CPTG +uid 828,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 829,0 +va (VaSet +font "Verdana,12,0" +) +xt "32400,16300,39000,17700" +st "dbg_leds" +ju 2 +blo "39000,17500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*24 (CptPort +uid 830,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 831,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,16625,24000,17375" +) +tg (CPTG +uid 832,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 833,0 +va (VaSet +font "Verdana,12,0" +) +xt "25000,16300,27400,17700" +st "en" +blo "25000,17500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*25 (CptPort +uid 834,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 835,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,24000,29375,24750" +) +tg (CPTG +uid 836,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 837,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,19400,29700,23000" +st "btns" +blo "29500,23000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +) +*26 (CptPort +uid 838,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 839,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,24000,31375,24750" +) +tg (CPTG +uid 840,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 841,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,19600,31700,23000" +st "leds" +blo "31500,23000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 843,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,16000,40000,24000" +) +oxt "19000,25000,35000,33000" +ttg (MlTextGroup +uid 844,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 845,0 +va (VaSet +font "Verdana,9,1" +) +xt "32800,19800,40400,21000" +st "HEIRV32_MC" +blo "32800,20800" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 846,0 +va (VaSet +font "Verdana,9,1" +) +xt "32800,21000,39700,22200" +st "heirv32_mc" +blo "32800,22000" +tm "CptNameMgr" +) +*29 (Text +uid 847,0 +va (VaSet +font "Verdana,9,1" +) +xt "32800,22200,38400,23400" +st "U_heirv32" +blo "32800,23200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 848,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 849,0 +text (MLText +uid 850,0 +va (VaSet +font "Courier New,8,0" +) +xt "35000,25600,71500,28000" +st "g_programFile = \"$SIMULATION_DIR/code_sim_bram.txt\" ( string ) +g_btnsNb = c_btnsNb ( positive ) +g_dataWidth = 32 ( positive ) +" +) +header "" +) +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb " +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +) +viewicon (ZoomableIcon +uid 851,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "24250,22250,25750,23750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*30 (Wire +uid 178,0 +shape (OrthoPolyLine +uid 179,0 +va (VaSet +vasetType 3 +) +xt "20000,21000,23250,29000" +pts [ +"23250,21000" +"20000,21000" +"20000,29000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 182,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 183,0 +va (VaSet +) +xt "20250,19800,22450,21000" +st "clk" +blo "20250,20800" +tm "WireNameMgr" +) +) +on &16 +) +*31 (Wire +uid 186,0 +shape (OrthoPolyLine +uid 187,0 +va (VaSet +vasetType 3 +) +xt "18000,19000,23250,29000" +pts [ +"23250,19000" +"18000,19000" +"18000,29000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 190,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "20250,17800,22350,19000" +st "rst" +blo "20250,18800" +tm "WireNameMgr" +) +) +on &17 +) +*32 (Wire +uid 194,0 +shape (OrthoPolyLine +uid 195,0 +va (VaSet +vasetType 3 +) +xt "16000,17000,23250,29000" +pts [ +"23250,17000" +"16000,17000" +"16000,29000" +] +) +start &24 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 198,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 199,0 +va (VaSet +) +xt "20250,15800,22150,17000" +st "en" +blo "20250,16800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 426,0 +shape (OrthoPolyLine +uid 427,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "29000,24750,29000,29000" +pts [ +"29000,24750" +"29000,29000" +] +) +start &25 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 430,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 431,0 +ro 270 +va (VaSet +) +xt "27800,25100,29000,28000" +st "btns" +blo "28800,28000" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *34 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*36 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*38 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*39 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*43 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1921,1056" +viewArea "-1300,-1400,88429,46300" +cachedDiagramExtent "0,0,86000,43000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 882,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*62 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*64 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,2400,25200,3600" +st "Pre User:" +blo "20000,3400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3600,40500,4400" +st "constant c_btnsNb : positive := 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,4400,29500,5600" +st "Diagram Signals:" +blo "20000,5400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *65 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*73 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*74 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*75 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*76 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*77 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 202,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 204,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 206,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb -1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 432,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*82 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *83 (MRCItem +litem &65 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*84 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 70,0 +) +*85 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 71,0 +) +*86 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*87 (MRCItem +litem &78 +pos 0 +dimension 20 +uid 203,0 +) +*88 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 205,0 +) +*89 (MRCItem +litem &80 +pos 2 +dimension 20 +uid 207,0 +) +*90 (MRCItem +litem &81 +pos 3 +dimension 20 +uid 433,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*91 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 74,0 +) +*92 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 75,0 +) +*93 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 76,0 +) +*94 (MRCItem +litem &73 +pos 3 +dimension 50 +uid 77,0 +) +*95 (MRCItem +litem &74 +pos 4 +dimension 100 +uid 78,0 +) +*96 (MRCItem +litem &75 +pos 5 +dimension 100 +uid 79,0 +) +*97 (MRCItem +litem &76 +pos 6 +dimension 50 +uid 80,0 +) +*98 (MRCItem +litem &77 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *99 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*100 (RefLabelRowHdr +) +*101 (TitleRowHdr +) +*102 (FilterRowHdr +) +*103 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*104 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*105 (GroupColHdr +tm "GroupColHdrMgr" +) +*106 (NameColHdr +tm "GenericNameColHdrMgr" +) +*107 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*108 (InitColHdr +tm "GenericValueColHdrMgr" +) +*109 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*110 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &99 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*113 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 98,0 +) +*114 (MRCItem +litem &101 +pos 1 +dimension 23 +uid 99,0 +) +*115 (MRCItem +litem &102 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 102,0 +) +*117 (MRCItem +litem &105 +pos 1 +dimension 50 +uid 103,0 +) +*118 (MRCItem +litem &106 +pos 2 +dimension 100 +uid 104,0 +) +*119 (MRCItem +litem &107 +pos 3 +dimension 100 +uid 105,0 +) +*120 (MRCItem +litem &108 +pos 4 +dimension 50 +uid 106,0 +) +*121 (MRCItem +litem &109 +pos 5 +dimension 50 +uid 107,0 +) +*122 (MRCItem +litem &110 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/symbol.sb b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/symbol.sb new file mode 100644 index 0000000..bba87aa --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/symbol.sb @@ -0,0 +1,1215 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb" +) +(vvPair +variable "d_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb" +) +(vvPair +variable "date" +value "18.10.2022" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "entity_name" +value "heirv32_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "18.10.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE2332101" +) +(vvPair +variable "graphical_source_time" +value "14:52:58" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE2332101" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "heirv32_tb" +) +(vvPair +variable "month" +value "oct." +) +(vvPair +variable "month_long" +value "octobre" +) +(vvPair +variable "p" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\Users\\axel.amand\\Desktop\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:52:58" +) +(vvPair +variable "unit" +value "heirv32_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,35100,16000" +st "HEIRV32_test" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,33400,17200" +st "heirv32_tb" +blo "26800,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,2400,45200,3600" +st "User:" +blo "42000,3400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,44000,3600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 50,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/universal.bd b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/universal.bd new file mode 100644 index 0000000..c3c5d45 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tb/universal.bd @@ -0,0 +1,2802 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_1" +duLibraryName "HEIRV32" +duName "heirv32" +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +mwi 0 +uid 213,0 +) +(Instance +name "U_0" +duLibraryName "HEIRV32_test" +duName "universalTester" +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +mwi 0 +uid 247,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\universal.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\universal.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "universal" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "heirv32_mc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "universal.bd" +) +(vvPair +variable "f_logical" +value "universal.bd" +) +(vvPair +variable "f_noext" +value "universal" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:34:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_mc_tb" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\universal.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_mc_tb\\universal.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "universal" +) +(vvPair +variable "this_file_logical" +value "universal" +) +(vvPair +variable "time" +value "11:34:02" +) +(vvPair +variable "unit" +value "heirv32_mc_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "universal" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,4000,69000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "52200,4000,63700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,0,73000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "69200,0,72200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,2000,69000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "52200,2000,62200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,2000,52000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,2000,50300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "69000,1000,89000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "69200,1200,78600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "73000,0,89000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "73200,0,74800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,0,69000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "53350,400,63650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,3000,52000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,3000,50300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,4000,52000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,4000,50900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "52000,3000,69000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "52200,3000,66700,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "48000,0,89000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (SaComponent +uid 213,0 +optionalChildren [ +*13 (CptPort +uid 223,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,19625,23000,20375" +) +tg (CPTG +uid 225,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 226,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,19300,26500,20700" +st "rst" +blo "24000,20500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +) +) +) +*14 (CptPort +uid 227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,21625,23000,22375" +) +tg (CPTG +uid 229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 230,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,21300,26400,22700" +st "clk" +blo "24000,22500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +) +) +) +*15 (CptPort +uid 231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,17625,37750,18375" +) +tg (CPTG +uid 233,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 234,0 +va (VaSet +font "Verdana,12,0" +) +xt "29400,17300,36000,18700" +st "dbg_leds" +ju 2 +blo "36000,18500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +) +) +) +*16 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22250,17625,23000,18375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "Verdana,12,0" +) +xt "24000,17300,26400,18700" +st "en" +blo "24000,18500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*17 (CptPort +uid 239,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 240,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27625,25000,28375,25750" +) +tg (CPTG +uid 241,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 242,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "27300,20400,28700,24000" +st "btns" +blo "28500,24000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +) +) +) +*18 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29625,25000,30375,25750" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 246,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "29300,20600,30700,24000" +st "leds" +blo "30500,24000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +) +) +) +] +shape (Rectangle +uid 214,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "23000,17000,37000,25000" +) +oxt "19000,25000,33000,33000" +ttg (MlTextGroup +uid 215,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 216,0 +va (VaSet +font "Verdana,9,1" +) +xt "31800,20800,36800,22000" +st "HEIRV32" +blo "31800,21800" +tm "BdLibraryNameMgr" +) +*20 (Text +uid 217,0 +va (VaSet +font "Verdana,9,1" +) +xt "31800,22000,36100,23200" +st "heirv32" +blo "31800,23000" +tm "CptNameMgr" +) +*21 (Text +uid 218,0 +va (VaSet +font "Verdana,9,1" +) +xt "31800,23200,34300,24400" +st "U_1" +blo "31800,24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 219,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 220,0 +text (MLText +uid 221,0 +va (VaSet +font "Courier New,8,0" +) +xt "32000,26600,68500,29000" +st "g_programFile = \"$SIMULATION_DIR/code_sim_bram.txt\" ( string ) +g_btnsNb = c_btnsNb ( positive ) +g_dataWidth = 32 ( positive ) " +) +header "" +) +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +] +) +viewicon (ZoomableIcon +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "23250,23250,24750,24750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*22 (Blk +uid 247,0 +shape (Rectangle +uid 248,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,34000,68000,44000" +) +ttg (MlTextGroup +uid 249,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 250,0 +va (VaSet +font "Verdana,9,1" +) +xt "15850,36200,24150,37400" +st "HEIRV32_test" +blo "15850,37200" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 251,0 +va (VaSet +font "Verdana,9,1" +) +xt "15850,37400,24750,38600" +st "universalTester" +blo "15850,38400" +tm "BlkNameMgr" +) +*25 (Text +uid 252,0 +va (VaSet +font "Verdana,9,1" +) +xt "15850,38600,18350,39800" +st "U_0" +blo "15850,39600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 253,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 254,0 +text (MLText +uid 255,0 +va (VaSet +font "Courier New,8,0" +) +xt "10850,47200,30850,48000" +st "c_btnsNb = c_btnsNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +) +viewicon (ZoomableIcon +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,42250,15750,43750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*26 (Net +uid 293,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 1 +suid 7,0 +) +declText (MLText +uid 294,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,35500,8800" +st "SIGNAL rst : std_ulogic" +) +) +*27 (Net +uid 299,0 +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 10,0 +) +declText (MLText +uid 300,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,50000,6400" +st "SIGNAL btns : std_ulogic_vector(c_btnsNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 301,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 11,0 +) +declText (MLText +uid 302,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35500,7200" +st "SIGNAL clk : std_ulogic" +) +) +*29 (Net +uid 303,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 12,0 +) +declText (MLText +uid 304,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35500,8000" +st "SIGNAL en : std_ulogic" +) +) +*30 (Wire +uid 257,0 +shape (OrthoPolyLine +uid 258,0 +va (VaSet +vasetType 3 +) +xt "16000,18000,22250,34000" +pts [ +"22250,18000" +"16000,18000" +"16000,34000" +] +) +start &16 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 261,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 262,0 +va (VaSet +) +xt "19250,16800,21150,18000" +st "en" +blo "19250,17800" +tm "WireNameMgr" +) +) +on &29 +) +*31 (Wire +uid 263,0 +shape (OrthoPolyLine +uid 264,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,25750,28000,34000" +pts [ +"28000,25750" +"28000,34000" +] +) +start &17 +end &22 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 267,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 268,0 +ro 270 +va (VaSet +) +xt "26800,27750,28000,30650" +st "btns" +blo "27800,30650" +tm "WireNameMgr" +) +) +on &27 +) +*32 (Wire +uid 269,0 +shape (OrthoPolyLine +uid 270,0 +va (VaSet +vasetType 3 +) +xt "18000,22000,22250,34000" +pts [ +"22250,22000" +"18000,22000" +"18000,34000" +] +) +start &14 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 273,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 274,0 +va (VaSet +) +xt "19250,20800,21450,22000" +st "clk" +blo "19250,21800" +tm "WireNameMgr" +) +) +on &28 +) +*33 (Wire +uid 275,0 +shape (OrthoPolyLine +uid 276,0 +va (VaSet +vasetType 3 +) +xt "17000,20000,22250,34000" +pts [ +"22250,20000" +"17000,20000" +"17000,34000" +] +) +start &13 +end &22 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 279,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 280,0 +va (VaSet +) +xt "19250,18800,21350,20000" +st "rst" +blo "19250,19800" +tm "WireNameMgr" +) +) +on &26 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *34 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*36 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*38 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*39 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*43 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "1920,0,3841,1056" +viewArea "-1300,-1400,91815,48100" +cachedDiagramExtent "0,0,89000,48000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 444,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*62 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*64 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,2400,25200,3600" +st "Pre User:" +blo "20000,3400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3600,40500,4400" +st "constant c_btnsNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,4400,29500,5600" +st "Diagram Signals:" +blo "20000,5400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *65 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*73 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*74 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*75 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*76 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*77 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 305,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 10,0 +) +) +uid 311,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 11,0 +) +) +uid 313,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 315,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*82 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *83 (MRCItem +litem &65 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*84 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 70,0 +) +*85 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 71,0 +) +*86 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*87 (MRCItem +litem &78 +pos 0 +dimension 20 +uid 306,0 +) +*88 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 312,0 +) +*89 (MRCItem +litem &80 +pos 2 +dimension 20 +uid 314,0 +) +*90 (MRCItem +litem &81 +pos 3 +dimension 20 +uid 316,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*91 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 74,0 +) +*92 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 75,0 +) +*93 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 76,0 +) +*94 (MRCItem +litem &73 +pos 3 +dimension 50 +uid 77,0 +) +*95 (MRCItem +litem &74 +pos 4 +dimension 100 +uid 78,0 +) +*96 (MRCItem +litem &75 +pos 5 +dimension 100 +uid 79,0 +) +*97 (MRCItem +litem &76 +pos 6 +dimension 50 +uid 80,0 +) +*98 (MRCItem +litem &77 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *99 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*100 (RefLabelRowHdr +) +*101 (TitleRowHdr +) +*102 (FilterRowHdr +) +*103 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*104 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*105 (GroupColHdr +tm "GroupColHdrMgr" +) +*106 (NameColHdr +tm "GenericNameColHdrMgr" +) +*107 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*108 (InitColHdr +tm "GenericValueColHdrMgr" +) +*109 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*110 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &99 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*113 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 98,0 +) +*114 (MRCItem +litem &101 +pos 1 +dimension 23 +uid 99,0 +) +*115 (MRCItem +litem &102 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 102,0 +) +*117 (MRCItem +litem &105 +pos 1 +dimension 50 +uid 103,0 +) +*118 (MRCItem +litem &106 +pos 2 +dimension 100 +uid 104,0 +) +*119 (MRCItem +litem &107 +pos 3 +dimension 100 +uid 105,0 +) +*120 (MRCItem +litem &108 +pos 4 +dimension 50 +uid 106,0 +) +*121 (MRCItem +litem &109 +pos 5 +dimension 50 +uid 107,0 +) +*122 (MRCItem +litem &110 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tester/interface b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tester/interface new file mode 100644 index 0000000..db2088a --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_mc_tester/interface @@ -0,0 +1,1545 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb -1 DOWNTO 0)" +o 4 +suid 17,0 +) +) +uid 403,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 18,0 +) +) +uid 405,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 19,0 +) +) +uid 407,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 3 +suid 20,0 +) +) +uid 409,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 404,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 406,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 408,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 410,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "c_btnsNb" +type "positive" +value "2" +) +uid 233,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 96,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 234,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "heirv32_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:27:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_tester" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:27:04" +) +(vvPair +variable "unit" +value "heirv32_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 386,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10600" +st "btns" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,74000,3200" +st "btns : OUT std_ulogic_vector (c_btnsNb -1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb -1 DOWNTO 0)" +o 4 +suid 17,0 +) +) +) +*63 (CptPort +uid 388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 389,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 390,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 391,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,9400" +st "clk" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 392,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59000,4000" +st "clk : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 18,0 +) +) +) +*64 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15625,5250,16375,6000" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 396,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "15300,7000,16700,9400" +st "en" +ju 2 +blo "16500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 397,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59000,4800" +st "en : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 19,0 +) +) +) +*65 (CptPort +uid 398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 401,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,9500" +st "rst" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 402,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,58000,5600" +st "rst : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 3 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,62000,16000" +) +oxt "15000,6000,48000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "34200,9800,42500,11000" +st "HEIRV32_test" +blo "34200,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "34200,11000,42800,12200" +st "heirv32_tester" +blo "34200,12000" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "9000,7000,21000,9400" +st "Generic Declarations + +c_btnsNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "96,54,1113,744" +viewArea "-500,-500,70510,47560" +cachedDiagramExtent "0,0,74000,49000" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_test" +entityName "heirv32_mc_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 433,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/struct.bd b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/struct.bd new file mode 100644 index 0000000..6403e6d --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/struct.bd @@ -0,0 +1,2820 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_tester" +duLibraryName "HEIRV32_test" +duName "heirv32_sc_tester" +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +mwi 0 +uid 143,0 +) +(Instance +name "U_heirv32" +duLibraryName "HEIRV32_SC" +duName "heirv32_sc" +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +] +mwi 0 +uid 248,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb" +) +(vvPair +variable "date" +value "04.11.2022" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "04" +) +(vvPair +variable "entity_name" +value "heirv32_sc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "04.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:37:15" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_sc_tb" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "11:37:15" +) +(vvPair +variable "unit" +value "heirv32_sc_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,4000,72000,5000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,4000,66700,5000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,0,76000,1000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "72200,0,75200,1000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,2000,72000,3000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,2000,65200,3000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,2000,55000,3000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "51200,2000,53300,3000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,1000,92000,5000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "72200,1200,81600,2200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "76000,0,92000,1000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "76200,0,77800,1000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,0,72000,2000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "56350,400,66650,1600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,3000,55000,4000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "51200,3000,53300,4000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,4000,55000,5000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "51200,4000,53900,5000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,3000,72000,4000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "55200,3000,68200,4000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "51000,0,92000,5000" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 143,0 +shape (Rectangle +uid 144,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "7000,30000,58000,40000" +) +ttg (MlTextGroup +uid 145,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 146,0 +va (VaSet +font "Verdana,9,1" +) +xt "7850,33200,16150,34400" +st "HEIRV32_test" +blo "7850,34200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 147,0 +va (VaSet +font "Verdana,9,1" +) +xt "7850,34400,18050,35600" +st "heirv32_sc_tester" +blo "7850,35400" +tm "BlkNameMgr" +) +*15 (Text +uid 148,0 +va (VaSet +font "Verdana,9,1" +) +xt "7850,35600,12850,36800" +st "U_tester" +blo "7850,36600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 149,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 150,0 +text (MLText +uid 151,0 +va (VaSet +font "Courier New,8,0" +) +xt "7850,43200,27850,44000" +st "c_btnsNb = c_btnsNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "c_btnsNb" +) +] +) +viewicon (ZoomableIcon +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "7250,38250,8750,39750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 153,0 +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 154,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,35500,8000" +st "SIGNAL en : std_ulogic" +) +) +*17 (Net +uid 161,0 +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,8000,35500,8800" +st "SIGNAL rst : std_ulogic" +) +) +*18 (Net +uid 169,0 +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 170,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,35500,7200" +st "SIGNAL clk : std_ulogic" +) +) +*19 (Net +uid 177,0 +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +declText (MLText +uid 178,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,50000,6400" +st "SIGNAL btns : std_ulogic_vector(c_btnsNb-1 DOWNTO 0)" +) +) +*20 (SaComponent +uid 248,0 +optionalChildren [ +*21 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,18625,18000,19375" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 227,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,18300,21500,19700" +st "rst" +blo "19000,19500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_ulogic" +o 4 +suid 3,0 +) +) +) +*22 (CptPort +uid 228,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 229,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,20625,18000,21375" +) +tg (CPTG +uid 230,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 231,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,20300,21400,21700" +st "clk" +blo "19000,21500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_ulogic" +o 2 +suid 4,0 +) +) +) +*23 (CptPort +uid 232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,16625,34750,17375" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +font "Verdana,12,0" +) +xt "26400,16300,33000,17700" +st "dbg_leds" +ju 2 +blo "33000,17500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dbg_leds" +t "std_ulogic_vector" +b "(31 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*24 (CptPort +uid 236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,16625,18000,17375" +) +tg (CPTG +uid 238,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 239,0 +va (VaSet +font "Verdana,12,0" +) +xt "19000,16300,21400,17700" +st "en" +blo "19000,17500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 7,0 +) +) +) +*25 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,24000,23375,24750" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 243,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,19400,23700,23000" +st "btns" +blo "23500,23000" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(g_btnsNb-1 DOWNTO 0)" +o 1 +suid 8,0 +) +) +) +*26 (CptPort +uid 244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 245,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,24000,25375,24750" +) +tg (CPTG +uid 246,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 247,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,19600,25700,23000" +st "leds" +blo "25500,23000" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(g_dataWidth-1 DOWNTO 0)" +o 6 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 249,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,16000,34000,24000" +) +oxt "19000,25000,35000,33000" +ttg (MlTextGroup +uid 250,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 251,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,19800,34200,21000" +st "HEIRV32_SC" +blo "26800,20800" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 252,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,21000,33300,22200" +st "heirv32_sc" +blo "26800,22000" +tm "CptNameMgr" +) +*29 (Text +uid 253,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,22200,32400,23400" +st "U_heirv32" +blo "26800,23200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 254,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 255,0 +text (MLText +uid 256,0 +va (VaSet +font "Courier New,8,0" +) +xt "28000,25200,65000,28400" +st "g_programFile = \"$SIMULATION_DIR/code_sim_bram.txt\" ( string ) +g_btnsNb = c_btnsNb ( positive ) +g_dataWidth = 32 ( positive ) +g_memoryNbBits = 7 ( positive ) +" +) +header "" +) +elements [ +(GiElement +name "g_programFile" +type "string" +value "\"$SIMULATION_DIR/code_sim_bram.txt\"" +) +(GiElement +name "g_btnsNb" +type "positive" +value "c_btnsNb" +) +(GiElement +name "g_dataWidth" +type "positive" +value "32" +) +(GiElement +name "g_memoryNbBits" +type "positive" +value "7" +) +] +) +viewicon (ZoomableIcon +uid 257,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,22250,19750,23750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*30 (Wire +uid 155,0 +shape (OrthoPolyLine +uid 156,0 +va (VaSet +vasetType 3 +) +xt "8000,17000,17250,30000" +pts [ +"17250,17000" +"8000,17000" +"8000,30000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 159,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 160,0 +va (VaSet +) +xt "14250,15800,16150,17000" +st "en" +blo "14250,16800" +tm "WireNameMgr" +) +) +on &16 +) +*31 (Wire +uid 163,0 +shape (OrthoPolyLine +uid 164,0 +va (VaSet +vasetType 3 +) +xt "10000,19000,17250,30000" +pts [ +"17250,19000" +"10000,19000" +"10000,30000" +] +) +start &21 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 167,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +) +xt "14250,17800,16350,19000" +st "rst" +blo "14250,18800" +tm "WireNameMgr" +) +) +on &17 +) +*32 (Wire +uid 171,0 +shape (OrthoPolyLine +uid 172,0 +va (VaSet +vasetType 3 +) +xt "12000,21000,17250,30000" +pts [ +"17250,21000" +"12000,21000" +"12000,30000" +] +) +start &22 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 175,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "14250,19800,16450,21000" +st "clk" +blo "14250,20800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 179,0 +shape (OrthoPolyLine +uid 180,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,24750,23000,30000" +pts [ +"23000,30000" +"23000,24750" +] +) +start &12 +end &25 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 183,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 184,0 +ro 270 +va (VaSet +) +xt "21800,26100,23000,29000" +st "btns" +blo "22800,29000" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *34 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 42,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*36 (MLText +uid 43,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*38 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*39 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*42 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*43 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1921,1080" +viewArea "-1400,-1500,93408,48900" +cachedDiagramExtent "0,0,92000,44000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210 x 297 mm)" +windowsPaperName "A4 (210 x 297 mm)" +windowsPaperType 9 +useAdjustTo 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 350,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*45 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*48 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*49 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*51 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*54 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*55 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*56 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*62 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*64 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,2400,25200,3600" +st "Pre User:" +blo "20000,3400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3600,40500,4400" +st "constant c_btnsNb : positive := 2;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,4400,29500,5600" +st "Diagram Signals:" +blo "20000,5400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,8800,26400,10000" +st "Post User:" +blo "20000,9800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *65 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*66 (RefLabelRowHdr +) +*67 (TitleRowHdr +) +*68 (FilterRowHdr +) +*69 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*70 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*71 (GroupColHdr +tm "GroupColHdrMgr" +) +*72 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*73 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*74 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*75 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*76 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*77 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 185,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "rst" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 187,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 189,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*82 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *83 (MRCItem +litem &65 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*84 (MRCItem +litem &66 +pos 0 +dimension 20 +uid 70,0 +) +*85 (MRCItem +litem &67 +pos 1 +dimension 23 +uid 71,0 +) +*86 (MRCItem +litem &68 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*87 (MRCItem +litem &78 +pos 0 +dimension 20 +uid 186,0 +) +*88 (MRCItem +litem &79 +pos 1 +dimension 20 +uid 188,0 +) +*89 (MRCItem +litem &80 +pos 2 +dimension 20 +uid 190,0 +) +*90 (MRCItem +litem &81 +pos 3 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*91 (MRCItem +litem &69 +pos 0 +dimension 20 +uid 74,0 +) +*92 (MRCItem +litem &71 +pos 1 +dimension 50 +uid 75,0 +) +*93 (MRCItem +litem &72 +pos 2 +dimension 100 +uid 76,0 +) +*94 (MRCItem +litem &73 +pos 3 +dimension 50 +uid 77,0 +) +*95 (MRCItem +litem &74 +pos 4 +dimension 100 +uid 78,0 +) +*96 (MRCItem +litem &75 +pos 5 +dimension 100 +uid 79,0 +) +*97 (MRCItem +litem &76 +pos 6 +dimension 50 +uid 80,0 +) +*98 (MRCItem +litem &77 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *99 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*100 (RefLabelRowHdr +) +*101 (TitleRowHdr +) +*102 (FilterRowHdr +) +*103 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*104 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*105 (GroupColHdr +tm "GroupColHdrMgr" +) +*106 (NameColHdr +tm "GenericNameColHdrMgr" +) +*107 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*108 (InitColHdr +tm "GenericValueColHdrMgr" +) +*109 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*110 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &99 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*113 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 98,0 +) +*114 (MRCItem +litem &101 +pos 1 +dimension 23 +uid 99,0 +) +*115 (MRCItem +litem &102 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 102,0 +) +*117 (MRCItem +litem &105 +pos 1 +dimension 50 +uid 103,0 +) +*118 (MRCItem +litem &106 +pos 2 +dimension 100 +uid 104,0 +) +*119 (MRCItem +litem &107 +pos 3 +dimension 100 +uid 105,0 +) +*120 (MRCItem +litem &108 +pos 4 +dimension 50 +uid 106,0 +) +*121 (MRCItem +litem &109 +pos 5 +dimension 50 +uid 107,0 +) +*122 (MRCItem +litem &110 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/symbol.sb b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/symbol.sb new file mode 100644 index 0000000..6f6233c --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tb/symbol.sb @@ -0,0 +1,1219 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "heirv32_sc_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "12:01:00" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_sc_tb" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "12:01:00" +) +(vvPair +variable "unit" +value "heirv32_sc_tb" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,35100,16000" +st "HEIRV32_test" +blo "26800,15800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,35000,17200" +st "heirv32_sc_tb" +blo "26800,17000" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,2400,45200,3600" +st "User:" +blo "42000,3400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,44000,3600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 50,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tester/interface b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tester/interface new file mode 100644 index 0000000..7ac2ca3 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/heirv32_sc_tester/interface @@ -0,0 +1,1549 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 9,0 +) +) +uid 255,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 10,0 +) +) +uid 257,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 259,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 2 +suid 12,0 +) +) +uid 261,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 256,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 258,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 260,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 262,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "c_btnsNb" +type "positive" +value "2" +) +uid 210,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 211,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "heirv32_sc_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "12:07:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "heirv32_sc_tester" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\heirv32_sc_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "12:07:48" +) +(vvPair +variable "unit" +value "heirv32_sc_tester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 238,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10600" +st "btns" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,73500,3200" +st "btns : OUT std_ulogic_vector (c_btnsNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 9,0 +) +) +) +*63 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 243,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,9400" +st "clk" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 244,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59000,4000" +st "clk : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*64 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15625,5250,16375,6000" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 248,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "15300,7000,16700,9400" +st "en" +ju 2 +blo "16500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 249,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59000,4800" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*65 (CptPort +uid 250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 251,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 253,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,9500" +st "rst" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 254,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,58000,5600" +st "rst : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 2 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,66000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "35400,9800,43700,11000" +st "HEIRV32_test" +blo "35400,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "35400,11000,45600,12200" +st "heirv32_sc_tester" +blo "35400,12000" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "11000,7000,23000,9400" +st "Generic Declarations + +c_btnsNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47700,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,51900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2231,111,3247,801" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,73500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_test" +entityName "heirv32_sc_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 262,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/HEIRV32_test/hds/universal@tester/interface b/Libs/RiscV/HEIRV32_test/hds/universal@tester/interface new file mode 100644 index 0000000..dbc3429 --- /dev/null +++ b/Libs/RiscV/HEIRV32_test/hds/universal@tester/interface @@ -0,0 +1,1545 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 23,0 +) +) +uid 422,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 24,0 +) +) +uid 424,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 25,0 +) +) +uid 426,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 1 +suid 26,0 +) +) +uid 428,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 423,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 425,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 427,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 429,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "c_btnsNb" +type "positive" +value "2" +) +uid 261,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 96,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 262,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universal@tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universal@tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universal@tester" +) +(vvPair +variable "d_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universalTester" +) +(vvPair +variable "date" +value "03.11.2022" +) +(vvPair +variable "day" +value "jeu." +) +(vvPair +variable "day_long" +value "jeudi" +) +(vvPair +variable "dd" +value "03" +) +(vvPair +variable "entity_name" +value "universalTester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "axel.amand" +) +(vvPair +variable "graphical_source_date" +value "03.11.2022" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE7860" +) +(vvPair +variable "graphical_source_time" +value "11:27:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE7860" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "HEIRV32_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../RiscV/HEIRV32_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/CAr//RiscV_test/HEIRV32_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "universalTester" +) +(vvPair +variable "month" +value "nov." +) +(vvPair +variable "month_long" +value "novembre" +) +(vvPair +variable "p" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universal@tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\dev\\car-labs\\hdl\\Prefs\\..\\RiscV\\HEIRV32_test\\hds\\universalTester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:27:04" +) +(vvPair +variable "unit" +value "universalTester" +) +(vvPair +variable "user" +value "axel.amand" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2022" +) +(vvPair +variable "yy" +value "22" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 403,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 404,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 405,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10600" +st "btns" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 406,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,73500,3200" +st "btns : OUT std_ulogic_vector (c_btnsNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "btns" +t "std_ulogic_vector" +b "(c_btnsNb-1 DOWNTO 0)" +o 4 +suid 23,0 +) +) +) +*63 (CptPort +uid 407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 408,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,5250,19375,6000" +) +tg (CPTG +uid 409,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 410,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "18300,7000,19700,9400" +st "clk" +ju 2 +blo "19500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 411,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59000,4000" +st "clk : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clk" +t "std_ulogic" +o 5 +suid 24,0 +) +) +) +*64 (CptPort +uid 412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 413,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,5250,17375,6000" +) +tg (CPTG +uid 414,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 415,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,7000,17700,9400" +st "en" +ju 2 +blo "17500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 416,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59000,4800" +st "en : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 6 +suid 25,0 +) +) +) +*65 (CptPort +uid 417,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 418,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 419,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 420,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,9500" +st "rst" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 421,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,58000,5600" +st "rst : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "rst" +t "std_ulogic" +o 1 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,16000" +) +oxt "15000,6000,73000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37550,9800,45850,11000" +st "HEIRV32_test" +blo "37550,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37550,11000,46450,12200" +st "universalTester" +blo "37550,12000" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "12500,7000,24500,9400" +st "Generic Declarations + +c_btnsNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "c_btnsNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,47500,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "96,54,1112,744" +viewArea "-500,-500,70420,47560" +cachedDiagramExtent "0,0,73000,49000" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "HEIRV32_test" +entityName "heirv32_mc_tb" +viewName "universal.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 452,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/RiscV/NEORV32/LICENSE b/Libs/RiscV/NEORV32/LICENSE new file mode 100644 index 0000000..26fbfed --- /dev/null +++ b/Libs/RiscV/NEORV32/LICENSE @@ -0,0 +1,29 @@ +BSD 3-Clause License + +Copyright (c) 2021, Stephan Nolting +All rights reserved. + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this + list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, + this list of conditions and the following disclaimer in the documentation + and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its + contributors may be used to endorse or promote products derived from + this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE +FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. diff --git a/Libs/RiscV/NEORV32/README.md b/Libs/RiscV/NEORV32/README.md new file mode 100644 index 0000000..9b4e82f --- /dev/null +++ b/Libs/RiscV/NEORV32/README.md @@ -0,0 +1,335 @@ +[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo_dark.png)](https://github.com/stnolting/neorv32) + +# The NEORV32 RISC-V Processor + +[![datasheet (pdf)](https://img.shields.io/badge/data%20sheet-PDF-ffbd00?longCache=true&style=flat-square&logo=asciidoctor)](https://github.com/stnolting/neorv32/releases/tag/nightly) +[![datasheet (html)](https://img.shields.io/badge/-HTML-ffbd00?longCache=true&style=flat-square)](https://stnolting.github.io/neorv32) +[![userguide (pdf)](https://img.shields.io/badge/user%20guide-PDF-ffbd00?longCache=true&style=flat-square&logo=asciidoctor)](https://github.com/stnolting/neorv32/releases/tag/nightly) +[![userguide (html)](https://img.shields.io/badge/-HTML-ffbd00?longCache=true&style=flat-square)](https://stnolting.github.io/neorv32/ug) +[![doxygen](https://img.shields.io/badge/doxygen-HTML-ffbd00?longCache=true&style=flat-square&logo=Doxygen)](https://stnolting.github.io/neorv32/sw/files.html) +[![Gitter](https://img.shields.io/badge/Chat-on%20gitter-4db797.svg?longCache=true&style=flat-square&logo=gitter&logoColor=e8ecef)](https://gitter.im/neorv32/community?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge) + +1. [Overview](#1-Overview) + * [Key Features](#Project-Key-Features) + * [Status](#status) +2. [Processor/SoC Features](#2-NEORV32-Processor-Features) + * [FPGA Implementation Results](#FPGA-Implementation-Results---Processor) +3. [CPU Features](#3-NEORV32-CPU-Features) + * [Available ISA Extensions](#Available-ISA-Extensions) + * [FPGA Implementation Results](#FPGA-Implementation-Results---CPU) + * [Performance](#Performance) +4. [Software Framework & Tooling](#4-Software-Framework-and-Tooling) +5. [**Getting Started**](#5-Getting-Started) :rocket: + + + +## 1. Overview + +![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png) + +The NEORV32 Processor is a **customizable microcontroller-like system on chip (SoC)** that is based on the RISC-V NEORV32 CPU. +The project is intended as auxiliary processor in larger SoC designs or as *ready-to-go* stand-alone +custom microcontroller that even fits into a Lattice iCE40 UltraPlus 5k low-power FPGA running at 24 MHz. + +Special focus is paid on **execution safety** to provide defined and predictable behavior at any time. +Therefore, the CPU ensures that all memory access are acknowledged and no invalid/malformed instructions +are executed. Whenever an unexpected situation occurs the application code is informed via precise and resumable hardware exceptions. + +:thinking: Want to know more? Check out the [project's rationale](https://stnolting.github.io/neorv32/#_rationale). + +:books: For detailed information take a look at the [NEORV32 documentation](https://stnolting.github.io/neorv32/) (online at GitHub-pages). + +:label: The project's change log is available in [`CHANGELOG.md`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md). +To see the changes between _official releases_ visit the project's [release page](https://github.com/stnolting/neorv32/releases). + +:package: [Exemplary setups](https://github.com/stnolting/neorv32/tree/master/setups) targeting +various FPGA boards and toolchains to get you started. + +:kite: Supported by upstream [Zephyr OS](https://docs.zephyrproject.org/latest/boards/riscv/neorv32/doc/index.html) and FreeRTOS. + +:bulb: Feel free to open a [new issue](https://github.com/stnolting/neorv32/issues) or start a +[new discussion](https://github.com/stnolting/neorv32/discussions) if you have questions, comments, ideas or if something is +not working as expected. Or have a chat on our [gitter channel](https://gitter.im/neorv32/community). +See how to [contribute](https://github.com/stnolting/neorv32/blob/master/CONTRIBUTING.md). + +:rocket: Check out the [quick links below](#5-Getting-Started) or directly jump to the +[*User Guide*](https://stnolting.github.io/neorv32/ug/) to get started +setting up your NEORV32 setup! + + +### Project Key Features + +- [x] all-in-one package: [CPU](#3-NEORV32-CPU-Features) plus [SoC](#2-NEORV32-Processor-Features) plus [Software Framework & Tooling](#4-Software-Framework-and-Tooling) +- [x] completely described in behavioral, platform-independent VHDL - no primitives, macros, etc. +- [x] be as small as possible while being as RISC-V-compliant as possible +- [x] from zero to *printf("hello world!");* - completely open source and documented +- [x] easy to use even for FPGA/RISC-V starters – intended to work *out of the box* + + +### Status + +[![release](https://img.shields.io/github/v/release/stnolting/neorv32?longCache=true&style=flat-square&logo=GitHub)](https://github.com/stnolting/neorv32/releases) +[![GitHub Pages](https://img.shields.io/website.svg?label=stnolting.github.io%2Fneorv32&longCache=true&style=flat-square&url=http%3A%2F%2Fstnolting.github.io%2Fneorv32%2Findex.html&logo=GitHub)](https://stnolting.github.io/neorv32) +[![Documentation](https://img.shields.io/github/workflow/status/stnolting/neorv32/Documentation/master?longCache=true&style=flat-square&label=Documentation&logo=Github%20Actions&logoColor=fff)](https://github.com/stnolting/neorv32/actions?query=workflow%3ADocumentation) +\ +[![riscv-arch-test](https://img.shields.io/github/workflow/status/stnolting/neorv32/riscv-arch-test/master?longCache=true&style=flat-square&label=riscv-arch-test&logo=Github%20Actions&logoColor=fff)](https://github.com/stnolting/neorv32/actions?query=workflow%3Ariscv-arch-test) +[![Processor](https://img.shields.io/github/workflow/status/stnolting/neorv32/Processor/master?longCache=true&style=flat-square&label=Processor&logo=Github%20Actions&logoColor=fff)](https://github.com/stnolting/neorv32/actions?query=workflow%3AProcessor) +[![Implementation](https://img.shields.io/github/workflow/status/stnolting/neorv32/Implementation/master?longCache=true&style=flat-square&label=Implementation&logo=Github%20Actions&logoColor=fff)](https://github.com/stnolting/neorv32/actions?query=workflow%3AImplementation) +[![Windows](https://img.shields.io/github/workflow/status/stnolting/neorv32/Windows/master?longCache=true&style=flat-square&label=Windows&logo=Github%20Actions&logoColor=fff)](https://github.com/stnolting/neorv32/actions?query=workflow%3AWindows) + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + +## 2. NEORV32 Processor Features + +The NEORV32 Processor (top entity: [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd)) +provides a full-featured SoC build around the NEORV32 CPU. It is highly configurable via generics +to allow a flexible customization according to your needs. Note that all modules listed below are _optional_. + +**Memory** + +* processor-internal data and instruction memories ([DMEM](https://stnolting.github.io/neorv32/#_data_memory_dmem) / +[IMEM](https://stnolting.github.io/neorv32/#_instruction_memory_imem)) & +cache ([iCACHE](https://stnolting.github.io/neorv32/#_processor_internal_instruction_cache_icache)) +* bootloader ([BOOTLDROM](https://stnolting.github.io/neorv32/#_bootloader_rom_bootrom)) with serial user interface + * allows booting application code via UART or from external SPI flash + +**Timers** + +* machine system timer, 64-bit ([MTIME](https://stnolting.github.io/neorv32/#_machine_system_timer_mtime)), RISC-V spec. compatible +* general purpose 32-bit timer ([GPTMR](https://stnolting.github.io/neorv32/#_general_purpose_timer_gptmr)) +* watchdog timer ([WDT](https://stnolting.github.io/neorv32/#_watchdog_timer_wdt)) + +**Input/Output** + +* standard serial interfaces +([UART](https://stnolting.github.io/neorv32/#_primary_universal_asynchronous_receiver_and_transmitter_uart0), +[SPI](https://stnolting.github.io/neorv32/#_serial_peripheral_interface_controller_spi), +[TWI / I²C](https://stnolting.github.io/neorv32/#_two_wire_serial_interface_controller_twi)) +* general purpose [GPIO](https://stnolting.github.io/neorv32/#_general_purpose_input_and_output_port_gpio) and +[PWM](https://stnolting.github.io/neorv32/#_pulse_width_modulation_controller_pwm) +* smart LED interface ([NEOLED](https://stnolting.github.io/neorv32/#_smart_led_interface_neoled)) to directly drive _NeoPixel(TM)_ LEDs + +**SoC Connectivity** + +* 32-bit external bus interface, Wishbone b4 compatible +([WISHBONE](https://stnolting.github.io/neorv32/#_processor_external_memory_interface_wishbone_axi4_lite)) + * [wrapper](https://github.com/stnolting/neorv32/blob/master/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd) for AXI4-Lite master interface + * [wrapper](https://github.com/stnolting/neorv32/blob/master/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd) for Avalon-MM master interface +* 32-bit stream link interface with up to 8 independent RX and TX links +([SLINK](https://stnolting.github.io/neorv32/#_stream_link_interface_slink)) + * AXI4-Stream compatible +* external interrupt controller with up to 32 channels +([XIRQ](https://stnolting.github.io/neorv32/#_external_interrupt_controller_xirq)) +* custom functions subsystem ([CFS](https://stnolting.github.io/neorv32/#_custom_functions_subsystem_cfs)) +for tightly-coupled custom co-processor extensions + +**Advanced** + +* _true random_ number generator ([TRNG](https://stnolting.github.io/neorv32/#_true_random_number_generator_trng)) +* on-chip debugger ([OCD](https://stnolting.github.io/neorv32/#_on_chip_debugger_ocd)) accessible via JTAG interface - implementing +the [*Minimal RISC-V Debug Specification Version 0.13.2*](https://github.com/riscv/riscv-debug-spec) +and compatible with *OpenOCD* and *gdb* +* bus keeper to monitor the CPU's bus transactions ([BUSKEEPER](https://stnolting.github.io/neorv32/#_internal_bus_monitor_buskeeper)) + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + +### FPGA Implementation Results - Processor + +The hardware resources used by a specific processor setup is defined by the implemented CPU extensions, +the configuration of the peripheral modules and some "glue logic". +Section [_FPGA Implementation Results - Processor Modules_](https://stnolting.github.io/neorv32/#_processor_modules) +of the online datasheet shows the resource utilization of each optional processor module to allow an +estimation of the actual setup's hardware requirements. + +The [`setups`](https://github.com/stnolting/neorv32/tree/master/setups) folder provides exemplary FPGA +setups targeting various FPGA boards and toolchains. These setups also provide resource utilization reports for different +SoC configurations. The latest utilization reports for those setups can be found in the report of the +[Implementation Workflow](https://github.com/stnolting/neorv32/actions/workflows/Implementation.yml). + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + + +## 3. NEORV32 CPU Features + +The CPU (top entity: [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd)) +implements the RISC-V 32-bit `rv32` ISA with optional extensions (see below). It is compatible to subsets of the +*Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/references/riscv-spec.pdf) +and the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/references/riscv-privileged.pdf). +Compatibility is checked by passing the [official RISC-V architecture tests](https://github.com/riscv/riscv-arch-test) +(see [`sim/README`](sim/README.md)). + +The core is a little-endian Von-Neumann machine implemented as multi-cycle architecture. +However, the CPU's _front end_ (instruction fetch) and _back end_ (instruction execution) can work independently to increase performance. +Currently, three privilege levels (`machine` and optional `user` and `debug_mode`) are supported. The CPU implements all three standard RISC-V machine +interrupts (`MTI`, `MEI`, `MSI`) plus 16 _fast interrupt requests_ as custom extensions. +It also supports **all** standard RISC-V exceptions (instruction/load/store misaligned address & bus access fault, illegal +instruction, breakpoint, environment calls). + +:books: In-depth detailed information regarding the CPU can be found in the +[_Data Sheet: NEORV32 Central Processing Unit_](https://stnolting.github.io/neorv32/#_neorv32_central_processing_unit_cpu). + + +### Available ISA Extensions + +Currently, the following _optional_ RISC-V-compatible ISA extensions are implemented (linked to the according +documentation section). Note that the `X` extension is always enabled. + +**RV32 +[[`I`](https://stnolting.github.io/neorv32/#_i_base_integer_isa)/ +[`E`](https://stnolting.github.io/neorv32/#_e_embedded_cpu)] +[[`A`](https://stnolting.github.io/neorv32/#_a_atomic_memory_access)] +[[`B`](https://stnolting.github.io/neorv32/#_b_bit_manipulation_operations)] +[[`C`](https://stnolting.github.io/neorv32/#_c_compressed_instructions)] +[[`M`](https://stnolting.github.io/neorv32/#_m_integer_multiplication_and_division)] +[[`U`](https://stnolting.github.io/neorv32/#_u_less_privileged_user_mode)] +[[`X`](https://stnolting.github.io/neorv32/#_x_neorv32_specific_custom_extensions)] +[[`Zfinx`](https://stnolting.github.io/neorv32/#_zfinx_single_precision_floating_point_operations)] +[[`Zicsr`](https://stnolting.github.io/neorv32/#_zicsr_control_and_status_register_access_privileged_architecture)] +[[`Zicntr`](https://stnolting.github.io/neorv32/#_zicntr_cpu_base_counters)] +[[`Zihpm`](https://stnolting.github.io/neorv32/#_zihpm_hardware_performance_monitors)] +[[`Zifencei`](https://stnolting.github.io/neorv32/#_zifencei_instruction_stream_synchronization)] +[[`Zmmul`](https://stnolting.github.io/neorv32/#_zmmul_integer_multiplication)] +[[`PMP`](https://stnolting.github.io/neorv32/#_pmp_physical_memory_protection)] +[[`DEBUG`](https://stnolting.github.io/neorv32/#_cpu_debug_mode)]** + +:warning: The `B`, `Zfinx` and `Zmmul` RISC-V extensions are frozen but not officially ratified yet. Hence, there is no +upstream gcc support. To circumvent this, the NEORV32 software framework provides _intrinsic libraries_ for these extensions. + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + +### FPGA Implementation Results - CPU + +Implementation results for _exemplary_ CPU configuration generated for an **Intel Cyclone IV EP4CE22F17C6N FPGA** +using **Intel Quartus Prime Lite 20.1** ("balanced implementation, Slow 1200mV 0C Model"). + +| CPU Configuration (version [1.5.7.10](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md)) | LEs | FFs | Memory bits | DSPs (9-bit) | f_max | +|:------------------------|:----:|:----:|:----:|:-:|:-------:| +| `rv32i` | 806 | 359 | 1024 | 0 | 125 MHz | +| `rv32i_Zicsr_Zicntr` | 1729 | 813 | 1024 | 0 | 124 MHz | +| `rv32imac_Zicsr_Zicntr` | 2511 | 1074 | 1024 | 0 | 124 MHz | + +:information_source: An incremental list of CPU extension's hardware utilization can found in the +[_Data Sheet: FPGA Implementation Results - CPU_](https://stnolting.github.io/neorv32/#_cpu). + +:information_source: The CPU and SoC provide advanced options to optimize for performance, area or energy. +See [_User Guide: Application-Specific Processor Configuration_](https://stnolting.github.io/neorv32/ug/#_application_specific_processor_configuration) +for more information. + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + +### Performance + +The NEORV32 CPU is based on a two-stages pipeline architecture (fetch and execute). +The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the +available CPU extensions. + +The following table shows the performance results (scores and average CPI) for _exemplary_ CPU configurations executing +2000 iterations of the [CoreMark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) CPU benchmark. + +| CPU Configuration (version [1.5.7.10](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md)) | CoreMark Score | CoreMarks/MHz | Average CPI | +|:------------------------------------------------|:-----:|:----------:|:--------:| +| _small_ (`rv32i_Zicsr`) | 33.89 | **0.3389** | **4.04** | +| _medium_ (`rv32imc_Zicsr`) | 62.50 | **0.6250** | **5.34** | +| _performance_ (`rv32imc_Zicsr` + perf. options) | 95.23 | **0.9523** | **3.54** | + +:information_source: More information regarding the CPU performance can be found in the +[_Data Sheet: CPU Performance_](https://stnolting.github.io/neorv32/#_cpu_performance). + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + + +## 4. Software Framework and Tooling + +* [core libraries](https://github.com/stnolting/neorv32/tree/master/sw/lib) for high-level usage of the provided functions and peripherals +* application compilation based on GNU makefiles +* gcc-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv-gcc-prebuilt)) +* [SVD file](https://github.com/stnolting/neorv32/tree/master/sw/svd) for advanced debugging and IDE integration +* bootloader with UART interface console +* runtime environment for handling traps +* several [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) to get started including CoreMark, FreeRTOS and Conway's Game of Life +* doxygen-based documentation, available on [GitHub pages](https://stnolting.github.io/neorv32/sw/files.html) +* supports implementation using open source tooling (GHDL, Yosys and nextpnr; in the future: "Verilog-to-Routing") - both, software and hardware can be +developed and debugged with open source tooling +* [continuous integration](https://github.com/stnolting/neorv32/actions) is available for: + * allowing users to see the expected execution/output of the tools + * ensuring specification compliance + * catching regressions + * providing ready-to-use and up-to-date bitstreams and documentation + +:books: Want to know more? Check out [_Data Sheet: Software Framework_](https://stnolting.github.io/neorv32/#_software_framework). + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + + +## 5. Getting Started + +This overview provides some *quick links* to the most important sections of the +[online Data Sheet](https://stnolting.github.io/neorv32) and the +[online User Guide](https://stnolting.github.io/neorv32/ug). + +### :electric_plug: Hardware Overview + +* [Rationale](https://stnolting.github.io/neorv32/#_rationale) - NEORV32: why, how come, what for + +* [NEORV32 Processor](https://stnolting.github.io/neorv32/#_neorv32_processor_soc) - the SoC + * [Top Entity - Signals](https://stnolting.github.io/neorv32/#_processor_top_entity_signals) - how to connect to the processor + * [Top Entity - Generics](https://stnolting.github.io/neorv32/#_processor_top_entity_generics) - configuration options + * [Address Space](https://stnolting.github.io/neorv32/#_address_space) - memory layout and boot configuration + * [SoC Modules](https://stnolting.github.io/neorv32/#_processor_internal_modules) - available peripheral modules and memories + * [On-Chip Debugger](https://stnolting.github.io/neorv32/#_on_chip_debugger_ocd) - online & in-system debugging of the processor via JTAG + +* [NEORV32 CPU](https://stnolting.github.io/neorv32/#_neorv32_central_processing_unit_cpu) - the CPU + * [RISC-V compatibility](https://stnolting.github.io/neorv32/#_risc_v_compatibility) - what is compatible to the specs. and what is not + * [Full Virtualization](https://stnolting.github.io/neorv32/#_full_virtualization) - hardware execution safety + * [ISA and Extensions](https://stnolting.github.io/neorv32/#_instruction_sets_and_extensions) - available RISC-V ISA extensions + * [CSRs](https://stnolting.github.io/neorv32/#_control_and_status_registers_csrs) - control and status registers + * [Traps](https://stnolting.github.io/neorv32/#_traps_exceptions_and_interrupts) - interrupts and exceptions + +### :floppy_disk: Software Overview + +* [Example Programs](https://github.com/stnolting/neorv32/tree/master/sw/example) - test program execution on your setup +* [Core Libraries](https://stnolting.github.io/neorv32/#_core_libraries) - high-level functions for accessing the processor's peripherals + * [Software Framework Documentation](https://stnolting.github.io/neorv32/sw/files.html) - `doxygen`-based documentation +* [Application Makefiles](https://stnolting.github.io/neorv32/#_application_makefile) - turning your application into an executable +* [Bootloader](https://stnolting.github.io/neorv32/#_bootloader) - the build-in NEORV32 bootloader + +### :rocket: User Guide + +* [Toolchain Setup](https://stnolting.github.io/neorv32/ug/#_software_toolchain_setup) - install and setup RISC-V gcc +* [General Hardware Setup](https://stnolting.github.io/neorv32/ug/#_general_hardware_setup) - setup a new NEORV32 EDA project +* [General Software Setup](https://stnolting.github.io/neorv32/ug/#_general_software_framework_setup) - configure the software framework +* [Application Compilation](https://stnolting.github.io/neorv32/ug/#_application_program_compilation) - compile an application using `make` +* [Upload via Bootloader](https://stnolting.github.io/neorv32/ug/#_uploading_and_starting_of_a_binary_executable_image_via_uart) - upload and execute executables +* [Application-Specific Processor Configuration](https://stnolting.github.io/neorv32/ug/#_application_specific_processor_configuration) - tailor the processor to your needs +* [Adding Custom Hardware Modules](https://stnolting.github.io/neorv32/ug/#_adding_custom_hardware_modules) - add _your_ custom hardware +* [Debugging via the On-Chip Debugger](https://stnolting.github.io/neorv32/ug/#_debugging_using_the_on_chip_debugger) - step through code *online* and *in-system* +* [Simulation](https://stnolting.github.io/neorv32/ug/#_simulating_the_processor) - simulate the whole SoC + * [Hello World!](https://stnolting.github.io/neorv32/ug/index.html#_hello_world) - run a quick _"hello world"_ simulation + +### :copyright: Legal + +[![license](https://img.shields.io/github/license/stnolting/neorv32?longCache=true&style=flat-square)](https://github.com/stnolting/neorv32/blob/master/LICENSE) +[![DOI](https://zenodo.org/badge/DOI/10.5281/zenodo.5018888.svg)](https://doi.org/10.5281/zenodo.5018888) + +* [Overview](https://stnolting.github.io/neorv32/#_legal) - license, disclaimer, limitation of liability for external links, proprietary notice, ... +* [Citing](https://stnolting.github.io/neorv32/#_citing) - citing information +* [Impressum](https://github.com/stnolting/neorv32/blob/master/docs/impressum.md) - imprint + +[[back to top](#The-NEORV32-RISC-V-Processor)] + + + +## Acknowledgements + +**A big shout-out goes to the community and all the [contributors](https://github.com/stnolting/neorv32/graphs/contributors), who helped improving this project! :heart:** + +[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free! + +Continuous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl). diff --git a/Libs/RiscV/NEORV32/docs/NEORV32.pdf b/Libs/RiscV/NEORV32/docs/NEORV32.pdf new file mode 100644 index 0000000..91f8906 Binary files /dev/null and b/Libs/RiscV/NEORV32/docs/NEORV32.pdf differ diff --git a/Libs/RiscV/NEORV32/docs/NEORV32_UserGuide.pdf b/Libs/RiscV/NEORV32/docs/NEORV32_UserGuide.pdf new file mode 100644 index 0000000..6bc54f3 Binary files /dev/null and b/Libs/RiscV/NEORV32/docs/NEORV32_UserGuide.pdf differ diff --git a/Libs/RiscV/NEORV32/hdl/.gitkeep b/Libs/RiscV/NEORV32/hdl/.gitkeep new file mode 100644 index 0000000..e69de29 diff --git a/Libs/RiscV/NEORV32/hds/.gitkeep b/Libs/RiscV/NEORV32/hds/.gitkeep new file mode 100644 index 0000000..e69de29 diff --git a/Libs/RiscV/NEORV32/rtl/README.md b/Libs/RiscV/NEORV32/rtl/README.md new file mode 100644 index 0000000..33e41ac --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/README.md @@ -0,0 +1,34 @@ +## VHDL Source Folders + + +### [`core`](https://github.com/stnolting/neorv32/tree/master/rtl/core) + +This folder contains the core VHDL files for the NEORV32 CPU and the NEORV32 Processor. +When creating a new synthesis/simulation project make sure that all `*.vhd` files from this folder are added to a +*new design library* called `neorv32`. + +:warning: The sub-folder [`core/mem`](https://github.com/stnolting/neorv32/tree/master/rtl/core/mem) +contains the _platform-agnostic_ VHDL architectures of the processor-internal memories. +You can _replace_ inclusion of these files by platform-optimized memory architectures. + + +### [`processor_templates`](https://github.com/stnolting/neorv32/tree/master/rtl/processor_templates`) + +Contains pre-configured "SoC" templates that instantiate the processor's top entity from `core`. +These templates can be instantiated directly within a FPGA-specific board wrapper. + + +### [`system_integration`](https://github.com/stnolting/neorv32/tree/master/rtl/system_integration`) + +Top entities in this folder provide the same peripheral/IO signals and configuration generics as the default +processor top entity from `core`, but feature a different interface type. +For example: an **AXI4-Lite**-compatible bus interface instead of the default Wishbone bus interface +or a top entity with _resolved_ port signal types. + + +### [`test_setups`](https://github.com/stnolting/neorv32/tree/master/rtl/test_setups`) + +Minimal test setups (FPGA- and board-independent) for the processor. See the +[README](https://github.com/stnolting/neorv32/tree/master/rtl/test_setups) +in that folder for more information. Note that these test setups are used in the +[NEORV32 USer Guide](https://stnolting.github.io/neorv32/ug). diff --git a/Libs/RiscV/NEORV32/rtl/core/mem/README.md b/Libs/RiscV/NEORV32/rtl/core/mem/README.md new file mode 100644 index 0000000..588d5b6 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/mem/README.md @@ -0,0 +1,14 @@ +# Processor Memory Source Files + +This folder provides the architecture-only VHDL sources for the processor-internal memories +(instruction memory "IMEM", data memory "DMEM"). Different implementations are available - but +only **one** version of each (IMEM and DMEM) has to be added as actual source files. + +For the first implementation the `*.default.vhd` files should be selected. The HDL style for describing +memories used by these files has proven **platform-independence** across several FPGA architectures and toolchains. + +If synthesis fails to infer actual block RAM resources from these default files, try the legacy `*.cyclone2.vhd` files, which +provide a different HDL style. These files are intended for legacy support of older Intel/Altera Quartus versions (13.0 and older). However, +these files do **not** use platform-specific macros or primitives - so they might also work for other FPGAs and toolchains. + +:warning: Make sure to add the selected files from this folder also to the `neorv32` design library. diff --git a/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.cyclone2.vhd b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.cyclone2.vhd new file mode 100644 index 0000000..de18bd7 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.cyclone2.vhd @@ -0,0 +1,130 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal data memory (DMEM) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +architecture neorv32_dmem_rtl of neorv32_dmem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(DMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(DMEM_SIZE/4)-1 downto 0); + signal addr_ff : std_ulogic_vector(index_size_f(DMEM_SIZE/4)-1 downto 0); + + -- -------------------------------------------------------------------------------------------------------------- -- + -- The memory (RAM) is built from 4 individual byte-wide memories b0..b3, since some synthesis tools have -- + -- problems with 32-bit memories that provide dedicated byte-enable signals AND/OR with multi-dimensional arrays. -- + -- -------------------------------------------------------------------------------------------------------------- -- + + -- RAM - not initialized at all -- + signal mem_ram_b0 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b1 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b2 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b3 : mem8_t(0 to DMEM_SIZE/4-1); + + -- read data -- + signal mem_ram_b0_rd, mem_ram_b1_rd, mem_ram_b2_rd, mem_ram_b3_rd : std_ulogic_vector(7 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using CYCLONE-2-optimized HDL style DMEM." severity note; + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, " & natural'image(DMEM_SIZE) & " bytes)." severity note; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = DMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(DMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + addr_ff <= addr; + if (acc_en = '1') then -- reduce switching activity when not accessed + if (wren_i = '1') and (ben_i(0) = '1') then -- byte 0 + mem_ram_b0(to_integer(unsigned(addr))) <= data_i(07 downto 00); + end if; + if (wren_i = '1') and (ben_i(1) = '1') then -- byte 1 + mem_ram_b1(to_integer(unsigned(addr))) <= data_i(15 downto 08); + end if; + if (wren_i = '1') and (ben_i(2) = '1') then -- byte 2 + mem_ram_b2(to_integer(unsigned(addr))) <= data_i(23 downto 16); + end if; + if (wren_i = '1') and (ben_i(3) = '1') then -- byte 3 + mem_ram_b3(to_integer(unsigned(addr))) <= data_i(31 downto 24); + end if; + end if; + end if; + end process mem_access; + + -- sync(!) read - alternative HDL style -- + mem_ram_b0_rd <= mem_ram_b0(to_integer(unsigned(addr_ff))); + mem_ram_b1_rd <= mem_ram_b1(to_integer(unsigned(addr_ff))); + mem_ram_b2_rd <= mem_ram_b2(to_integer(unsigned(addr_ff))); + mem_ram_b3_rd <= mem_ram_b3(to_integer(unsigned(addr_ff))); + + + -- Bus Feedback --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_feedback: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + ack_o <= acc_en and (rden_i or wren_i); + end if; + end process bus_feedback; + + -- pack -- + rdata <= mem_ram_b3_rd & mem_ram_b2_rd & mem_ram_b1_rd & mem_ram_b0_rd; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_dmem_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.default.vhd b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.default.vhd new file mode 100644 index 0000000..99b7762 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_dmem.default.vhd @@ -0,0 +1,132 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal data memory (DMEM) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +architecture neorv32_dmem_rtl of neorv32_dmem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(DMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(DMEM_SIZE/4)-1 downto 0); + + -- -------------------------------------------------------------------------------------------------------------- -- + -- The memory (RAM) is built from 4 individual byte-wide memories b0..b3, since some synthesis tools have -- + -- problems with 32-bit memories that provide dedicated byte-enable signals AND/OR with multi-dimensional arrays. -- + -- -------------------------------------------------------------------------------------------------------------- -- + + -- RAM - not initialized at all -- + signal mem_ram_b0 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b1 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b2 : mem8_t(0 to DMEM_SIZE/4-1); + signal mem_ram_b3 : mem8_t(0 to DMEM_SIZE/4-1); + + -- read data -- + signal mem_ram_b0_rd, mem_ram_b1_rd, mem_ram_b2_rd, mem_ram_b3_rd : std_ulogic_vector(7 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM." severity note; + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, " & natural'image(DMEM_SIZE) & " bytes)." severity note; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = DMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(DMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- this RAM style should not require "no_rw_check" attributes as the read-after-write behavior + -- is intended to be defined implicitly via the if-WRITE-else-READ construct + if (acc_en = '1') then -- reduce switching activity when not accessed + if (wren_i = '1') and (ben_i(0) = '1') then -- byte 0 + mem_ram_b0(to_integer(unsigned(addr))) <= data_i(07 downto 00); + else + mem_ram_b0_rd <= mem_ram_b0(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(1) = '1') then -- byte 1 + mem_ram_b1(to_integer(unsigned(addr))) <= data_i(15 downto 08); + else + mem_ram_b1_rd <= mem_ram_b1(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(2) = '1') then -- byte 2 + mem_ram_b2(to_integer(unsigned(addr))) <= data_i(23 downto 16); + else + mem_ram_b2_rd <= mem_ram_b2(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(3) = '1') then -- byte 3 + mem_ram_b3(to_integer(unsigned(addr))) <= data_i(31 downto 24); + else + mem_ram_b3_rd <= mem_ram_b3(to_integer(unsigned(addr))); + end if; + end if; + end if; + end process mem_access; + + + -- Bus Feedback --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_feedback: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + ack_o <= acc_en and (rden_i or wren_i); + end if; + end process bus_feedback; + + -- pack -- + rdata <= mem_ram_b3_rd & mem_ram_b2_rd & mem_ram_b1_rd & mem_ram_b0_rd; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_dmem_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.cyclone2.vhd b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.cyclone2.vhd new file mode 100644 index 0000000..2200e32 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.cyclone2.vhd @@ -0,0 +1,176 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal instruction memory (IMEM) >> # +-- # ********************************************************************************************* # +-- # This memory optionally includes the in-place executable image of the application. See the # +-- # processor's documentary to get more information. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator + +architecture neorv32_imem_rtl of neorv32_imem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(IMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0); + signal addr_ff : std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0); + + -- --------------------------- -- + -- IMEM as pre-initialized ROM -- + -- --------------------------- -- + + -- application (image) size in bytes -- + constant imem_app_size_c : natural := (application_init_image'length)*4; + + -- ROM - initialized with executable code -- + constant mem_rom : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_f(application_init_image, IMEM_SIZE/4); + + -- read data -- + signal mem_rom_rd : std_ulogic_vector(31 downto 0); + + -- -------------------------------------------------------------------------------------------------------------- -- + -- The memory (RAM) is built from 4 individual byte-wide memories b0..b3, since some synthesis tools have -- + -- problems with 32-bit memories that provide dedicated byte-enable signals AND/OR with multi-dimensional arrays. -- + -- -------------------------------------------------------------------------------------------------------------- -- + + -- RAM - not initialized at all -- + signal mem_ram_b0 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b1 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b2 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b3 : mem8_t(0 to IMEM_SIZE/4-1); + + -- read data -- + signal mem_b0_rd, mem_b1_rd, mem_b2_rd, mem_b3_rd : std_ulogic_vector(7 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using CYCLONE-2-optimized HDL style IMEM." severity note; + assert not (IMEM_AS_IROM = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as ROM (" & natural'image(IMEM_SIZE) & + " bytes), pre-initialized with application (" & natural'image(imem_app_size_c) & " bytes)." severity note; + -- + assert not (IMEM_AS_IROM = false) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (" & natural'image(IMEM_SIZE) & + " bytes)." severity note; + -- + assert not ((IMEM_AS_IROM = true) and (imem_app_size_c > IMEM_SIZE)) report "NEORV32 PROCESSOR CONFIG ERROR: Application (image = " & natural'image(imem_app_size_c) & + " bytes) does not fit into processor-internal IMEM (ROM = " & natural'image(IMEM_SIZE) & " bytes)!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(IMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Implement IMEM as pre-initialized ROM -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_rom: + if (IMEM_AS_IROM = true) generate + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + if (acc_en = '1') then -- reduce switching activity when not accessed + mem_rom_rd <= mem_rom(to_integer(unsigned(addr))); + end if; + end if; + end process mem_access; + -- read data -- + rdata <= mem_rom_rd; + end generate; + + + -- Implement IMEM as not-initialized RAM -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_ram: + if (IMEM_AS_IROM = false) generate + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + addr_ff <= addr; + if (acc_en = '1') then -- reduce switching activity when not accessed + if (wren_i = '1') and (ben_i(0) = '1') then -- byte 0 + mem_ram_b0(to_integer(unsigned(addr))) <= data_i(07 downto 00); + end if; + if (wren_i = '1') and (ben_i(1) = '1') then -- byte 1 + mem_ram_b1(to_integer(unsigned(addr))) <= data_i(15 downto 08); + end if; + if (wren_i = '1') and (ben_i(2) = '1') then -- byte 2 + mem_ram_b2(to_integer(unsigned(addr))) <= data_i(23 downto 16); + end if; + if (wren_i = '1') and (ben_i(3) = '1') then -- byte 3 + mem_ram_b3(to_integer(unsigned(addr))) <= data_i(31 downto 24); + end if; + end if; + end if; + end process mem_access; + -- sync(!) read - alternative HDL style -- + mem_b0_rd <= mem_ram_b0(to_integer(unsigned(addr_ff))); + mem_b1_rd <= mem_ram_b1(to_integer(unsigned(addr_ff))); + mem_b2_rd <= mem_ram_b2(to_integer(unsigned(addr_ff))); + mem_b3_rd <= mem_ram_b3(to_integer(unsigned(addr_ff))); + -- pack -- + rdata <= mem_b3_rd & mem_b2_rd & mem_b1_rd & mem_b0_rd; + end generate; + + + -- Bus Feedback --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_feedback: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + if (IMEM_AS_IROM = true) then + ack_o <= acc_en and rden_i; + else + ack_o <= acc_en and (rden_i or wren_i); + end if; + end if; + end process bus_feedback; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.default.vhd b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.default.vhd new file mode 100644 index 0000000..d0c924d --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/mem/neorv32_imem.default.vhd @@ -0,0 +1,179 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal instruction memory (IMEM) >> # +-- # ********************************************************************************************* # +-- # This memory optionally includes the in-place executable image of the application. See the # +-- # processor's documentary to get more information. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator + +architecture neorv32_imem_rtl of neorv32_imem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(IMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0); + + -- --------------------------- -- + -- IMEM as pre-initialized ROM -- + -- --------------------------- -- + + -- application (image) size in bytes -- + constant imem_app_size_c : natural := (application_init_image'length)*4; + + -- ROM - initialized with executable code -- + constant mem_rom : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_f(application_init_image, IMEM_SIZE/4); + + -- read data -- + signal mem_rom_rd : std_ulogic_vector(31 downto 0); + + -- -------------------------------------------------------------------------------------------------------------- -- + -- The memory (RAM) is built from 4 individual byte-wide memories b0..b3, since some synthesis tools have -- + -- problems with 32-bit memories that provide dedicated byte-enable signals AND/OR with multi-dimensional arrays. -- + -- -------------------------------------------------------------------------------------------------------------- -- + + -- RAM - not initialized at all -- + signal mem_ram_b0 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b1 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b2 : mem8_t(0 to IMEM_SIZE/4-1); + signal mem_ram_b3 : mem8_t(0 to IMEM_SIZE/4-1); + + -- read data -- + signal mem_b0_rd, mem_b1_rd, mem_b2_rd, mem_b3_rd : std_ulogic_vector(7 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM." severity note; + assert not (IMEM_AS_IROM = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as ROM (" & natural'image(IMEM_SIZE) & + " bytes), pre-initialized with application (" & natural'image(imem_app_size_c) & " bytes)." severity note; + -- + assert not (IMEM_AS_IROM = false) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (" & natural'image(IMEM_SIZE) & + " bytes)." severity note; + -- + assert not ((IMEM_AS_IROM = true) and (imem_app_size_c > IMEM_SIZE)) report "NEORV32 PROCESSOR CONFIG ERROR: Application (image = " & natural'image(imem_app_size_c) & + " bytes) does not fit into processor-internal IMEM (ROM = " & natural'image(IMEM_SIZE) & " bytes)!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(IMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Implement IMEM as pre-initialized ROM -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_rom: + if (IMEM_AS_IROM = true) generate + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + if (acc_en = '1') then -- reduce switching activity when not accessed + mem_rom_rd <= mem_rom(to_integer(unsigned(addr))); + end if; + end if; + end process mem_access; + -- read data -- + rdata <= mem_rom_rd; + end generate; + + + -- Implement IMEM as not-initialized RAM -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_ram: + if (IMEM_AS_IROM = false) generate + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- this RAM style should not require "no_rw_check" attributes as the read-after-write behavior + -- is intended to be defined implicitly via the if-WRITE-else-READ construct + if (acc_en = '1') then -- reduce switching activity when not accessed + if (wren_i = '1') and (ben_i(0) = '1') then -- byte 0 + mem_ram_b0(to_integer(unsigned(addr))) <= data_i(07 downto 00); + else + mem_b0_rd <= mem_ram_b0(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(1) = '1') then -- byte 1 + mem_ram_b1(to_integer(unsigned(addr))) <= data_i(15 downto 08); + else + mem_b1_rd <= mem_ram_b1(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(2) = '1') then -- byte 2 + mem_ram_b2(to_integer(unsigned(addr))) <= data_i(23 downto 16); + else + mem_b2_rd <= mem_ram_b2(to_integer(unsigned(addr))); + end if; + if (wren_i = '1') and (ben_i(3) = '1') then -- byte 3 + mem_ram_b3(to_integer(unsigned(addr))) <= data_i(31 downto 24); + else + mem_b3_rd <= mem_ram_b3(to_integer(unsigned(addr))); + end if; + end if; + end if; + end process mem_access; + -- read data -- + rdata <= mem_b3_rd & mem_b2_rd & mem_b1_rd & mem_b0_rd; + end generate; + + + -- Bus Feedback --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_feedback: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + if (IMEM_AS_IROM = true) then + ack_o <= acc_en and rden_i; + else + ack_o <= acc_en and (rden_i or wren_i); + end if; + end if; + end process bus_feedback; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_application_image.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_application_image.vhd new file mode 100644 index 0000000..34b35ad --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_application_image.vhd @@ -0,0 +1,883 @@ +-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32 +-- Auto-generated memory init file (for APPLICATION) from source file +-- Size: 3468 bytes + +library ieee; +use ieee.std_logic_1164.all; + +library neorv32; +use neorv32.neorv32_package.all; + +package neorv32_application_image is + + constant application_init_image : mem32_t := ( + 00000000 => x"00000037", + 00000001 => x"80002117", + 00000002 => x"ff810113", + 00000003 => x"80000197", + 00000004 => x"7f418193", + 00000005 => x"00000517", + 00000006 => x"12050513", + 00000007 => x"30551073", + 00000008 => x"34151073", + 00000009 => x"30001073", + 00000010 => x"30401073", + 00000011 => x"30601073", + 00000012 => x"ffa00593", + 00000013 => x"32059073", + 00000014 => x"b0001073", + 00000015 => x"b8001073", + 00000016 => x"b0201073", + 00000017 => x"b8201073", + 00000018 => x"00000093", + 00000019 => x"00000213", + 00000020 => x"00000293", + 00000021 => x"00000313", + 00000022 => x"00000393", + 00000023 => x"00000713", + 00000024 => x"00000793", + 00000025 => x"00000813", + 00000026 => x"00000893", + 00000027 => x"00000913", + 00000028 => x"00000993", + 00000029 => x"00000a13", + 00000030 => x"00000a93", + 00000031 => x"00000b13", + 00000032 => x"00000b93", + 00000033 => x"00000c13", + 00000034 => x"00000c93", + 00000035 => x"00000d13", + 00000036 => x"00000d93", + 00000037 => x"00000e13", + 00000038 => x"00000e93", + 00000039 => x"00000f13", + 00000040 => x"00000f93", + 00000041 => x"00000417", + 00000042 => x"d5c40413", + 00000043 => x"00000497", + 00000044 => x"f5448493", + 00000045 => x"00042023", + 00000046 => x"00440413", + 00000047 => x"fe941ce3", + 00000048 => x"80000597", + 00000049 => x"f4058593", + 00000050 => x"87418613", + 00000051 => x"00c5d863", + 00000052 => x"00058023", + 00000053 => x"00158593", + 00000054 => x"ff5ff06f", + 00000055 => x"00001597", + 00000056 => x"cb058593", + 00000057 => x"80000617", + 00000058 => x"f1c60613", + 00000059 => x"80000697", + 00000060 => x"f1468693", + 00000061 => x"00d65c63", + 00000062 => x"00058703", + 00000063 => x"00e60023", + 00000064 => x"00158593", + 00000065 => x"00160613", + 00000066 => x"fedff06f", + 00000067 => x"00000513", + 00000068 => x"00000593", + 00000069 => x"06c000ef", + 00000070 => x"34051073", + 00000071 => x"00000093", + 00000072 => x"00008463", + 00000073 => x"000080e7", + 00000074 => x"30047073", + 00000075 => x"10500073", + 00000076 => x"ffdff06f", + 00000077 => x"ff810113", + 00000078 => x"00812023", + 00000079 => x"00912223", + 00000080 => x"34202473", + 00000081 => x"02044663", + 00000082 => x"34102473", + 00000083 => x"00041483", + 00000084 => x"0034f493", + 00000085 => x"00240413", + 00000086 => x"34141073", + 00000087 => x"00300413", + 00000088 => x"00941863", + 00000089 => x"34102473", + 00000090 => x"00240413", + 00000091 => x"34141073", + 00000092 => x"00012403", + 00000093 => x"00412483", + 00000094 => x"00810113", + 00000095 => x"30200073", + 00000096 => x"00005537", + 00000097 => x"ff010113", + 00000098 => x"00000613", + 00000099 => x"00000593", + 00000100 => x"b0050513", + 00000101 => x"00112623", + 00000102 => x"088000ef", + 00000103 => x"780000ef", + 00000104 => x"00050c63", + 00000105 => x"730000ef", + 00000106 => x"00001537", + 00000107 => x"ac850513", + 00000108 => x"134000ef", + 00000109 => x"020000ef", + 00000110 => x"00001537", + 00000111 => x"aa450513", + 00000112 => x"124000ef", + 00000113 => x"00c12083", + 00000114 => x"00100513", + 00000115 => x"01010113", + 00000116 => x"00008067", + 00000117 => x"ff010113", + 00000118 => x"00000513", + 00000119 => x"00000593", + 00000120 => x"00112623", + 00000121 => x"00812423", + 00000122 => x"744000ef", + 00000123 => x"00000513", + 00000124 => x"00150413", + 00000125 => x"00000593", + 00000126 => x"0ff57513", + 00000127 => x"730000ef", + 00000128 => x"0c800513", + 00000129 => x"164000ef", + 00000130 => x"00040513", + 00000131 => x"fe5ff06f", + 00000132 => x"fe802503", + 00000133 => x"01255513", + 00000134 => x"00157513", + 00000135 => x"00008067", + 00000136 => x"ff010113", + 00000137 => x"00812423", + 00000138 => x"00912223", + 00000139 => x"00112623", + 00000140 => x"fa002023", + 00000141 => x"fe002783", + 00000142 => x"00058413", + 00000143 => x"00151593", + 00000144 => x"00078513", + 00000145 => x"00060493", + 00000146 => x"7b0000ef", + 00000147 => x"01051513", + 00000148 => x"000017b7", + 00000149 => x"01055513", + 00000150 => x"00000713", + 00000151 => x"ffe78793", + 00000152 => x"04a7e463", + 00000153 => x"0034f793", + 00000154 => x"00347413", + 00000155 => x"fff50513", + 00000156 => x"01479793", + 00000157 => x"01641413", + 00000158 => x"00f567b3", + 00000159 => x"0087e7b3", + 00000160 => x"01871713", + 00000161 => x"00c12083", + 00000162 => x"00812403", + 00000163 => x"00e7e7b3", + 00000164 => x"10000737", + 00000165 => x"00e7e7b3", + 00000166 => x"faf02023", + 00000167 => x"00412483", + 00000168 => x"01010113", + 00000169 => x"00008067", + 00000170 => x"ffe70693", + 00000171 => x"0fd6f693", + 00000172 => x"00069a63", + 00000173 => x"00355513", + 00000174 => x"00170713", + 00000175 => x"0ff77713", + 00000176 => x"fa1ff06f", + 00000177 => x"00155513", + 00000178 => x"ff1ff06f", + 00000179 => x"00040737", + 00000180 => x"fa002783", + 00000181 => x"00e7f7b3", + 00000182 => x"fe079ce3", + 00000183 => x"faa02223", + 00000184 => x"00008067", + 00000185 => x"ff010113", + 00000186 => x"00812423", + 00000187 => x"01212023", + 00000188 => x"00112623", + 00000189 => x"00912223", + 00000190 => x"00050413", + 00000191 => x"00a00913", + 00000192 => x"00044483", + 00000193 => x"00140413", + 00000194 => x"00049e63", + 00000195 => x"00c12083", + 00000196 => x"00812403", + 00000197 => x"00412483", + 00000198 => x"00012903", + 00000199 => x"01010113", + 00000200 => x"00008067", + 00000201 => x"01249663", + 00000202 => x"00d00513", + 00000203 => x"fa1ff0ef", + 00000204 => x"00048513", + 00000205 => x"f99ff0ef", + 00000206 => x"fc9ff06f", + 00000207 => x"ff010113", + 00000208 => x"c81026f3", + 00000209 => x"c0102773", + 00000210 => x"c81027f3", + 00000211 => x"fed79ae3", + 00000212 => x"00e12023", + 00000213 => x"00f12223", + 00000214 => x"00012503", + 00000215 => x"00412583", + 00000216 => x"01010113", + 00000217 => x"00008067", + 00000218 => x"fd010113", + 00000219 => x"00a12623", + 00000220 => x"fe002503", + 00000221 => x"3e800593", + 00000222 => x"02112623", + 00000223 => x"02812423", + 00000224 => x"02912223", + 00000225 => x"03212023", + 00000226 => x"01312e23", + 00000227 => x"66c000ef", + 00000228 => x"00c12603", + 00000229 => x"00000693", + 00000230 => x"00000593", + 00000231 => x"5c4000ef", + 00000232 => x"00050413", + 00000233 => x"00058993", + 00000234 => x"f95ff0ef", + 00000235 => x"00058913", + 00000236 => x"00050493", + 00000237 => x"f89ff0ef", + 00000238 => x"00b96663", + 00000239 => x"05259263", + 00000240 => x"04a4f063", + 00000241 => x"008484b3", + 00000242 => x"0084b433", + 00000243 => x"01390933", + 00000244 => x"01240433", + 00000245 => x"f69ff0ef", + 00000246 => x"fe85eee3", + 00000247 => x"00b41463", + 00000248 => x"fe956ae3", + 00000249 => x"02c12083", + 00000250 => x"02812403", + 00000251 => x"02412483", + 00000252 => x"02012903", + 00000253 => x"01c12983", + 00000254 => x"03010113", + 00000255 => x"00008067", + 00000256 => x"01c99913", + 00000257 => x"00445413", + 00000258 => x"00896433", + 00000259 => x"00040a63", + 00000260 => x"00040863", + 00000261 => x"fff40413", + 00000262 => x"00000013", + 00000263 => x"ff1ff06f", + 00000264 => x"fc5ff06f", + 00000265 => x"00000000", + 00000266 => x"00000000", + 00000267 => x"00000000", + 00000268 => x"fc010113", + 00000269 => x"02112e23", + 00000270 => x"02512c23", + 00000271 => x"02612a23", + 00000272 => x"02712823", + 00000273 => x"02a12623", + 00000274 => x"02b12423", + 00000275 => x"02c12223", + 00000276 => x"02d12023", + 00000277 => x"00e12e23", + 00000278 => x"00f12c23", + 00000279 => x"01012a23", + 00000280 => x"01112823", + 00000281 => x"01c12623", + 00000282 => x"01d12423", + 00000283 => x"01e12223", + 00000284 => x"01f12023", + 00000285 => x"34102773", + 00000286 => x"34071073", + 00000287 => x"342027f3", + 00000288 => x"0807c863", + 00000289 => x"00071683", + 00000290 => x"00300593", + 00000291 => x"0036f693", + 00000292 => x"00270613", + 00000293 => x"00b69463", + 00000294 => x"00470613", + 00000295 => x"34161073", + 00000296 => x"00b00713", + 00000297 => x"04f77a63", + 00000298 => x"6ac00793", + 00000299 => x"000780e7", + 00000300 => x"03c12083", + 00000301 => x"03812283", + 00000302 => x"03412303", + 00000303 => x"03012383", + 00000304 => x"02c12503", + 00000305 => x"02812583", + 00000306 => x"02412603", + 00000307 => x"02012683", + 00000308 => x"01c12703", + 00000309 => x"01812783", + 00000310 => x"01412803", + 00000311 => x"01012883", + 00000312 => x"00c12e03", + 00000313 => x"00812e83", + 00000314 => x"00412f03", + 00000315 => x"00012f83", + 00000316 => x"04010113", + 00000317 => x"30200073", + 00000318 => x"00001737", + 00000319 => x"00279793", + 00000320 => x"ae470713", + 00000321 => x"00e787b3", + 00000322 => x"0007a783", + 00000323 => x"00078067", + 00000324 => x"80000737", + 00000325 => x"ffd74713", + 00000326 => x"00e787b3", + 00000327 => x"01c00713", + 00000328 => x"f8f764e3", + 00000329 => x"00001737", + 00000330 => x"00279793", + 00000331 => x"b1470713", + 00000332 => x"00e787b3", + 00000333 => x"0007a783", + 00000334 => x"00078067", + 00000335 => x"800007b7", + 00000336 => x"0007a783", + 00000337 => x"f69ff06f", + 00000338 => x"800007b7", + 00000339 => x"0047a783", + 00000340 => x"f5dff06f", + 00000341 => x"800007b7", + 00000342 => x"0087a783", + 00000343 => x"f51ff06f", + 00000344 => x"800007b7", + 00000345 => x"00c7a783", + 00000346 => x"f45ff06f", + 00000347 => x"8101a783", + 00000348 => x"f3dff06f", + 00000349 => x"8141a783", + 00000350 => x"f35ff06f", + 00000351 => x"8181a783", + 00000352 => x"f2dff06f", + 00000353 => x"81c1a783", + 00000354 => x"f25ff06f", + 00000355 => x"8201a783", + 00000356 => x"f1dff06f", + 00000357 => x"8241a783", + 00000358 => x"f15ff06f", + 00000359 => x"8281a783", + 00000360 => x"f0dff06f", + 00000361 => x"82c1a783", + 00000362 => x"f05ff06f", + 00000363 => x"8301a783", + 00000364 => x"efdff06f", + 00000365 => x"8341a783", + 00000366 => x"ef5ff06f", + 00000367 => x"8381a783", + 00000368 => x"eedff06f", + 00000369 => x"83c1a783", + 00000370 => x"ee5ff06f", + 00000371 => x"8401a783", + 00000372 => x"eddff06f", + 00000373 => x"8441a783", + 00000374 => x"ed5ff06f", + 00000375 => x"8481a783", + 00000376 => x"ecdff06f", + 00000377 => x"84c1a783", + 00000378 => x"ec5ff06f", + 00000379 => x"8501a783", + 00000380 => x"ebdff06f", + 00000381 => x"8541a783", + 00000382 => x"eb5ff06f", + 00000383 => x"8581a783", + 00000384 => x"eadff06f", + 00000385 => x"85c1a783", + 00000386 => x"ea5ff06f", + 00000387 => x"8601a783", + 00000388 => x"e9dff06f", + 00000389 => x"8641a783", + 00000390 => x"e95ff06f", + 00000391 => x"8681a783", + 00000392 => x"e8dff06f", + 00000393 => x"86c1a783", + 00000394 => x"e85ff06f", + 00000395 => x"8701a783", + 00000396 => x"e7dff06f", + 00000397 => x"00000000", + 00000398 => x"00000000", + 00000399 => x"fe010113", + 00000400 => x"01212823", + 00000401 => x"00050913", + 00000402 => x"00001537", + 00000403 => x"00912a23", + 00000404 => x"b8850513", + 00000405 => x"000014b7", + 00000406 => x"00812c23", + 00000407 => x"01312623", + 00000408 => x"00112e23", + 00000409 => x"01c00413", + 00000410 => x"c7dff0ef", + 00000411 => x"d7c48493", + 00000412 => x"ffc00993", + 00000413 => x"008957b3", + 00000414 => x"00f7f793", + 00000415 => x"00f487b3", + 00000416 => x"0007c503", + 00000417 => x"ffc40413", + 00000418 => x"c45ff0ef", + 00000419 => x"ff3414e3", + 00000420 => x"01c12083", + 00000421 => x"01812403", + 00000422 => x"01412483", + 00000423 => x"01012903", + 00000424 => x"00c12983", + 00000425 => x"02010113", + 00000426 => x"00008067", + 00000427 => x"ff010113", + 00000428 => x"00112623", + 00000429 => x"00812423", + 00000430 => x"00912223", + 00000431 => x"b55ff0ef", + 00000432 => x"1c050863", + 00000433 => x"00001537", + 00000434 => x"b8c50513", + 00000435 => x"c19ff0ef", + 00000436 => x"34202473", + 00000437 => x"00900713", + 00000438 => x"00f47793", + 00000439 => x"03078493", + 00000440 => x"00f77463", + 00000441 => x"05778493", + 00000442 => x"00b00793", + 00000443 => x"0087ee63", + 00000444 => x"00001737", + 00000445 => x"00241793", + 00000446 => x"d4c70713", + 00000447 => x"00e787b3", + 00000448 => x"0007a783", + 00000449 => x"00078067", + 00000450 => x"800007b7", + 00000451 => x"00b78713", + 00000452 => x"14e40e63", + 00000453 => x"02876a63", + 00000454 => x"00378713", + 00000455 => x"12e40c63", + 00000456 => x"00778793", + 00000457 => x"12f40e63", + 00000458 => x"00001537", + 00000459 => x"cec50513", + 00000460 => x"bb5ff0ef", + 00000461 => x"00040513", + 00000462 => x"f05ff0ef", + 00000463 => x"00100793", + 00000464 => x"08f40c63", + 00000465 => x"0280006f", + 00000466 => x"ff07c793", + 00000467 => x"00f407b3", + 00000468 => x"00f00713", + 00000469 => x"fcf76ae3", + 00000470 => x"00001537", + 00000471 => x"cdc50513", + 00000472 => x"b85ff0ef", + 00000473 => x"00048513", + 00000474 => x"b65ff0ef", + 00000475 => x"ffd47413", + 00000476 => x"00500793", + 00000477 => x"06f40263", + 00000478 => x"00001537", + 00000479 => x"d3050513", + 00000480 => x"b65ff0ef", + 00000481 => x"34002573", + 00000482 => x"eb5ff0ef", + 00000483 => x"00001537", + 00000484 => x"d3850513", + 00000485 => x"b51ff0ef", + 00000486 => x"34302573", + 00000487 => x"ea1ff0ef", + 00000488 => x"00812403", + 00000489 => x"00c12083", + 00000490 => x"00412483", + 00000491 => x"00001537", + 00000492 => x"d4450513", + 00000493 => x"01010113", + 00000494 => x"b2dff06f", + 00000495 => x"00001537", + 00000496 => x"b9450513", + 00000497 => x"b21ff0ef", + 00000498 => x"fb1ff06f", + 00000499 => x"00001537", + 00000500 => x"bb450513", + 00000501 => x"b11ff0ef", + 00000502 => x"f7c02783", + 00000503 => x"0a07d463", + 00000504 => x"0017f793", + 00000505 => x"08078a63", + 00000506 => x"00001537", + 00000507 => x"d0450513", + 00000508 => x"fd5ff06f", + 00000509 => x"00001537", + 00000510 => x"bd050513", + 00000511 => x"fc9ff06f", + 00000512 => x"00001537", + 00000513 => x"be450513", + 00000514 => x"fbdff06f", + 00000515 => x"00001537", + 00000516 => x"bf050513", + 00000517 => x"fb1ff06f", + 00000518 => x"00001537", + 00000519 => x"c0850513", + 00000520 => x"fb5ff06f", + 00000521 => x"00001537", + 00000522 => x"c1c50513", + 00000523 => x"f99ff06f", + 00000524 => x"00001537", + 00000525 => x"c3850513", + 00000526 => x"f9dff06f", + 00000527 => x"00001537", + 00000528 => x"c4c50513", + 00000529 => x"f81ff06f", + 00000530 => x"00001537", + 00000531 => x"c6c50513", + 00000532 => x"f75ff06f", + 00000533 => x"00001537", + 00000534 => x"c8c50513", + 00000535 => x"f69ff06f", + 00000536 => x"00001537", + 00000537 => x"ca850513", + 00000538 => x"f5dff06f", + 00000539 => x"00001537", + 00000540 => x"cc050513", + 00000541 => x"f51ff06f", + 00000542 => x"00001537", + 00000543 => x"d1450513", + 00000544 => x"f45ff06f", + 00000545 => x"00001537", + 00000546 => x"d2450513", + 00000547 => x"f39ff06f", + 00000548 => x"00c12083", + 00000549 => x"00812403", + 00000550 => x"00412483", + 00000551 => x"01010113", + 00000552 => x"00008067", + 00000553 => x"01f00793", + 00000554 => x"02a7e263", + 00000555 => x"800007b7", + 00000556 => x"00078793", + 00000557 => x"00251513", + 00000558 => x"00a78533", + 00000559 => x"6ac00793", + 00000560 => x"00f52023", + 00000561 => x"00000513", + 00000562 => x"00008067", + 00000563 => x"00100513", + 00000564 => x"00008067", + 00000565 => x"ff010113", + 00000566 => x"00112623", + 00000567 => x"00812423", + 00000568 => x"00912223", + 00000569 => x"43000793", + 00000570 => x"30579073", + 00000571 => x"00000413", + 00000572 => x"01d00493", + 00000573 => x"00040513", + 00000574 => x"00140413", + 00000575 => x"0ff47413", + 00000576 => x"fa5ff0ef", + 00000577 => x"fe9418e3", + 00000578 => x"00c12083", + 00000579 => x"00812403", + 00000580 => x"00412483", + 00000581 => x"01010113", + 00000582 => x"00008067", + 00000583 => x"fe802503", + 00000584 => x"01055513", + 00000585 => x"00157513", + 00000586 => x"00008067", + 00000587 => x"fc000793", + 00000588 => x"00a7a423", + 00000589 => x"00b7a623", + 00000590 => x"00008067", + 00000591 => x"00050613", + 00000592 => x"00000513", + 00000593 => x"0015f693", + 00000594 => x"00068463", + 00000595 => x"00c50533", + 00000596 => x"0015d593", + 00000597 => x"00161613", + 00000598 => x"fe0596e3", + 00000599 => x"00008067", + 00000600 => x"00050313", + 00000601 => x"ff010113", + 00000602 => x"00060513", + 00000603 => x"00068893", + 00000604 => x"00112623", + 00000605 => x"00030613", + 00000606 => x"00050693", + 00000607 => x"00000713", + 00000608 => x"00000793", + 00000609 => x"00000813", + 00000610 => x"0016fe13", + 00000611 => x"00171e93", + 00000612 => x"000e0c63", + 00000613 => x"01060e33", + 00000614 => x"010e3833", + 00000615 => x"00e787b3", + 00000616 => x"00f807b3", + 00000617 => x"000e0813", + 00000618 => x"01f65713", + 00000619 => x"0016d693", + 00000620 => x"00eee733", + 00000621 => x"00161613", + 00000622 => x"fc0698e3", + 00000623 => x"00058663", + 00000624 => x"f7dff0ef", + 00000625 => x"00a787b3", + 00000626 => x"00088a63", + 00000627 => x"00030513", + 00000628 => x"00088593", + 00000629 => x"f69ff0ef", + 00000630 => x"00f507b3", + 00000631 => x"00c12083", + 00000632 => x"00080513", + 00000633 => x"00078593", + 00000634 => x"01010113", + 00000635 => x"00008067", + 00000636 => x"06054063", + 00000637 => x"0605c663", + 00000638 => x"00058613", + 00000639 => x"00050593", + 00000640 => x"fff00513", + 00000641 => x"02060c63", + 00000642 => x"00100693", + 00000643 => x"00b67a63", + 00000644 => x"00c05863", + 00000645 => x"00161613", + 00000646 => x"00169693", + 00000647 => x"feb66ae3", + 00000648 => x"00000513", + 00000649 => x"00c5e663", + 00000650 => x"40c585b3", + 00000651 => x"00d56533", + 00000652 => x"0016d693", + 00000653 => x"00165613", + 00000654 => x"fe0696e3", + 00000655 => x"00008067", + 00000656 => x"00008293", + 00000657 => x"fb5ff0ef", + 00000658 => x"00058513", + 00000659 => x"00028067", + 00000660 => x"40a00533", + 00000661 => x"00b04863", + 00000662 => x"40b005b3", + 00000663 => x"f9dff06f", + 00000664 => x"40b005b3", + 00000665 => x"00008293", + 00000666 => x"f91ff0ef", + 00000667 => x"40a00533", + 00000668 => x"00028067", + 00000669 => x"00008293", + 00000670 => x"0005ca63", + 00000671 => x"00054c63", + 00000672 => x"f79ff0ef", + 00000673 => x"00058513", + 00000674 => x"00028067", + 00000675 => x"40b005b3", + 00000676 => x"fe0558e3", + 00000677 => x"40a00533", + 00000678 => x"f61ff0ef", + 00000679 => x"40b00533", + 00000680 => x"00028067", + 00000681 => x"6f727245", + 00000682 => x"4e202172", + 00000683 => x"5047206f", + 00000684 => x"75204f49", + 00000685 => x"2074696e", + 00000686 => x"746e7973", + 00000687 => x"69736568", + 00000688 => x"2164657a", + 00000689 => x"0000000a", + 00000690 => x"6e696c42", + 00000691 => x"676e696b", + 00000692 => x"44454c20", + 00000693 => x"6d656420", + 00000694 => x"7270206f", + 00000695 => x"6172676f", + 00000696 => x"00000a6d", + 00000697 => x"0000053c", + 00000698 => x"00000548", + 00000699 => x"00000554", + 00000700 => x"00000560", + 00000701 => x"0000056c", + 00000702 => x"00000574", + 00000703 => x"0000057c", + 00000704 => x"00000584", + 00000705 => x"0000058c", + 00000706 => x"000004a8", + 00000707 => x"000004a8", + 00000708 => x"00000594", + 00000709 => x"0000059c", + 00000710 => x"000004a8", + 00000711 => x"000004a8", + 00000712 => x"000004a8", + 00000713 => x"000005a4", + 00000714 => x"000004a8", + 00000715 => x"000004a8", + 00000716 => x"000004a8", + 00000717 => x"000005ac", + 00000718 => x"000004a8", + 00000719 => x"000004a8", + 00000720 => x"000004a8", + 00000721 => x"000004a8", + 00000722 => x"000005b4", + 00000723 => x"000005bc", + 00000724 => x"000005c4", + 00000725 => x"000005cc", + 00000726 => x"000005d4", + 00000727 => x"000005dc", + 00000728 => x"000005e4", + 00000729 => x"000005ec", + 00000730 => x"000005f4", + 00000731 => x"000005fc", + 00000732 => x"00000604", + 00000733 => x"0000060c", + 00000734 => x"00000614", + 00000735 => x"0000061c", + 00000736 => x"00000624", + 00000737 => x"0000062c", + 00000738 => x"00007830", + 00000739 => x"4554523c", + 00000740 => x"0000203e", + 00000741 => x"74736e49", + 00000742 => x"74637572", + 00000743 => x"206e6f69", + 00000744 => x"72646461", + 00000745 => x"20737365", + 00000746 => x"6173696d", + 00000747 => x"6e67696c", + 00000748 => x"00006465", + 00000749 => x"74736e49", + 00000750 => x"74637572", + 00000751 => x"206e6f69", + 00000752 => x"65636361", + 00000753 => x"66207373", + 00000754 => x"746c7561", + 00000755 => x"00000000", + 00000756 => x"656c6c49", + 00000757 => x"206c6167", + 00000758 => x"74736e69", + 00000759 => x"74637572", + 00000760 => x"006e6f69", + 00000761 => x"61657242", + 00000762 => x"696f706b", + 00000763 => x"0000746e", + 00000764 => x"64616f4c", + 00000765 => x"64646120", + 00000766 => x"73736572", + 00000767 => x"73696d20", + 00000768 => x"67696c61", + 00000769 => x"0064656e", + 00000770 => x"64616f4c", + 00000771 => x"63636120", + 00000772 => x"20737365", + 00000773 => x"6c756166", + 00000774 => x"00000074", + 00000775 => x"726f7453", + 00000776 => x"64612065", + 00000777 => x"73657264", + 00000778 => x"696d2073", + 00000779 => x"696c6173", + 00000780 => x"64656e67", + 00000781 => x"00000000", + 00000782 => x"726f7453", + 00000783 => x"63612065", + 00000784 => x"73736563", + 00000785 => x"75616620", + 00000786 => x"0000746c", + 00000787 => x"69766e45", + 00000788 => x"6d6e6f72", + 00000789 => x"20746e65", + 00000790 => x"6c6c6163", + 00000791 => x"6f726620", + 00000792 => x"2d55206d", + 00000793 => x"65646f6d", + 00000794 => x"00000000", + 00000795 => x"69766e45", + 00000796 => x"6d6e6f72", + 00000797 => x"20746e65", + 00000798 => x"6c6c6163", + 00000799 => x"6f726620", + 00000800 => x"2d4d206d", + 00000801 => x"65646f6d", + 00000802 => x"00000000", + 00000803 => x"6863614d", + 00000804 => x"20656e69", + 00000805 => x"74666f73", + 00000806 => x"65726177", + 00000807 => x"746e6920", + 00000808 => x"75727265", + 00000809 => x"00007470", + 00000810 => x"6863614d", + 00000811 => x"20656e69", + 00000812 => x"656d6974", + 00000813 => x"6e692072", + 00000814 => x"72726574", + 00000815 => x"00747075", + 00000816 => x"6863614d", + 00000817 => x"20656e69", + 00000818 => x"65747865", + 00000819 => x"6c616e72", + 00000820 => x"746e6920", + 00000821 => x"75727265", + 00000822 => x"00007470", + 00000823 => x"74736146", + 00000824 => x"746e6920", + 00000825 => x"75727265", + 00000826 => x"00207470", + 00000827 => x"6e6b6e55", + 00000828 => x"206e776f", + 00000829 => x"70617274", + 00000830 => x"75616320", + 00000831 => x"203a6573", + 00000832 => x"00000000", + 00000833 => x"49545b20", + 00000834 => x"554f454d", + 00000835 => x"52455f54", + 00000836 => x"00005d52", + 00000837 => x"45445b20", + 00000838 => x"45434956", + 00000839 => x"5252455f", + 00000840 => x"0000005d", + 00000841 => x"4d505b20", + 00000842 => x"52455f50", + 00000843 => x"00005d52", + 00000844 => x"50204020", + 00000845 => x"00003d43", + 00000846 => x"544d202c", + 00000847 => x"3d4c4156", + 00000848 => x"00000000", + 00000849 => x"522f3c20", + 00000850 => x"003e4554", + 00000851 => x"000007bc", + 00000852 => x"000007cc", + 00000853 => x"000007f4", + 00000854 => x"00000800", + 00000855 => x"0000080c", + 00000856 => x"00000818", + 00000857 => x"00000824", + 00000858 => x"00000830", + 00000859 => x"0000083c", + 00000860 => x"00000728", + 00000861 => x"00000728", + 00000862 => x"00000848", + 00000863 => x"33323130", + 00000864 => x"37363534", + 00000865 => x"42413938", + 00000866 => x"46454443" + ); + +end neorv32_application_image; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_boot_rom.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_boot_rom.vhd new file mode 100644 index 0000000..f80f20b --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_boot_rom.vhd @@ -0,0 +1,106 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal bootloader ROM (BOOTROM) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_bootloader_image.all; -- this file is generated by the image generator + +entity neorv32_boot_rom is + generic ( + BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); +end neorv32_boot_rom; + +architecture neorv32_boot_rom_rtl of neorv32_boot_rom is + + -- determine required ROM size in bytes (expand to next power of two) -- + constant boot_rom_size_index_c : natural := index_size_f((bootloader_init_image'length)); -- address with (32-bit entries) + constant boot_rom_size_c : natural := (2**boot_rom_size_index_c)*4; -- size in bytes + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(boot_rom_max_size_c); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rden : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal addr : std_ulogic_vector(boot_rom_size_index_c-1 downto 0); + + -- ROM - initialized with executable code -- + constant mem_rom : mem32_t(0 to boot_rom_size_c/4-1) := mem32_init_f(bootloader_init_image, boot_rom_size_c/4); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (" & natural'image(boot_rom_size_c) & " bytes)." severity note; + assert not (boot_rom_size_c > boot_rom_max_size_c) report "NEORV32 PROCESSOR CONFIG ERROR! Boot ROM size out of range! Max "& natural'image(boot_rom_max_size_c) & " bytes." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = BOOTROM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(boot_rom_size_index_c+1 downto 2); -- word aligned + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_file_access: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= rden_i and acc_en; + if (acc_en = '1') then -- reduce switching activity when not accessed + rdata <= mem_rom(to_integer(unsigned(addr))); + end if; + end if; + end process mem_file_access; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + ack_o <= rden; + + +end neorv32_boot_rom_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_bootloader_image.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_bootloader_image.vhd new file mode 100644 index 0000000..f199802 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_bootloader_image.vhd @@ -0,0 +1,1026 @@ +-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32 +-- Auto-generated memory init file (for BOOTLOADER) from source file +-- Size: 4040 bytes + +library ieee; +use ieee.std_logic_1164.all; + +library neorv32; +use neorv32.neorv32_package.all; + +package neorv32_bootloader_image is + + constant bootloader_init_image : mem32_t := ( + 00000000 => x"00000037", + 00000001 => x"80010117", + 00000002 => x"1f810113", + 00000003 => x"80010197", + 00000004 => x"7f418193", + 00000005 => x"00000517", + 00000006 => x"0d450513", + 00000007 => x"30551073", + 00000008 => x"34151073", + 00000009 => x"30001073", + 00000010 => x"30401073", + 00000011 => x"30601073", + 00000012 => x"ffa00593", + 00000013 => x"32059073", + 00000014 => x"b0001073", + 00000015 => x"b8001073", + 00000016 => x"b0201073", + 00000017 => x"b8201073", + 00000018 => x"00000093", + 00000019 => x"00000213", + 00000020 => x"00000293", + 00000021 => x"00000313", + 00000022 => x"00000393", + 00000023 => x"00000713", + 00000024 => x"00000793", + 00000025 => x"00010417", + 00000026 => x"d9c40413", + 00000027 => x"00010497", + 00000028 => x"f9448493", + 00000029 => x"00042023", + 00000030 => x"00440413", + 00000031 => x"fe941ce3", + 00000032 => x"80010597", + 00000033 => x"f8058593", + 00000034 => x"80818613", + 00000035 => x"00c5d863", + 00000036 => x"00058023", + 00000037 => x"00158593", + 00000038 => x"ff5ff06f", + 00000039 => x"00001597", + 00000040 => x"f2c58593", + 00000041 => x"80010617", + 00000042 => x"f5c60613", + 00000043 => x"80010697", + 00000044 => x"f5468693", + 00000045 => x"00d65c63", + 00000046 => x"00058703", + 00000047 => x"00e60023", + 00000048 => x"00158593", + 00000049 => x"00160613", + 00000050 => x"fedff06f", + 00000051 => x"00000513", + 00000052 => x"00000593", + 00000053 => x"060000ef", + 00000054 => x"34051073", + 00000055 => x"30047073", + 00000056 => x"10500073", + 00000057 => x"ffdff06f", + 00000058 => x"ff810113", + 00000059 => x"00812023", + 00000060 => x"00912223", + 00000061 => x"34202473", + 00000062 => x"02044663", + 00000063 => x"34102473", + 00000064 => x"00041483", + 00000065 => x"0034f493", + 00000066 => x"00240413", + 00000067 => x"34141073", + 00000068 => x"00300413", + 00000069 => x"00941863", + 00000070 => x"34102473", + 00000071 => x"00240413", + 00000072 => x"34141073", + 00000073 => x"00012403", + 00000074 => x"00412483", + 00000075 => x"00810113", + 00000076 => x"30200073", + 00000077 => x"fd010113", + 00000078 => x"02912223", + 00000079 => x"800004b7", + 00000080 => x"00048793", + 00000081 => x"02112623", + 00000082 => x"02812423", + 00000083 => x"03212023", + 00000084 => x"01312e23", + 00000085 => x"01412c23", + 00000086 => x"01512a23", + 00000087 => x"01612823", + 00000088 => x"01712623", + 00000089 => x"01812423", + 00000090 => x"01912223", + 00000091 => x"0007a023", + 00000092 => x"8001a223", + 00000093 => x"ffff07b7", + 00000094 => x"4ac78793", + 00000095 => x"30579073", + 00000096 => x"00000693", + 00000097 => x"00000613", + 00000098 => x"00000593", + 00000099 => x"00200513", + 00000100 => x"369000ef", + 00000101 => x"3fd000ef", + 00000102 => x"00048493", + 00000103 => x"00050863", + 00000104 => x"00100513", + 00000105 => x"00000593", + 00000106 => x"429000ef", + 00000107 => x"00005537", + 00000108 => x"00000613", + 00000109 => x"00000593", + 00000110 => x"b0050513", + 00000111 => x"1d9000ef", + 00000112 => x"19d000ef", + 00000113 => x"02050663", + 00000114 => x"305000ef", + 00000115 => x"fe002783", + 00000116 => x"0027d793", + 00000117 => x"00a78533", + 00000118 => x"00f537b3", + 00000119 => x"00b785b3", + 00000120 => x"18d000ef", + 00000121 => x"08000793", + 00000122 => x"30479073", + 00000123 => x"30046073", + 00000124 => x"ffff1537", + 00000125 => x"ef850513", + 00000126 => x"27d000ef", + 00000127 => x"f1302573", + 00000128 => x"23c000ef", + 00000129 => x"ffff1537", + 00000130 => x"f3050513", + 00000131 => x"269000ef", + 00000132 => x"fe002503", + 00000133 => x"228000ef", + 00000134 => x"ffff1537", + 00000135 => x"f3850513", + 00000136 => x"255000ef", + 00000137 => x"30102573", + 00000138 => x"214000ef", + 00000139 => x"ffff1537", + 00000140 => x"f4050513", + 00000141 => x"241000ef", + 00000142 => x"fe402503", + 00000143 => x"ffff1437", + 00000144 => x"1fc000ef", + 00000145 => x"ffff1537", + 00000146 => x"f4850513", + 00000147 => x"229000ef", + 00000148 => x"fe802503", + 00000149 => x"1e8000ef", + 00000150 => x"ffff1537", + 00000151 => x"f5050513", + 00000152 => x"215000ef", + 00000153 => x"ff802503", + 00000154 => x"1d4000ef", + 00000155 => x"f5840513", + 00000156 => x"205000ef", + 00000157 => x"ff002503", + 00000158 => x"1c4000ef", + 00000159 => x"ffff1537", + 00000160 => x"f6450513", + 00000161 => x"1f1000ef", + 00000162 => x"ffc02503", + 00000163 => x"1b0000ef", + 00000164 => x"f5840513", + 00000165 => x"1e1000ef", + 00000166 => x"ff402503", + 00000167 => x"1a0000ef", + 00000168 => x"0bd000ef", + 00000169 => x"06050663", + 00000170 => x"ffff1537", + 00000171 => x"f6c50513", + 00000172 => x"1c5000ef", + 00000173 => x"219000ef", + 00000174 => x"fe002403", + 00000175 => x"00341413", + 00000176 => x"00a40933", + 00000177 => x"00893433", + 00000178 => x"00b40433", + 00000179 => x"0b9000ef", + 00000180 => x"02051663", + 00000181 => x"1f9000ef", + 00000182 => x"fe85eae3", + 00000183 => x"00b41463", + 00000184 => x"ff2566e3", + 00000185 => x"00100513", + 00000186 => x"4c8000ef", + 00000187 => x"ffff1537", + 00000188 => x"f9450513", + 00000189 => x"181000ef", + 00000190 => x"0d4000ef", + 00000191 => x"16d000ef", + 00000192 => x"fc050ae3", + 00000193 => x"ffff1537", + 00000194 => x"f9850513", + 00000195 => x"169000ef", + 00000196 => x"0b0000ef", + 00000197 => x"ffff19b7", + 00000198 => x"ffff1a37", + 00000199 => x"07200a93", + 00000200 => x"06800b13", + 00000201 => x"07500b93", + 00000202 => x"07300c13", + 00000203 => x"ffff1937", + 00000204 => x"ffff1cb7", + 00000205 => x"fa498513", + 00000206 => x"13d000ef", + 00000207 => x"11d000ef", + 00000208 => x"00050413", + 00000209 => x"0e1000ef", + 00000210 => x"f94a0513", + 00000211 => x"129000ef", + 00000212 => x"0ed000ef", + 00000213 => x"fe051ee3", + 00000214 => x"01541863", + 00000215 => x"ffff02b7", + 00000216 => x"00028067", + 00000217 => x"fd1ff06f", + 00000218 => x"01641663", + 00000219 => x"054000ef", + 00000220 => x"fc5ff06f", + 00000221 => x"01741663", + 00000222 => x"438000ef", + 00000223 => x"fb9ff06f", + 00000224 => x"01841663", + 00000225 => x"65c000ef", + 00000226 => x"fadff06f", + 00000227 => x"06c00793", + 00000228 => x"00f41663", + 00000229 => x"00100513", + 00000230 => x"fe1ff06f", + 00000231 => x"06500793", + 00000232 => x"00f41c63", + 00000233 => x"0004a783", + 00000234 => x"f40798e3", + 00000235 => x"ea0c8513", + 00000236 => x"0c5000ef", + 00000237 => x"f81ff06f", + 00000238 => x"fac90513", + 00000239 => x"ff5ff06f", + 00000240 => x"ffff1537", + 00000241 => x"de050513", + 00000242 => x"0ad0006f", + 00000243 => x"ff010113", + 00000244 => x"00112623", + 00000245 => x"30047073", + 00000246 => x"ffff1537", + 00000247 => x"e4450513", + 00000248 => x"095000ef", + 00000249 => x"059000ef", + 00000250 => x"fe051ee3", + 00000251 => x"ff002783", + 00000252 => x"00078067", + 00000253 => x"0000006f", + 00000254 => x"ff010113", + 00000255 => x"00812423", + 00000256 => x"00050413", + 00000257 => x"ffff1537", + 00000258 => x"e5450513", + 00000259 => x"00112623", + 00000260 => x"065000ef", + 00000261 => x"03040513", + 00000262 => x"0ff57513", + 00000263 => x"009000ef", + 00000264 => x"30047073", + 00000265 => x"16d000ef", + 00000266 => x"00050863", + 00000267 => x"00100513", + 00000268 => x"00000593", + 00000269 => x"19d000ef", + 00000270 => x"0000006f", + 00000271 => x"fe010113", + 00000272 => x"01212823", + 00000273 => x"00050913", + 00000274 => x"ffff1537", + 00000275 => x"00912a23", + 00000276 => x"e6050513", + 00000277 => x"ffff14b7", + 00000278 => x"00812c23", + 00000279 => x"01312623", + 00000280 => x"00112e23", + 00000281 => x"01c00413", + 00000282 => x"00d000ef", + 00000283 => x"fb848493", + 00000284 => x"ffc00993", + 00000285 => x"008957b3", + 00000286 => x"00f7f793", + 00000287 => x"00f487b3", + 00000288 => x"0007c503", + 00000289 => x"ffc40413", + 00000290 => x"79c000ef", + 00000291 => x"ff3414e3", + 00000292 => x"01c12083", + 00000293 => x"01812403", + 00000294 => x"01412483", + 00000295 => x"01012903", + 00000296 => x"00c12983", + 00000297 => x"02010113", + 00000298 => x"00008067", + 00000299 => x"fb010113", + 00000300 => x"04112623", + 00000301 => x"04512423", + 00000302 => x"04612223", + 00000303 => x"04712023", + 00000304 => x"02812e23", + 00000305 => x"02912c23", + 00000306 => x"02a12a23", + 00000307 => x"02b12823", + 00000308 => x"02c12623", + 00000309 => x"02d12423", + 00000310 => x"02e12223", + 00000311 => x"02f12023", + 00000312 => x"01012e23", + 00000313 => x"01112c23", + 00000314 => x"01c12a23", + 00000315 => x"01d12823", + 00000316 => x"01e12623", + 00000317 => x"01f12423", + 00000318 => x"342024f3", + 00000319 => x"800007b7", + 00000320 => x"00778793", + 00000321 => x"08f49463", + 00000322 => x"089000ef", + 00000323 => x"00050663", + 00000324 => x"00000513", + 00000325 => x"08d000ef", + 00000326 => x"644000ef", + 00000327 => x"02050063", + 00000328 => x"7ac000ef", + 00000329 => x"fe002783", + 00000330 => x"0027d793", + 00000331 => x"00a78533", + 00000332 => x"00f537b3", + 00000333 => x"00b785b3", + 00000334 => x"634000ef", + 00000335 => x"03c12403", + 00000336 => x"04c12083", + 00000337 => x"04812283", + 00000338 => x"04412303", + 00000339 => x"04012383", + 00000340 => x"03812483", + 00000341 => x"03412503", + 00000342 => x"03012583", + 00000343 => x"02c12603", + 00000344 => x"02812683", + 00000345 => x"02412703", + 00000346 => x"02012783", + 00000347 => x"01c12803", + 00000348 => x"01812883", + 00000349 => x"01412e03", + 00000350 => x"01012e83", + 00000351 => x"00c12f03", + 00000352 => x"00812f83", + 00000353 => x"05010113", + 00000354 => x"30200073", + 00000355 => x"00700793", + 00000356 => x"00f49a63", + 00000357 => x"8041a783", + 00000358 => x"00078663", + 00000359 => x"00100513", + 00000360 => x"e59ff0ef", + 00000361 => x"34102473", + 00000362 => x"5dc000ef", + 00000363 => x"04050263", + 00000364 => x"ffff1537", + 00000365 => x"e6450513", + 00000366 => x"6bc000ef", + 00000367 => x"00048513", + 00000368 => x"e7dff0ef", + 00000369 => x"02000513", + 00000370 => x"65c000ef", + 00000371 => x"00040513", + 00000372 => x"e6dff0ef", + 00000373 => x"02000513", + 00000374 => x"64c000ef", + 00000375 => x"34302573", + 00000376 => x"e5dff0ef", + 00000377 => x"ffff1537", + 00000378 => x"e6c50513", + 00000379 => x"688000ef", + 00000380 => x"00440413", + 00000381 => x"34141073", + 00000382 => x"f45ff06f", + 00000383 => x"ff010113", + 00000384 => x"00000513", + 00000385 => x"00112623", + 00000386 => x"00812423", + 00000387 => x"72c000ef", + 00000388 => x"09e00513", + 00000389 => x"768000ef", + 00000390 => x"00000513", + 00000391 => x"760000ef", + 00000392 => x"00050413", + 00000393 => x"00000513", + 00000394 => x"730000ef", + 00000395 => x"00c12083", + 00000396 => x"0ff47513", + 00000397 => x"00812403", + 00000398 => x"01010113", + 00000399 => x"00008067", + 00000400 => x"ff010113", + 00000401 => x"00112623", + 00000402 => x"00812423", + 00000403 => x"00000513", + 00000404 => x"6e8000ef", + 00000405 => x"00500513", + 00000406 => x"724000ef", + 00000407 => x"00000513", + 00000408 => x"71c000ef", + 00000409 => x"00050413", + 00000410 => x"00147413", + 00000411 => x"00000513", + 00000412 => x"6e8000ef", + 00000413 => x"fc041ce3", + 00000414 => x"00c12083", + 00000415 => x"00812403", + 00000416 => x"01010113", + 00000417 => x"00008067", + 00000418 => x"ff010113", + 00000419 => x"00000513", + 00000420 => x"00112623", + 00000421 => x"6a4000ef", + 00000422 => x"00600513", + 00000423 => x"6e0000ef", + 00000424 => x"00c12083", + 00000425 => x"00000513", + 00000426 => x"01010113", + 00000427 => x"6ac0006f", + 00000428 => x"ff010113", + 00000429 => x"00812423", + 00000430 => x"00050413", + 00000431 => x"01055513", + 00000432 => x"0ff57513", + 00000433 => x"00112623", + 00000434 => x"6b4000ef", + 00000435 => x"00845513", + 00000436 => x"0ff57513", + 00000437 => x"6a8000ef", + 00000438 => x"0ff47513", + 00000439 => x"00812403", + 00000440 => x"00c12083", + 00000441 => x"01010113", + 00000442 => x"6940006f", + 00000443 => x"ff010113", + 00000444 => x"00812423", + 00000445 => x"00050413", + 00000446 => x"00000513", + 00000447 => x"00112623", + 00000448 => x"638000ef", + 00000449 => x"00300513", + 00000450 => x"674000ef", + 00000451 => x"00040513", + 00000452 => x"fa1ff0ef", + 00000453 => x"00000513", + 00000454 => x"664000ef", + 00000455 => x"00050413", + 00000456 => x"00000513", + 00000457 => x"634000ef", + 00000458 => x"00c12083", + 00000459 => x"0ff47513", + 00000460 => x"00812403", + 00000461 => x"01010113", + 00000462 => x"00008067", + 00000463 => x"fd010113", + 00000464 => x"02812423", + 00000465 => x"02912223", + 00000466 => x"03212023", + 00000467 => x"01312e23", + 00000468 => x"02112623", + 00000469 => x"00050993", + 00000470 => x"00058493", + 00000471 => x"00c10913", + 00000472 => x"00358413", + 00000473 => x"04099063", + 00000474 => x"4f0000ef", + 00000475 => x"00a90023", + 00000476 => x"fff40793", + 00000477 => x"00190913", + 00000478 => x"02849263", + 00000479 => x"02c12083", + 00000480 => x"02812403", + 00000481 => x"00c12503", + 00000482 => x"02412483", + 00000483 => x"02012903", + 00000484 => x"01c12983", + 00000485 => x"03010113", + 00000486 => x"00008067", + 00000487 => x"00078413", + 00000488 => x"fc5ff06f", + 00000489 => x"00040513", + 00000490 => x"f45ff0ef", + 00000491 => x"fc1ff06f", + 00000492 => x"fd010113", + 00000493 => x"01412c23", + 00000494 => x"02812423", + 00000495 => x"80418793", + 00000496 => x"02112623", + 00000497 => x"02912223", + 00000498 => x"03212023", + 00000499 => x"01312e23", + 00000500 => x"01512a23", + 00000501 => x"01612823", + 00000502 => x"01712623", + 00000503 => x"01812423", + 00000504 => x"00100713", + 00000505 => x"00e7a023", + 00000506 => x"00050413", + 00000507 => x"80418a13", + 00000508 => x"02051863", + 00000509 => x"ffff1537", + 00000510 => x"e7050513", + 00000511 => x"478000ef", + 00000512 => x"080005b7", + 00000513 => x"00040513", + 00000514 => x"f35ff0ef", + 00000515 => x"4788d7b7", + 00000516 => x"afe78793", + 00000517 => x"02f50463", + 00000518 => x"00000513", + 00000519 => x"01c0006f", + 00000520 => x"ffff1537", + 00000521 => x"e9050513", + 00000522 => x"44c000ef", + 00000523 => x"dd1ff0ef", + 00000524 => x"fc0518e3", + 00000525 => x"00300513", + 00000526 => x"bc1ff0ef", + 00000527 => x"080009b7", + 00000528 => x"00498593", + 00000529 => x"00040513", + 00000530 => x"ef5ff0ef", + 00000531 => x"00050a93", + 00000532 => x"00898593", + 00000533 => x"00040513", + 00000534 => x"ee5ff0ef", + 00000535 => x"ff002c03", + 00000536 => x"00050b13", + 00000537 => x"ffcafb93", + 00000538 => x"00000913", + 00000539 => x"00000493", + 00000540 => x"00c98993", + 00000541 => x"013905b3", + 00000542 => x"052b9c63", + 00000543 => x"016484b3", + 00000544 => x"00200513", + 00000545 => x"fa049ae3", + 00000546 => x"ffff1537", + 00000547 => x"e9c50513", + 00000548 => x"3e4000ef", + 00000549 => x"02c12083", + 00000550 => x"02812403", + 00000551 => x"800007b7", + 00000552 => x"0157a023", + 00000553 => x"000a2023", + 00000554 => x"02412483", + 00000555 => x"02012903", + 00000556 => x"01c12983", + 00000557 => x"01812a03", + 00000558 => x"01412a83", + 00000559 => x"01012b03", + 00000560 => x"00c12b83", + 00000561 => x"00812c03", + 00000562 => x"03010113", + 00000563 => x"00008067", + 00000564 => x"00040513", + 00000565 => x"e69ff0ef", + 00000566 => x"012c07b3", + 00000567 => x"00a484b3", + 00000568 => x"00a7a023", + 00000569 => x"00490913", + 00000570 => x"f8dff06f", + 00000571 => x"ff010113", + 00000572 => x"00112623", + 00000573 => x"00812423", + 00000574 => x"00912223", + 00000575 => x"00058413", + 00000576 => x"00050493", + 00000577 => x"d85ff0ef", + 00000578 => x"00000513", + 00000579 => x"42c000ef", + 00000580 => x"00200513", + 00000581 => x"468000ef", + 00000582 => x"00048513", + 00000583 => x"d95ff0ef", + 00000584 => x"00040513", + 00000585 => x"458000ef", + 00000586 => x"00000513", + 00000587 => x"42c000ef", + 00000588 => x"00812403", + 00000589 => x"00c12083", + 00000590 => x"00412483", + 00000591 => x"01010113", + 00000592 => x"d01ff06f", + 00000593 => x"fe010113", + 00000594 => x"00812c23", + 00000595 => x"00912a23", + 00000596 => x"01212823", + 00000597 => x"00112e23", + 00000598 => x"00050413", + 00000599 => x"00b12623", + 00000600 => x"00c10913", + 00000601 => x"00350493", + 00000602 => x"00094583", + 00000603 => x"00048513", + 00000604 => x"00190913", + 00000605 => x"f79ff0ef", + 00000606 => x"00048793", + 00000607 => x"fff48493", + 00000608 => x"fef414e3", + 00000609 => x"01c12083", + 00000610 => x"01812403", + 00000611 => x"01412483", + 00000612 => x"01012903", + 00000613 => x"02010113", + 00000614 => x"00008067", + 00000615 => x"ff010113", + 00000616 => x"00112623", + 00000617 => x"00812423", + 00000618 => x"00050413", + 00000619 => x"cddff0ef", + 00000620 => x"00000513", + 00000621 => x"384000ef", + 00000622 => x"0d800513", + 00000623 => x"3c0000ef", + 00000624 => x"00040513", + 00000625 => x"cedff0ef", + 00000626 => x"00000513", + 00000627 => x"38c000ef", + 00000628 => x"00812403", + 00000629 => x"00c12083", + 00000630 => x"01010113", + 00000631 => x"c65ff06f", + 00000632 => x"fe010113", + 00000633 => x"800007b7", + 00000634 => x"00812c23", + 00000635 => x"0007a403", + 00000636 => x"00112e23", + 00000637 => x"00912a23", + 00000638 => x"01212823", + 00000639 => x"01312623", + 00000640 => x"01412423", + 00000641 => x"01512223", + 00000642 => x"02041863", + 00000643 => x"ffff1537", + 00000644 => x"ea050513", + 00000645 => x"01812403", + 00000646 => x"01c12083", + 00000647 => x"01412483", + 00000648 => x"01012903", + 00000649 => x"00c12983", + 00000650 => x"00812a03", + 00000651 => x"00412a83", + 00000652 => x"02010113", + 00000653 => x"2400006f", + 00000654 => x"ffff1537", + 00000655 => x"ebc50513", + 00000656 => x"234000ef", + 00000657 => x"00040513", + 00000658 => x"9f5ff0ef", + 00000659 => x"ffff1537", + 00000660 => x"ec450513", + 00000661 => x"220000ef", + 00000662 => x"08000537", + 00000663 => x"9e1ff0ef", + 00000664 => x"ffff1537", + 00000665 => x"edc50513", + 00000666 => x"20c000ef", + 00000667 => x"1ec000ef", + 00000668 => x"00050493", + 00000669 => x"1b0000ef", + 00000670 => x"07900793", + 00000671 => x"0af49e63", + 00000672 => x"b7dff0ef", + 00000673 => x"00051663", + 00000674 => x"00300513", + 00000675 => x"96dff0ef", + 00000676 => x"ffff1537", + 00000677 => x"ee850513", + 00000678 => x"01045493", + 00000679 => x"1d8000ef", + 00000680 => x"00148493", + 00000681 => x"08000937", + 00000682 => x"fff00993", + 00000683 => x"00010a37", + 00000684 => x"fff48493", + 00000685 => x"07349063", + 00000686 => x"4788d5b7", + 00000687 => x"afe58593", + 00000688 => x"08000537", + 00000689 => x"e81ff0ef", + 00000690 => x"08000537", + 00000691 => x"00040593", + 00000692 => x"00450513", + 00000693 => x"e71ff0ef", + 00000694 => x"ff002a03", + 00000695 => x"080009b7", + 00000696 => x"ffc47413", + 00000697 => x"00000493", + 00000698 => x"00000913", + 00000699 => x"00c98a93", + 00000700 => x"01548533", + 00000701 => x"009a07b3", + 00000702 => x"02849663", + 00000703 => x"00898513", + 00000704 => x"412005b3", + 00000705 => x"e41ff0ef", + 00000706 => x"ffff1537", + 00000707 => x"e9c50513", + 00000708 => x"f05ff06f", + 00000709 => x"00090513", + 00000710 => x"e85ff0ef", + 00000711 => x"01490933", + 00000712 => x"f91ff06f", + 00000713 => x"0007a583", + 00000714 => x"00448493", + 00000715 => x"00b90933", + 00000716 => x"e15ff0ef", + 00000717 => x"fbdff06f", + 00000718 => x"01c12083", + 00000719 => x"01812403", + 00000720 => x"01412483", + 00000721 => x"01012903", + 00000722 => x"00c12983", + 00000723 => x"00812a03", + 00000724 => x"00412a83", + 00000725 => x"02010113", + 00000726 => x"00008067", + 00000727 => x"fe802503", + 00000728 => x"01155513", + 00000729 => x"00157513", + 00000730 => x"00008067", + 00000731 => x"f9000793", + 00000732 => x"fff00713", + 00000733 => x"00e7a423", + 00000734 => x"00b7a623", + 00000735 => x"00a7a423", + 00000736 => x"00008067", + 00000737 => x"fe802503", + 00000738 => x"01255513", + 00000739 => x"00157513", + 00000740 => x"00008067", + 00000741 => x"fa002023", + 00000742 => x"fe002703", + 00000743 => x"00151513", + 00000744 => x"00000793", + 00000745 => x"04a77463", + 00000746 => x"000016b7", + 00000747 => x"00000713", + 00000748 => x"ffe68693", + 00000749 => x"04f6e663", + 00000750 => x"00367613", + 00000751 => x"0035f593", + 00000752 => x"fff78793", + 00000753 => x"01461613", + 00000754 => x"00c7e7b3", + 00000755 => x"01659593", + 00000756 => x"01871713", + 00000757 => x"00b7e7b3", + 00000758 => x"00e7e7b3", + 00000759 => x"10000737", + 00000760 => x"00e7e7b3", + 00000761 => x"faf02023", + 00000762 => x"00008067", + 00000763 => x"00178793", + 00000764 => x"01079793", + 00000765 => x"40a70733", + 00000766 => x"0107d793", + 00000767 => x"fa9ff06f", + 00000768 => x"ffe70513", + 00000769 => x"0fd57513", + 00000770 => x"00051a63", + 00000771 => x"0037d793", + 00000772 => x"00170713", + 00000773 => x"0ff77713", + 00000774 => x"f9dff06f", + 00000775 => x"0017d793", + 00000776 => x"ff1ff06f", + 00000777 => x"00040737", + 00000778 => x"fa002783", + 00000779 => x"00e7f7b3", + 00000780 => x"fe079ce3", + 00000781 => x"faa02223", + 00000782 => x"00008067", + 00000783 => x"fa002783", + 00000784 => x"00100513", + 00000785 => x"0007c863", + 00000786 => x"0107d513", + 00000787 => x"00154513", + 00000788 => x"00157513", + 00000789 => x"00008067", + 00000790 => x"fa402503", + 00000791 => x"fe055ee3", + 00000792 => x"0ff57513", + 00000793 => x"00008067", + 00000794 => x"fa402503", + 00000795 => x"01f55513", + 00000796 => x"00008067", + 00000797 => x"ff010113", + 00000798 => x"00812423", + 00000799 => x"01212023", + 00000800 => x"00112623", + 00000801 => x"00912223", + 00000802 => x"00050413", + 00000803 => x"00a00913", + 00000804 => x"00044483", + 00000805 => x"00140413", + 00000806 => x"00049e63", + 00000807 => x"00c12083", + 00000808 => x"00812403", + 00000809 => x"00412483", + 00000810 => x"00012903", + 00000811 => x"01010113", + 00000812 => x"00008067", + 00000813 => x"01249663", + 00000814 => x"00d00513", + 00000815 => x"f69ff0ef", + 00000816 => x"00048513", + 00000817 => x"f61ff0ef", + 00000818 => x"fc9ff06f", + 00000819 => x"ff010113", + 00000820 => x"c81026f3", + 00000821 => x"c0102773", + 00000822 => x"c81027f3", + 00000823 => x"fed79ae3", + 00000824 => x"00e12023", + 00000825 => x"00f12223", + 00000826 => x"00012503", + 00000827 => x"00412583", + 00000828 => x"01010113", + 00000829 => x"00008067", + 00000830 => x"00757513", + 00000831 => x"0036f793", + 00000832 => x"00167613", + 00000833 => x"00a51513", + 00000834 => x"00d79793", + 00000835 => x"0015f593", + 00000836 => x"00f567b3", + 00000837 => x"00f61613", + 00000838 => x"00c7e7b3", + 00000839 => x"00959593", + 00000840 => x"fa800713", + 00000841 => x"00b7e7b3", + 00000842 => x"00072023", + 00000843 => x"1007e793", + 00000844 => x"00f72023", + 00000845 => x"00008067", + 00000846 => x"fa800713", + 00000847 => x"00072683", + 00000848 => x"00757793", + 00000849 => x"00100513", + 00000850 => x"00f51533", + 00000851 => x"00d56533", + 00000852 => x"00a72023", + 00000853 => x"00008067", + 00000854 => x"fa800713", + 00000855 => x"00072683", + 00000856 => x"00757513", + 00000857 => x"00100793", + 00000858 => x"00a797b3", + 00000859 => x"fff7c793", + 00000860 => x"00d7f7b3", + 00000861 => x"00f72023", + 00000862 => x"00008067", + 00000863 => x"faa02623", + 00000864 => x"fa802783", + 00000865 => x"fe07cee3", + 00000866 => x"fac02503", + 00000867 => x"00008067", + 00000868 => x"fe802503", + 00000869 => x"01055513", + 00000870 => x"00157513", + 00000871 => x"00008067", + 00000872 => x"00100793", + 00000873 => x"01f00713", + 00000874 => x"00a797b3", + 00000875 => x"00a74a63", + 00000876 => x"fc802703", + 00000877 => x"00f747b3", + 00000878 => x"fcf02423", + 00000879 => x"00008067", + 00000880 => x"fcc02703", + 00000881 => x"00f747b3", + 00000882 => x"fcf02623", + 00000883 => x"00008067", + 00000884 => x"fc000793", + 00000885 => x"00a7a423", + 00000886 => x"00b7a623", + 00000887 => x"00008067", + 00000888 => x"69617641", + 00000889 => x"6c62616c", + 00000890 => x"4d432065", + 00000891 => x"0a3a7344", + 00000892 => x"203a6820", + 00000893 => x"706c6548", + 00000894 => x"3a72200a", + 00000895 => x"73655220", + 00000896 => x"74726174", + 00000897 => x"3a75200a", + 00000898 => x"6c705520", + 00000899 => x"0a64616f", + 00000900 => x"203a7320", + 00000901 => x"726f7453", + 00000902 => x"6f742065", + 00000903 => x"616c6620", + 00000904 => x"200a6873", + 00000905 => x"4c203a6c", + 00000906 => x"2064616f", + 00000907 => x"6d6f7266", + 00000908 => x"616c6620", + 00000909 => x"200a6873", + 00000910 => x"45203a65", + 00000911 => x"75636578", + 00000912 => x"00006574", + 00000913 => x"746f6f42", + 00000914 => x"2e676e69", + 00000915 => x"0a0a2e2e", + 00000916 => x"00000000", + 00000917 => x"52450a07", + 00000918 => x"5f524f52", + 00000919 => x"00000000", + 00000920 => x"00007830", + 00000921 => x"52455b0a", + 00000922 => x"00002052", + 00000923 => x"00000a5d", + 00000924 => x"69617741", + 00000925 => x"676e6974", + 00000926 => x"6f656e20", + 00000927 => x"32337672", + 00000928 => x"6578655f", + 00000929 => x"6e69622e", + 00000930 => x"202e2e2e", + 00000931 => x"00000000", + 00000932 => x"64616f4c", + 00000933 => x"2e676e69", + 00000934 => x"00202e2e", + 00000935 => x"00004b4f", + 00000936 => x"65206f4e", + 00000937 => x"75636578", + 00000938 => x"6c626174", + 00000939 => x"76612065", + 00000940 => x"616c6961", + 00000941 => x"2e656c62", + 00000942 => x"00000000", + 00000943 => x"74697257", + 00000944 => x"00002065", + 00000945 => x"74796220", + 00000946 => x"74207365", + 00000947 => x"5053206f", + 00000948 => x"6c662049", + 00000949 => x"20687361", + 00000950 => x"00783040", + 00000951 => x"7928203f", + 00000952 => x"20296e2f", + 00000953 => x"00000000", + 00000954 => x"616c460a", + 00000955 => x"6e696873", + 00000956 => x"2e2e2e67", + 00000957 => x"00000020", + 00000958 => x"3c0a0a0a", + 00000959 => x"454e203c", + 00000960 => x"3356524f", + 00000961 => x"6f422032", + 00000962 => x"6f6c746f", + 00000963 => x"72656461", + 00000964 => x"0a3e3e20", + 00000965 => x"444c420a", + 00000966 => x"4e203a56", + 00000967 => x"3220766f", + 00000968 => x"30322038", + 00000969 => x"480a3132", + 00000970 => x"203a5657", + 00000971 => x"00000020", + 00000972 => x"4b4c430a", + 00000973 => x"0020203a", + 00000974 => x"53494d0a", + 00000975 => x"00203a41", + 00000976 => x"5550430a", + 00000977 => x"0020203a", + 00000978 => x"434f530a", + 00000979 => x"0020203a", + 00000980 => x"454d490a", + 00000981 => x"00203a4d", + 00000982 => x"74796220", + 00000983 => x"40207365", + 00000984 => x"00000000", + 00000985 => x"454d440a", + 00000986 => x"00203a4d", + 00000987 => x"75410a0a", + 00000988 => x"6f626f74", + 00000989 => x"6920746f", + 00000990 => x"7338206e", + 00000991 => x"7250202e", + 00000992 => x"20737365", + 00000993 => x"2079656b", + 00000994 => x"61206f74", + 00000995 => x"74726f62", + 00000996 => x"00000a2e", + 00000997 => x"0000000a", + 00000998 => x"726f6241", + 00000999 => x"2e646574", + 00001000 => x"00000a0a", + 00001001 => x"444d430a", + 00001002 => x"00203e3a", + 00001003 => x"61766e49", + 00001004 => x"2064696c", + 00001005 => x"00444d43", + 00001006 => x"33323130", + 00001007 => x"37363534", + 00001008 => x"62613938", + 00001009 => x"66656463" + ); + +end neorv32_bootloader_image; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_bus_keeper.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_bus_keeper.vhd new file mode 100644 index 0000000..17d27df --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_bus_keeper.vhd @@ -0,0 +1,180 @@ +-- ################################################################################################# +-- # << NEORV32 - Bus Keeper (BUSKEEPER) >> # +-- # ********************************************************************************************* # +-- # This unit monitors the processor-internal bus. If the accessed module does not respond within # +-- # the defined number of cycles (VHDL package: max_proc_int_response_time_c) or issues an ERROR # +-- # conditions the BUS KEEPER asserts the error signal to inform the CPU. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_bus_keeper is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset, low-active, async + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + -- bus monitoring -- + bus_addr_i : in std_ulogic_vector(31 downto 0); -- address + bus_rden_i : in std_ulogic; -- read enable + bus_wren_i : in std_ulogic; -- write enable + bus_ack_i : in std_ulogic; -- transfer acknowledge from bus system + bus_err_i : in std_ulogic; -- transfer error from bus system + bus_tmo_i : in std_ulogic; -- transfer timeout (external interface) + bus_ext_i : in std_ulogic -- external bus access + ); +end neorv32_bus_keeper; + +architecture neorv32_bus_keeper_rtl of neorv32_bus_keeper is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(buskeeper_size_c); -- low address boundary bit + + -- Control register -- + constant ctrl_err_type_c : natural := 0; -- r/-: error type: 0=device error, 1=access timeout + constant ctrl_err_flag_c : natural := 31; -- r/c: bus error encountered, sticky; cleared by writing zero + + -- sticky error flags -- + signal err_flag : std_ulogic; + signal err_type : std_ulogic; + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- controller -- + type control_t is record + pending : std_ulogic; + timeout : std_ulogic_vector(index_size_f(max_proc_int_response_time_c) downto 0); + err_type : std_ulogic; + bus_err : std_ulogic; + end record; + signal control : control_t; + +begin + + -- Sanity Check -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (max_proc_int_response_time_c < 2) report "NEORV32 PROCESSOR CONFIG ERROR! Processor-internal bus timeout has to >= 2." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = buskeeper_base_c(hi_abb_c downto lo_abb_c)) else '0'; + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus handshake -- + ack_o <= wren or rden; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + data_o(ctrl_err_type_c) <= err_type; + data_o(ctrl_err_flag_c) <= err_flag; + end if; + -- + if (control.bus_err = '1') then -- sticky error flag + err_flag <= '1'; + err_type <= control.err_type; + elsif ((wren or rden) = '1') then -- clear on or read or write + err_flag <= '0'; + err_type <= '0'; + end if; + end if; + end process rw_access; + + + -- Keeper --------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + keeper_control: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + control.pending <= '0'; + control.bus_err <= '0'; + control.err_type <= def_rst_val_c; + control.timeout <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- defaults -- + control.bus_err <= '0'; + + -- access monitor: IDLE -- + if (control.pending = '0') then + control.timeout <= std_ulogic_vector(to_unsigned(max_proc_int_response_time_c, index_size_f(max_proc_int_response_time_c)+1)); + if (bus_rden_i = '1') or (bus_wren_i = '1') then + control.pending <= '1'; + end if; + -- access monitor: PENDING -- + else + control.timeout <= std_ulogic_vector(unsigned(control.timeout) - 1); -- countdown timer + if (bus_err_i = '1') then -- error termination by bus system + control.err_type <= '0'; -- device error + control.bus_err <= '1'; + control.pending <= '0'; + elsif ((or_reduce_f(control.timeout) = '0') and (bus_ext_i = '0')) or -- internal access timeout + (bus_tmo_i = '1') then -- external access timeout + control.err_type <= '1'; -- timeout error + control.bus_err <= '1'; + control.pending <= '0'; + elsif (bus_ack_i = '1') then -- normal termination by bus system + control.err_type <= '0'; -- don't care + control.bus_err <= '0'; + control.pending <= '0'; + end if; + end if; + end if; + end process keeper_control; + + -- signal bus error to CPU -- + err_o <= control.bus_err; + + +end neorv32_bus_keeper_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_busswitch.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_busswitch.vhd new file mode 100644 index 0000000..7868b41 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_busswitch.vhd @@ -0,0 +1,273 @@ +-- ################################################################################################# +-- # << NEORV32 - Bus Switch >> # +-- # ********************************************************************************************* # +-- # Allows to access a single peripheral bus ("p_bus") by two controller busses. Controller port # +-- # A ("ca_bus") has priority over controller port B ("cb_bus"). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_busswitch is + generic ( + PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only + PORT_CB_READ_ONLY : boolean -- set if controller port B is read-only + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- controller interface a -- + ca_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + ca_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + ca_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + ca_bus_we_i : in std_ulogic; -- write enable + ca_bus_re_i : in std_ulogic; -- read enable + ca_bus_lock_i : in std_ulogic; -- exclusive access request + ca_bus_ack_o : out std_ulogic; -- bus transfer acknowledge + ca_bus_err_o : out std_ulogic; -- bus transfer error + -- controller interface b -- + cb_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + cb_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + cb_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + cb_bus_we_i : in std_ulogic; -- write enable + cb_bus_re_i : in std_ulogic; -- read enable + cb_bus_lock_i : in std_ulogic; -- exclusive access request + cb_bus_ack_o : out std_ulogic; -- bus transfer acknowledge + cb_bus_err_o : out std_ulogic; -- bus transfer error + -- peripheral bus -- + p_bus_src_o : out std_ulogic; -- access source: 0 = A, 1 = B + p_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + p_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + p_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + p_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + p_bus_we_o : out std_ulogic; -- write enable + p_bus_re_o : out std_ulogic; -- read enable + p_bus_lock_o : out std_ulogic; -- exclusive access request + p_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + p_bus_err_i : in std_ulogic -- bus transfer error + ); +end neorv32_busswitch; + +architecture neorv32_busswitch_rtl of neorv32_busswitch is + + -- access requests -- + signal ca_rd_req_buf, ca_wr_req_buf : std_ulogic; + signal cb_rd_req_buf, cb_wr_req_buf : std_ulogic; + signal ca_req_current, ca_req_buffered : std_ulogic; + signal cb_req_current, cb_req_buffered : std_ulogic; + + -- internal bus lines -- + signal ca_bus_ack, cb_bus_ack : std_ulogic; + signal ca_bus_err, cb_bus_err : std_ulogic; + signal p_bus_we, p_bus_re : std_ulogic; + + -- access arbiter -- + type arbiter_state_t is (IDLE, BUSY, RETIRE, BUSY_SWITCHED, RETIRE_SWITCHED); + type arbiter_t is record + state : arbiter_state_t; + state_nxt : arbiter_state_t; + bus_sel : std_ulogic; + re_trig : std_ulogic; + we_trig : std_ulogic; + end record; + signal arbiter : arbiter_t; + +begin + + -- Access Buffer -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + access_buffer: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ca_rd_req_buf <= '0'; + ca_wr_req_buf <= '0'; + cb_rd_req_buf <= '0'; + cb_wr_req_buf <= '0'; + elsif rising_edge(clk_i) then + + -- controller A requests -- + if (ca_rd_req_buf = '0') and (ca_wr_req_buf = '0') then -- idle + ca_rd_req_buf <= ca_bus_re_i; + ca_wr_req_buf <= ca_bus_we_i; + elsif (ca_bus_err = '1') or -- error termination + (ca_bus_ack = '1') then -- normal termination + ca_rd_req_buf <= '0'; + ca_wr_req_buf <= '0'; + end if; + + -- controller B requests -- + if (cb_rd_req_buf = '0') and (cb_wr_req_buf = '0') then + cb_rd_req_buf <= cb_bus_re_i; + cb_wr_req_buf <= cb_bus_we_i; + elsif (cb_bus_err = '1') or -- error termination + (cb_bus_ack = '1') then -- normal termination + cb_rd_req_buf <= '0'; + cb_wr_req_buf <= '0'; + end if; + + end if; + end process access_buffer; + + -- any current requests? -- + ca_req_current <= (ca_bus_re_i or ca_bus_we_i) when (PORT_CA_READ_ONLY = false) else ca_bus_re_i; + cb_req_current <= (cb_bus_re_i or cb_bus_we_i) when (PORT_CB_READ_ONLY = false) else cb_bus_re_i; + + -- any buffered requests? -- + ca_req_buffered <= (ca_rd_req_buf or ca_wr_req_buf) when (PORT_CA_READ_ONLY = false) else ca_rd_req_buf; + cb_req_buffered <= (cb_rd_req_buf or cb_wr_req_buf) when (PORT_CB_READ_ONLY = false) else cb_rd_req_buf; + + + -- Access Arbiter Sync -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + arbiter_sync: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + arbiter.state <= IDLE; + elsif rising_edge(clk_i) then + arbiter.state <= arbiter.state_nxt; + end if; + end process arbiter_sync; + + + -- Peripheral Bus Arbiter ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + arbiter_comb: process(arbiter, ca_req_current, cb_req_current, ca_req_buffered, cb_req_buffered, + ca_rd_req_buf, ca_wr_req_buf, cb_rd_req_buf, cb_wr_req_buf, p_bus_ack_i, p_bus_err_i) + begin + -- arbiter defaults -- + arbiter.state_nxt <= arbiter.state; + arbiter.bus_sel <= '0'; + arbiter.we_trig <= '0'; + arbiter.re_trig <= '0'; + + -- state machine -- + case arbiter.state is + + when IDLE => -- Controller a has full bus access + -- ------------------------------------------------------------ + p_bus_src_o <= '0'; -- access from port A + if (ca_req_current = '1') then -- current request? + arbiter.bus_sel <= '0'; + arbiter.state_nxt <= BUSY; + elsif (ca_req_buffered = '1') then -- buffered request? + arbiter.bus_sel <= '0'; + arbiter.state_nxt <= RETIRE; + elsif (cb_req_current = '1') then -- current request from controller b? + arbiter.bus_sel <= '1'; + arbiter.state_nxt <= BUSY_SWITCHED; + elsif (cb_req_buffered = '1') then -- buffered request from controller b? + arbiter.bus_sel <= '1'; + arbiter.state_nxt <= RETIRE_SWITCHED; + end if; + + when BUSY => -- transaction in progress + -- ------------------------------------------------------------ + p_bus_src_o <= '0'; -- access from port A + arbiter.bus_sel <= '0'; + if (p_bus_err_i = '1') or -- error termination + (p_bus_ack_i = '1') then -- normal termination + arbiter.state_nxt <= IDLE; + end if; + + when RETIRE => -- retire pending access + -- ------------------------------------------------------------ + p_bus_src_o <= '0'; -- access from port A + arbiter.bus_sel <= '0'; + if (PORT_CA_READ_ONLY = false) then + arbiter.we_trig <= ca_wr_req_buf; + end if; + arbiter.re_trig <= ca_rd_req_buf; + arbiter.state_nxt <= BUSY; + + when BUSY_SWITCHED => -- switched transaction in progress + -- ------------------------------------------------------------ + p_bus_src_o <= '1'; -- access from port B + arbiter.bus_sel <= '1'; + if (p_bus_err_i = '1') or -- error termination + (p_bus_ack_i = '1') then -- normal termination + if (ca_req_buffered = '1') or (ca_req_current = '1') then -- any request from A? + arbiter.state_nxt <= RETIRE; + else + arbiter.state_nxt <= IDLE; + end if; + end if; + + when RETIRE_SWITCHED => -- retire pending switched access + -- ------------------------------------------------------------ + p_bus_src_o <= '1'; -- access from port B + arbiter.bus_sel <= '1'; + if (PORT_CB_READ_ONLY = false) then + arbiter.we_trig <= cb_wr_req_buf; + end if; + arbiter.re_trig <= cb_rd_req_buf; + arbiter.state_nxt <= BUSY_SWITCHED; + + end case; + end process arbiter_comb; + + + -- Peripheral Bus Switch ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + p_bus_addr_o <= ca_bus_addr_i when (arbiter.bus_sel = '0') else cb_bus_addr_i; + p_bus_wdata_o <= cb_bus_wdata_i when (PORT_CA_READ_ONLY = true) else ca_bus_wdata_i when (PORT_CB_READ_ONLY = true) else + ca_bus_wdata_i when (arbiter.bus_sel = '0') else cb_bus_wdata_i; + p_bus_ben_o <= cb_bus_ben_i when (PORT_CA_READ_ONLY = true) else ca_bus_ben_i when (PORT_CB_READ_ONLY = true) else + ca_bus_ben_i when (arbiter.bus_sel = '0') else cb_bus_ben_i; + p_bus_we <= ca_bus_we_i when (arbiter.bus_sel = '0') else cb_bus_we_i; + p_bus_re <= ca_bus_re_i when (arbiter.bus_sel = '0') else cb_bus_re_i; + p_bus_we_o <= (p_bus_we or arbiter.we_trig); + p_bus_re_o <= (p_bus_re or arbiter.re_trig); + p_bus_lock_o <= ca_bus_lock_i or cb_bus_lock_i; + + ca_bus_rdata_o <= p_bus_rdata_i; + cb_bus_rdata_o <= p_bus_rdata_i; + + ca_bus_ack <= p_bus_ack_i and (not arbiter.bus_sel); + cb_bus_ack <= p_bus_ack_i and ( arbiter.bus_sel); + ca_bus_ack_o <= ca_bus_ack; + cb_bus_ack_o <= cb_bus_ack; + + ca_bus_err <= p_bus_err_i and (not arbiter.bus_sel); + cb_bus_err <= p_bus_err_i and ( arbiter.bus_sel); + ca_bus_err_o <= ca_bus_err; + cb_bus_err_o <= cb_bus_err; + + +end neorv32_busswitch_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cfs.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cfs.vhd new file mode 100644 index 0000000..66dd758 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cfs.vhd @@ -0,0 +1,257 @@ +-- ################################################################################################# +-- # << NEORV32 - Custom Functions Subsystem (CFS) >> # +-- # ********************************************************************************************* # +-- # For tightly-coupled custom co-processors. Provides 32x32-bit memory-mapped registers. # +-- # This is just an "example/illustration template". Modify this file to implement your own # +-- # custom design logic. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cfs is + generic ( + CFS_CONFIG : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic + CFS_IN_SIZE : positive; -- size of CFS input conduit in bits + CFS_OUT_SIZE : positive -- size of CFS output conduit in bits + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active, use as async + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- word write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); -- "clock" inputs + -- interrupt -- + irq_o : out std_ulogic; -- interrupt request + -- custom io (conduits) -- + cfs_in_i : in std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs + cfs_out_o : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs + ); +end neorv32_cfs; + +architecture neorv32_cfs_rtl of neorv32_cfs is + + -- IO space: module base address (DO NOT MODIFY!) -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(cfs_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- default CFS interface registers -- + type cfs_regs_t is array (0 to 3) of std_ulogic_vector(31 downto 0); -- just implement 4 registers for this example + signal cfs_reg_wr : cfs_regs_t; -- interface registers for WRITE accesses + signal cfs_reg_rd : cfs_regs_t; -- interface registers for READ accesses + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- These assignments are required to check if the CFS is accessed at all. + -- DO NOT MODIFY this unless you really know what you are doing. + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; -- full 32-bit word write enable + rden <= acc_en and rden_i; -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU + + -- NOTE: Do not modify the CFS base address or the CFS' occupied address space as this might cause access + -- collisions with other modules. + + -- This module provides an ERROR signal to signal a faulty access operation to the CPU. + -- It can be used to indicate an invalid access (for example to an unused CFS register address) or to signal + -- a faulty state (like "not operational yet"). The error signal can be checked be checked by the applications + -- "bus access fault" exception handler (provided by the system's BUSKEEPER module). + -- This signal may only be set when the module is actually accessed! Tie to zero if not explicitly used. + err_o <= '0'; + + + -- CFS Generics --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- In its default version, the CFS provides the configuration generics. single generic: + -- CFS_IN_SIZE configures the size (in bits) of the CFS input conduit cfs_in_i + -- CFS_OUT_SIZE configures the size (in bits) of the CFS output conduit cfs_out_o + -- CFS_CONFIG is a blank 32-bit generic. It is intended as a "generic conduit" to propagate custom configuration flags from the top entity down to this entiy. + + + -- CFS IOs -------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor top entity. + -- These are intended as "conduits" to propagate custom signals this entity <=> processor top entity. + + cfs_out_o <= (others => '0'); -- not used for this minimal example + + + -- Reset System --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low. + -- Note that rstn_i can be asserted by an external reset and also by a watchdog-cause reset. + -- + -- Most default peripheral devices of the NEORV32 do NOT use a dedicated reset at all. Instead, these units are reset by writing ZERO + -- to a specific "control register" located right at the beginning of the device's address space (so this register is cleared at first). + -- The crt0 start-up code write ZERO to every single address in the processor's IO space - including the CFS. + -- Make sure that this clearing does not cause any unintended actions in the CFS. + + + -- Clock System --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- The processor top unit implements a clock generator providing 8 "derived clocks" + -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals. + -- clkgen_i is always synchronous to the main system clock (clk_i). + -- + -- The following clock divider rates are available: + -- clkgen_i(clk_div2_c) -> MAIN_CLK/2 + -- clkgen_i(clk_div4_c) -> MAIN_CLK/4 + -- clkgen_i(clk_div8_c) -> MAIN_CLK/8 + -- clkgen_i(clk_div64_c) -> MAIN_CLK/64 + -- clkgen_i(clk_div128_c) -> MAIN_CLK/128 + -- clkgen_i(clk_div1024_c) -> MAIN_CLK/1024 + -- clkgen_i(clk_div2048_c) -> MAIN_CLK/2048 + -- clkgen_i(clk_div4096_c) -> MAIN_CLK/4096 + -- + -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct: + -- + -- if (rstn_i = '0') then -- async and low-active reset (if required at all) + -- ... + -- elsif rising_edge(clk_i) then -- always use the main clock for all clock processes! + -- if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable + -- ... + -- end if; + -- end if; + -- + -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the SPI) requires the clocks generated by the + -- clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high. + -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might still keep the generator activated. + -- Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to reduce dynamic power consumption. + + clkgen_en_o <= '0'; -- not used for this minimal example + + + -- Interrupt ------------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- The CFS features a single interrupt signal, which is connected to the CPU's "fast interrupt" channel 1. + -- The interrupt is triggered by a one-shot rising edge. After triggering, the interrupt appears as "pending" in the CPU's mie register + -- ready to trigger execution of the according interrupt handler. The interrupt request signal should be triggered + -- whenever an interrupt condition is fulfilled. It is the task of the application to programmer to enable/clear the CFS interrupt + -- using the CPU's mie and mip registers when reuqired. + + irq_o <= '0'; -- not used for this minimal example + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO + -- modules of the NEORV32 can only be written in full word mode (32-bit). Any other write access (half-word or byte) + -- will trigger a store bus access fault exception. + -- + -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide + -- a for global control of the unit, a for reading/writing from/to a data FIFO, a + -- for issuing commands and a for status information. + -- + -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o signal (or even later + -- if the module needs additional time; the maximum latency until an unacknowledged access will trigger a bus exception is defined via the package's + -- global "bus_timeout_c" constant). If no ACK is generated at all, the bus access will time out and cause a bus access fault exception. + + -- Host access: Read and write access to the interface registers + bus transfer acknowledge. + -- This example only implements four physical r/w register (the four lowest CF register). The remaining addresses of the CFS are not + -- associated with any writable or readable register - an access to those is simply ignored but still acknowledged. + + host_access: process(clk_i) + begin + if rising_edge(clk_i) then -- synchronous interface for reads and writes + -- transfer/access acknowledge -- + ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request; all r/w accesses (to any cfs_reg) will succeed +-- ack_o <= rden; -- use this construct if your CFS is read-only +-- ack_o <= wren; -- use this construct if your CFS is write-only +-- ack_o <= ... -- or define the ACK by yourself (example: some registers are read-only, some others can only be written, ...) + + -- write access -- + if (wren = '1') then -- word-wide write-access only! + if (addr = cfs_reg0_addr_c) then -- make sure to use the internal "addr" signal for the read/write interface + cfs_reg_wr(0) <= data_i; -- for example: control register + end if; + if (addr = cfs_reg1_addr_c) then + cfs_reg_wr(1) <= data_i; -- for example: data in/out fifo + end if; + if (addr = cfs_reg2_addr_c) then + cfs_reg_wr(2) <= data_i; -- for example: command fifo + end if; + if (addr = cfs_reg3_addr_c) then + cfs_reg_wr(3) <= data_i; -- for example: status register + end if; + end if; + + -- read access -- + data_o <= (others => '0'); -- the output has to be zero if there is no actual read access + if (rden = '1') then -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU + case addr is -- make sure to use the internal 'addr' signal for the read/write interface + when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0); + when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1); + when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2); + when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3); + when others => data_o <= (others => '0'); -- the remaining registers are not implemented and will read as zero + end case; + end if; + end if; + end process host_access; + + + -- CFS Function Core ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- This is where the actual functionality can be implemented. + -- In this example we are just implementing four r/w registers that invert any value written to them. + + cfs_core: process(cfs_reg_wr) + begin + cfs_reg_rd(0) <= not cfs_reg_wr(0); -- just invert the written value + cfs_reg_rd(1) <= not cfs_reg_wr(1); + cfs_reg_rd(2) <= not cfs_reg_wr(2); + cfs_reg_rd(3) <= not cfs_reg_wr(3); + end process cfs_core; + + +end neorv32_cfs_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu.vhd new file mode 100644 index 0000000..b36dfd2 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu.vhd @@ -0,0 +1,444 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Top Entity >> # +-- # ********************************************************************************************* # +-- # NEORV32 CPU: # +-- # * neorv32_cpu.vhd - CPU top entity # +-- # * neorv32_cpu_alu.vhd - Arithmetic/logic unit # +-- # * neorv32_cpu_cp_bitmanip.vhd - Bit-manipulation co-processor # +-- # * neorv32_cpu_cp_fpu.vhd - Single-precision FPU co-processor # +-- # * neorv32_cpu_cp_muldiv.vhd - Integer multiplier/divider co-processor # +-- # * neorv32_cpu_cp_shifter.vhd - Base ISA shifter unit # +-- # * neorv32_cpu_bus.vhd - Instruction and data bus interface unit # +-- # * neorv32_cpu_control.vhd - CPU control and CSR system # +-- # * neorv32_cpu_decompressor.vhd - Compressed instructions decoder # +-- # * neorv32_cpu_regfile.vhd - Data register file # +-- # * neorv32_package.vhd - Main CPU & Processor package file # +-- # # +-- # Check out the CPU's online documentation for more information: # +-- # HQ: https://github.com/stnolting/neorv32 # +-- # Data Sheet: https://stnolting.github.io/neorv32 # +-- # User Guide: https://stnolting.github.io/neorv32/ug # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu is + generic ( + -- General -- + HW_THREAD_ID : natural; -- hardware thread id (32-bit) + CPU_BOOT_ADDR : std_ulogic_vector(31 downto 0); -- cpu boot address + CPU_DEBUG_ADDR : std_ulogic_vector(31 downto 0); -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement muld/div extension? + CPU_EXTENSION_RISCV_U : boolean; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural; -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural -- total size of HPM counters (0..64) + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + sleep_o : out std_ulogic; -- cpu is in sleep mode when set + debug_o : out std_ulogic; -- cpu is in debug mode when set + -- instruction bus interface -- + i_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + i_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + i_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + i_bus_we_o : out std_ulogic; -- write enable + i_bus_re_o : out std_ulogic; -- read enable + i_bus_lock_o : out std_ulogic; -- exclusive access request + i_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + i_bus_err_i : in std_ulogic; -- bus transfer error + i_bus_fence_o : out std_ulogic; -- executed FENCEI operation + i_bus_priv_o : out std_ulogic_vector(1 downto 0); -- privilege level + -- data bus interface -- + d_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + d_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + d_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + d_bus_we_o : out std_ulogic; -- write enable + d_bus_re_o : out std_ulogic; -- read enable + d_bus_lock_o : out std_ulogic; -- exclusive access request + d_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + d_bus_err_i : in std_ulogic; -- bus transfer error + d_bus_fence_o : out std_ulogic; -- executed FENCE operation + d_bus_priv_o : out std_ulogic_vector(1 downto 0); -- privilege level + -- system time input from MTIME -- + time_i : in std_ulogic_vector(63 downto 0); -- current system time + -- interrupts (risc-v compliant) -- + msw_irq_i : in std_ulogic;-- machine software interrupt + mext_irq_i : in std_ulogic;-- machine external interrupt + mtime_irq_i : in std_ulogic;-- machine timer interrupt + -- fast interrupts (custom) -- + firq_i : in std_ulogic_vector(15 downto 0); + -- debug mode (halt) request -- + db_halt_req_i : in std_ulogic + ); +end neorv32_cpu; + +architecture neorv32_cpu_rtl of neorv32_cpu is + + -- local signals -- + signal ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + signal comparator : std_ulogic_vector(1 downto 0); -- comparator result + signal imm : std_ulogic_vector(data_width_c-1 downto 0); -- immediate + signal instr : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction + signal rs1, rs2 : std_ulogic_vector(data_width_c-1 downto 0); -- source registers + signal alu_res : std_ulogic_vector(data_width_c-1 downto 0); -- alu result + signal alu_add : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result + signal mem_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data + signal alu_idone : std_ulogic; -- iterative alu operation done + signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch + signal bus_d_wait : std_ulogic; -- wait for current bus data access + signal csr_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data + signal mar : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register + signal ma_instr : std_ulogic; -- misaligned instruction address + signal ma_load : std_ulogic; -- misaligned load data address + signal ma_store : std_ulogic; -- misaligned store data address + signal excl_state : std_ulogic; -- atomic/exclusive access lock status + signal be_instr : std_ulogic; -- bus error on instruction access + signal be_load : std_ulogic; -- bus error on load data access + signal be_store : std_ulogic; -- bus error on store data access + signal fetch_pc : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch + signal curr_pc : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction) + signal next_pc : std_ulogic_vector(data_width_c-1 downto 0); -- next pc (for next executed instruction) + signal fpu_flags : std_ulogic_vector(4 downto 0); -- FPU exception flags + + -- pmp interface -- + signal pmp_addr : pmp_addr_if_t; + signal pmp_ctrl : pmp_ctrl_if_t; + +begin + + -- CPU ISA Configuration --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report + "NEORV32 CPU ISA Configuration (MARCH): " & + cond_sel_string_f(CPU_EXTENSION_RISCV_E, "RV32E", "RV32I") & + cond_sel_string_f(CPU_EXTENSION_RISCV_M, "M", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_A, "A", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_C, "C", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_B, "B", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_U, "U", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zicsr, "_Zicsr", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zicntr, "_Zicntr", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zihpm, "_Zihpm", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zifencei, "_Zifencei", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zfinx, "_Zfinx", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_Zmmul, "_Zmmul", "") & + cond_sel_string_f(CPU_EXTENSION_RISCV_DEBUG, "_Debug", "") & + "" + severity note; + + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- hardware reset notifier -- + assert not (dedicated_reset_c = false) report "NEORV32 CPU CONFIG NOTE: Implementing NO dedicated hardware reset for uncritical registers (default, might reduce area). Set package constant = TRUE to configure a DEFINED reset value for all CPU registers." severity note; + assert not (dedicated_reset_c = true) report "NEORV32 CPU CONFIG NOTE: Implementing defined hardware reset for uncritical registers (non-default, reset-to-zero, might increase area)." severity note; + assert not ((def_rst_val_c /= '-') and (def_rst_val_c /= '0')) report "NEORV32 CPU CONFIG ERROR! Invalid configuration of package constant (has to be '-' or '0')." severity error; + + -- CSR system -- + assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when = false." severity warning; + + -- CPU counters (cycle and instret) -- + assert not ((CPU_EXTENSION_RISCV_Zicntr = true) and ((CPU_CNT_WIDTH < 0) or (CPU_CNT_WIDTH > 64))) report "NEORV32 CPU CONFIG ERROR! Invalid configuration. Has to be 0..64." severity error; + assert not ((CPU_EXTENSION_RISCV_Zicntr = true) and (CPU_CNT_WIDTH < 64)) report "NEORV32 CPU CONFIG WARNING! Implementing CPU and CSRs with reduced size (" & integer'image(CPU_CNT_WIDTH) & "-bit instead of 64-bit). This is not RISC-V compliant and might have unintended SW side effects." severity warning; + + -- U-extension requires Zicsr extension -- + assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires extension to be enabled." severity error; + + -- Instruction prefetch buffer size -- + assert not (is_power_of_two_f(CPU_IPB_ENTRIES) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer has to be a power of two." severity error; + + -- Co-processor timeout counter (for debugging only) -- + assert not (cp_timeout_en_c = true) report "NEORV32 CPU CONFIG WARNING! Co-processor timeout counter enabled. This should be used for debugging/simulation only." severity warning; + + -- PMP regions check -- + assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions out of valid range (0..64)." severity error; + -- PMP granularity -- + assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! has to be a power of two." severity error; + assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! has to be >= 8 bytes." severity error; + assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires extension to be enabled." severity error; + + -- HPM counters check -- + assert not ((CPU_EXTENSION_RISCV_Zihpm = true) and (HPM_NUM_CNTS > 29)) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters out of valid range (0..29)." severity error; + assert not ((CPU_EXTENSION_RISCV_Zihpm = true) and ((HPM_CNT_WIDTH < 0) or (HPM_CNT_WIDTH > 64))) report "NEORV32 CPU CONFIG ERROR! HPM counter width has to be 0..64 bit." severity error; + assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_Zihpm = true)) report "NEORV32 CPU CONFIG ERROR! Hardware performance monitors extension requires extension to be enabled." severity error; + + -- Mul-extension -- + assert not ((CPU_EXTENSION_RISCV_Zmmul = true) and (CPU_EXTENSION_RISCV_M = true)) report "NEORV32 CPU CONFIG ERROR! and extensions cannot co-exist!" severity error; + + -- Debug mode -- + assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zicsr = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires extension to be enabled." severity error; + assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zifencei = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires extension to be enabled." severity error; + + -- fast multiplication option -- + assert not (FAST_MUL_EN = true) report "NEORV32 CPU CONFIG NOTE: set. Trying to use DSP blocks for base ISA multiplications." severity note; + + -- fast shift option -- + assert not (FAST_SHIFT_EN = true) report "NEORV32 CPU CONFIG NOTE: set. Implementing full-parallel logic / barrel shifters." severity note; + + + -- Control Unit --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_control_inst: neorv32_cpu_control + generic map ( + -- General -- + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id + CPU_BOOT_ADDR => CPU_BOOT_ADDR, -- cpu boot address + CPU_DEBUG_ADDR => CPU_DEBUG_ADDR, -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement mul/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG => CPU_EXTENSION_RISCV_DEBUG, -- implement CPU debug mode? + -- Extension Options -- + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES => CPU_IPB_ENTRIES, -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH -- total size of HPM counters + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_o => ctrl, -- main control bus + -- status input -- + alu_idone_i => alu_idone, -- ALU iterative operation done + bus_i_wait_i => bus_i_wait, -- wait for bus + bus_d_wait_i => bus_d_wait, -- wait for bus + excl_state_i => excl_state, -- atomic/exclusive access lock status + -- data input -- + instr_i => instr, -- instruction + cmp_i => comparator, -- comparator status + alu_add_i => alu_add, -- ALU address result + rs1_i => rs1, -- rf source 1 + -- data output -- + imm_o => imm, -- immediate + fetch_pc_o => fetch_pc, -- PC for instruction fetch + curr_pc_o => curr_pc, -- current PC (corresponding to current instruction) + next_pc_o => next_pc, -- next PC (corresponding to next instruction) + csr_rdata_o => csr_rdata, -- CSR read data + -- FPU interface -- + fpu_flags_i => fpu_flags, -- exception flags + -- debug mode (halt) request -- + db_halt_req_i => db_halt_req_i, + -- interrupts (risc-v compliant) -- + msw_irq_i => msw_irq_i, -- machine software interrupt + mext_irq_i => mext_irq_i, -- machine external interrupt + mtime_irq_i => mtime_irq_i, -- machine timer interrupt + -- fast interrupts (custom) -- + firq_i => firq_i, -- fast interrupt trigger + -- system time input from MTIME -- + time_i => time_i, -- current system time + -- physical memory protection -- + pmp_addr_o => pmp_addr, -- addresses + pmp_ctrl_o => pmp_ctrl, -- configs + -- bus access exceptions -- + mar_i => mar, -- memory address register + ma_instr_i => ma_instr, -- misaligned instruction address + ma_load_i => ma_load, -- misaligned load data address + ma_store_i => ma_store, -- misaligned store data address + be_instr_i => be_instr, -- bus error on instruction access + be_load_i => be_load, -- bus error on load data access + be_store_i => be_store -- bus error on store data access + ); + + -- CPU is sleeping? -- + sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI) + + -- CPU is in debug mode? -- + debug_o <= ctrl(ctrl_debug_running_c); + + + -- Register File -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_regfile_inst: neorv32_cpu_regfile + generic map ( + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension? + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + ctrl_i => ctrl, -- main control bus + -- data input -- + mem_i => mem_rdata, -- memory read data + alu_i => alu_res, -- ALU result + -- data output -- + rs1_o => rs1, -- operand 1 + rs2_o => rs2 -- operand 2 + ); + + + -- ALU ------------------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_alu_inst: neorv32_cpu_alu + generic map ( + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl, -- main control bus + -- data input -- + rs1_i => rs1, -- rf source 1 + rs2_i => rs2, -- rf source 2 + pc_i => curr_pc, -- current PC + pc2_i => next_pc, -- next PC + imm_i => imm, -- immediate + csr_i => csr_rdata, -- CSR read data + -- data output -- + cmp_o => comparator, -- comparator status + res_o => alu_res, -- ALU result + add_o => alu_add, -- address computation result + fpu_flags_o => fpu_flags, -- FPU exception flags + -- status -- + idone_o => alu_idone -- iterative processing units done? + ); + + + -- Bus Interface Unit --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_bus_inst: neorv32_cpu_bus + generic map ( + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl, -- main control bus + -- cpu instruction fetch interface -- + fetch_pc_i => fetch_pc, -- PC for instruction fetch + instr_o => instr, -- instruction + i_wait_o => bus_i_wait, -- wait for fetch to complete + -- + ma_instr_o => ma_instr, -- misaligned instruction address + be_instr_o => be_instr, -- bus error on instruction access + -- cpu data access interface -- + addr_i => alu_add, -- ALU.add result -> access address + wdata_i => rs2, -- write data + rdata_o => mem_rdata, -- read data + mar_o => mar, -- current memory address register + d_wait_o => bus_d_wait, -- wait for access to complete + -- + excl_state_o => excl_state, -- atomic/exclusive access status + ma_load_o => ma_load, -- misaligned load data address + ma_store_o => ma_store, -- misaligned store data address + be_load_o => be_load, -- bus error on load data access + be_store_o => be_store, -- bus error on store data access + -- physical memory protection -- + pmp_addr_i => pmp_addr, -- addresses + pmp_ctrl_i => pmp_ctrl, -- configurations + -- instruction bus -- + i_bus_addr_o => i_bus_addr_o, -- bus access address + i_bus_rdata_i => i_bus_rdata_i, -- bus read data + i_bus_wdata_o => i_bus_wdata_o, -- bus write data + i_bus_ben_o => i_bus_ben_o, -- byte enable + i_bus_we_o => i_bus_we_o, -- write enable + i_bus_re_o => i_bus_re_o, -- read enable + i_bus_lock_o => i_bus_lock_o, -- exclusive access request + i_bus_ack_i => i_bus_ack_i, -- bus transfer acknowledge + i_bus_err_i => i_bus_err_i, -- bus transfer error + i_bus_fence_o => i_bus_fence_o, -- fence operation + -- data bus -- + d_bus_addr_o => d_bus_addr_o, -- bus access address + d_bus_rdata_i => d_bus_rdata_i, -- bus read data + d_bus_wdata_o => d_bus_wdata_o, -- bus write data + d_bus_ben_o => d_bus_ben_o, -- byte enable + d_bus_we_o => d_bus_we_o, -- write enable + d_bus_re_o => d_bus_re_o, -- read enable + d_bus_lock_o => d_bus_lock_o, -- exclusive access request + d_bus_ack_i => d_bus_ack_i, -- bus transfer acknowledge + d_bus_err_i => d_bus_err_i, -- bus transfer error + d_bus_fence_o => d_bus_fence_o -- fence operation + ); + + -- current privilege level -- + i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c); + d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c); + + +end neorv32_cpu_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_alu.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_alu.vhd new file mode 100644 index 0000000..9226653 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_alu.vhd @@ -0,0 +1,349 @@ +-- ################################################################################################# +-- # << NEORV32 - Arithmetical/Logical Unit >> # +-- # ********************************************************************************************* # +-- # Main data and address ALU and co-processor interface/arbiter. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_alu is + generic ( + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + pc_i : in std_ulogic_vector(data_width_c-1 downto 0); -- current PC + pc2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- next PC + imm_i : in std_ulogic_vector(data_width_c-1 downto 0); -- immediate + csr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data + -- data output -- + cmp_o : out std_ulogic_vector(1 downto 0); -- comparator status + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result + add_o : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result + fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags + -- status -- + idone_o : out std_ulogic -- iterative processing units done? + ); +end neorv32_cpu_alu; + +architecture neorv32_cpu_cpu_rtl of neorv32_cpu_alu is + + -- comparator -- + signal cmp_opx : std_ulogic_vector(data_width_c downto 0); + signal cmp_opy : std_ulogic_vector(data_width_c downto 0); + signal cmp : std_ulogic_vector(1 downto 0); -- comparator status + + -- operands -- + signal opa, opb : std_ulogic_vector(data_width_c-1 downto 0); + + -- results -- + signal addsub_res : std_ulogic_vector(data_width_c downto 0); + signal alu_res : std_ulogic_vector(data_width_c-1 downto 0); + signal cp_res : std_ulogic_vector(data_width_c-1 downto 0); + + -- co-processor arbiter and interface -- + type cp_ctrl_t is record + cmd : std_ulogic; + cmd_ff : std_ulogic; + start : std_ulogic; + busy : std_ulogic; + timeout : std_ulogic_vector(9 downto 0); + end record; + signal cp_ctrl : cp_ctrl_t; + + -- co-processor interface -- + signal cp_start : std_ulogic_vector(3 downto 0); -- trigger co-processor i + signal cp_valid : std_ulogic_vector(3 downto 0); -- co-processor i done + signal cp_result : cp_data_if_t; -- co-processor result + +begin + + -- Comparator Unit (for conditional branches) --------------------------------------------- + -- ------------------------------------------------------------------------------------------- + cmp_opx <= (rs1_i(rs1_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs1_i; + cmp_opy <= (rs2_i(rs2_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs2_i; + + cmp(cmp_equal_c) <= '1' when (rs1_i = rs2_i) else '0'; + cmp(cmp_less_c) <= '1' when (signed(cmp_opx) < signed(cmp_opy)) else '0'; + cmp_o <= cmp; + + + -- ALU Input Operand Mux ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + opa <= pc_i when (ctrl_i(ctrl_alu_opa_mux_c) = '1') else rs1_i; -- operand a (first ALU input operand), only required for arithmetic ops + opb <= imm_i when (ctrl_i(ctrl_alu_opb_mux_c) = '1') else rs2_i; -- operand b (second ALU input operand) + + + -- Binary Adder/Subtracter ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + binary_arithmetic_core: process(ctrl_i, opa, opb) + variable cin_v : std_ulogic_vector(0 downto 0); + variable op_a_v : std_ulogic_vector(data_width_c downto 0); + variable op_b_v : std_ulogic_vector(data_width_c downto 0); + variable op_y_v : std_ulogic_vector(data_width_c downto 0); + variable res_v : std_ulogic_vector(data_width_c downto 0); + begin + -- operand sign-extension -- + op_a_v := (opa(opa'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opa; + op_b_v := (opb(opb'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opb; + -- add/sub(slt) select -- + if (ctrl_i(ctrl_alu_op0_c) = '1') then -- subtraction + op_y_v := not op_b_v; + cin_v(0) := '1'; + else -- addition + op_y_v := op_b_v; + cin_v(0) := '0'; + end if; + -- adder core -- + addsub_res <= std_ulogic_vector(unsigned(op_a_v) + unsigned(op_y_v) + unsigned(cin_v(0 downto 0))); + end process binary_arithmetic_core; + + -- direct output of adder result -- + add_o <= addsub_res(data_width_c-1 downto 0); + + + -- ALU Operation Select ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + alu_core: process(ctrl_i, addsub_res, rs1_i, opb) + begin + case ctrl_i(ctrl_alu_op2_c downto ctrl_alu_op0_c) is + when alu_op_add_c => alu_res <= addsub_res(data_width_c-1 downto 0); -- (default) + when alu_op_sub_c => alu_res <= addsub_res(data_width_c-1 downto 0); +-- when alu_op_mova_c => alu_res <= rs1_i; -- FIXME + when alu_op_slt_c => alu_res <= (others => '0'); alu_res(0) <= addsub_res(addsub_res'left); -- => carry/borrow + when alu_op_movb_c => alu_res <= opb; + when alu_op_xor_c => alu_res <= rs1_i xor opb; -- only rs1 required for logic ops (opa would also contain pc) + when alu_op_or_c => alu_res <= rs1_i or opb; + when alu_op_and_c => alu_res <= rs1_i and opb; + when others => alu_res <= addsub_res(data_width_c-1 downto 0); + end case; + end process alu_core; + + -- ALU Function Select -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + alu_function_mux: process(ctrl_i, alu_res, pc2_i, csr_i, cp_res) + begin + case ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) is + when alu_func_core_c => res_o <= alu_res; -- (default) + when alu_func_nxpc_c => res_o <= pc2_i; + when alu_func_csrr_c => res_o <= csr_i; + when alu_func_copro_c => res_o <= cp_res; + when others => res_o <= alu_res; -- undefined + end case; + end process alu_function_mux; + + + -- ************************************************************************************************************************** + -- Co-Processors + -- ************************************************************************************************************************** + + -- Co-Processor Arbiter ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Interface: + -- Co-processor "valid" signal has to be asserted (for one cycle) one cycle before asserting output data + -- Co-processor "output data" has to be always zero unless co-processor was explicitly triggered + cp_arbiter: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + cp_ctrl.cmd_ff <= '0'; + cp_ctrl.busy <= '0'; + cp_ctrl.timeout <= (others => '0'); + elsif rising_edge(clk_i) then + cp_ctrl.cmd_ff <= cp_ctrl.cmd; + -- timeout counter -- + if (cp_ctrl.start = '1') then + cp_ctrl.busy <= '1'; + elsif (or_reduce_f(cp_valid) = '1') then + cp_ctrl.busy <= '0'; + end if; + if (cp_ctrl.busy = '1') and (cp_timeout_en_c = true) then + cp_ctrl.timeout <= std_ulogic_vector(unsigned(cp_ctrl.timeout) + 1); + else + cp_ctrl.timeout <= (others => '0'); + end if; + if (cp_ctrl.timeout(cp_ctrl.timeout'left) = '1') and (cp_timeout_en_c = true) then -- timeout + assert false report "NEORV32 CPU CO-PROCESSOR TIMEOUT ERROR!" severity warning; + end if; + end if; + end process cp_arbiter; + + -- is co-processor operation? -- + cp_ctrl.cmd <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_copro_c) else '0'; + cp_ctrl.start <= '1' when (cp_ctrl.cmd = '1') and (cp_ctrl.cmd_ff = '0') else '0'; + + -- co-processor select / star trigger -- + cp_start(0) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "00") else '0'; + cp_start(1) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "01") else '0'; + cp_start(2) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "10") else '0'; + cp_start(3) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "11") else '0'; + + -- co-processor operation done? -- + idone_o <= or_reduce_f(cp_valid); + + -- co-processor result - only the *actually selected* co-processor may output data != 0 -- + cp_res <= cp_result(0) or cp_result(1) or cp_result(2) or cp_result(3); + + + -- Co-Processor 0: Shifter (CPU Core ISA) -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_shifter_inst: neorv32_cpu_cp_shifter + generic map ( + FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl_i, -- main control bus + start_i => cp_start(0), -- trigger operation + -- data input -- + rs1_i => rs1_i, -- rf source 1 + shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount + -- result and status -- + res_o => cp_result(0), -- operation result + valid_o => cp_valid(0) -- data output valid + ); + + + -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_muldiv_inst_true: + if (CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = true) generate + neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv + generic map ( + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for faster multiplication + DIVISION_EN => CPU_EXTENSION_RISCV_M -- implement divider hardware + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl_i, -- main control bus + start_i => cp_start(1), -- trigger operation + -- data input -- + rs1_i => rs1_i, -- rf source 1 + rs2_i => rs2_i, -- rf source 2 + -- result and status -- + res_o => cp_result(1), -- operation result + valid_o => cp_valid(1) -- data output valid + ); + end generate; + + neorv32_cpu_cp_muldiv_inst_false: + if (CPU_EXTENSION_RISCV_M = false) and (CPU_EXTENSION_RISCV_Zmmul = false) generate + cp_result(1) <= (others => '0'); + cp_valid(1) <= cp_start(1); -- to make sure CPU does not get stalled if there is an accidental access + end generate; + + + -- Co-Processor 2: Bit-Manipulation Unit ('B' Extension) ---------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_bitmanip_inst_true: + if (CPU_EXTENSION_RISCV_B = true) generate + neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip + generic map ( + FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl_i, -- main control bus + start_i => cp_start(2), -- trigger operation + -- data input -- + cmp_i => cmp, -- comparator status + rs1_i => rs1_i, -- rf source 1 + rs2_i => rs2_i, -- rf source 2 + shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount + -- result and status -- + res_o => cp_result(2), -- operation result + valid_o => cp_valid(2) -- data output valid + ); + end generate; + + neorv32_cpu_cp_bitmanip_inst_false: + if (CPU_EXTENSION_RISCV_B = false) generate + cp_result(2) <= (others => '0'); + cp_valid(2) <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access + end generate; + + + -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) --------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_fpu_inst_true: + if (CPU_EXTENSION_RISCV_Zfinx = true) generate + neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + ctrl_i => ctrl_i, -- main control bus + start_i => cp_start(3), -- trigger operation + -- data input -- + cmp_i => cmp, -- comparator status + rs1_i => rs1_i, -- rf source 1 + rs2_i => rs2_i, -- rf source 2 + -- result and status -- + res_o => cp_result(3), -- operation result + fflags_o => fpu_flags_o, -- exception flags + valid_o => cp_valid(3) -- data output valid + ); + end generate; + + neorv32_cpu_cp_fpu_inst_false: + if (CPU_EXTENSION_RISCV_Zfinx = false) generate + cp_result(3) <= (others => '0'); + fpu_flags_o <= (others => '0'); + cp_valid(3) <= cp_start(3); -- to make sure CPU does not get stalled if there is an accidental access + end generate; + + +end neorv32_cpu_cpu_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_bus.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_bus.vhd new file mode 100644 index 0000000..56713c8 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_bus.vhd @@ -0,0 +1,509 @@ +-- ################################################################################################# +-- # << NEORV32 - Bus Interface Unit >> # +-- # ********************************************************************************************* # +-- # Instruction and data bus interfaces and physical memory protection (PMP). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_bus is + generic ( + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic := '0'; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- cpu instruction fetch interface -- + fetch_pc_i : in std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch + instr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction + i_wait_o : out std_ulogic; -- wait for fetch to complete + -- + ma_instr_o : out std_ulogic; -- misaligned instruction address + be_instr_o : out std_ulogic; -- bus error on instruction access + -- cpu data access interface -- + addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address + wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- write data + rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- read data + mar_o : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register + d_wait_o : out std_ulogic; -- wait for access to complete + -- + excl_state_o : out std_ulogic; -- atomic/exclusive access status + ma_load_o : out std_ulogic; -- misaligned load data address + ma_store_o : out std_ulogic; -- misaligned store data address + be_load_o : out std_ulogic; -- bus error on load data access + be_store_o : out std_ulogic; -- bus error on store data access + -- physical memory protection -- + pmp_addr_i : in pmp_addr_if_t; -- addresses + pmp_ctrl_i : in pmp_ctrl_if_t; -- configs + -- instruction bus -- + i_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + i_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + i_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + i_bus_we_o : out std_ulogic; -- write enable + i_bus_re_o : out std_ulogic; -- read enable + i_bus_lock_o : out std_ulogic; -- exclusive access request + i_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + i_bus_err_i : in std_ulogic; -- bus transfer error + i_bus_fence_o : out std_ulogic; -- fence operation + -- data bus -- + d_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + d_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + d_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + d_bus_we_o : out std_ulogic; -- write enable + d_bus_re_o : out std_ulogic; -- read enable + d_bus_lock_o : out std_ulogic; -- exclusive access request + d_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + d_bus_err_i : in std_ulogic; -- bus transfer error + d_bus_fence_o : out std_ulogic -- fence operation + ); +end neorv32_cpu_bus; + +architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is + + -- PMP modes -- + constant pmp_off_mode_c : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled) +--constant pmp_tor_mode_c : std_ulogic_vector(1 downto 0) := "01"; -- top of range +--constant pmp_na4_mode_c : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region + constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes) + + -- PMP granularity -- + constant pmp_g_c : natural := index_size_f(PMP_MIN_GRANULARITY); + + -- PMP configuration register bits -- + constant pmp_cfg_r_c : natural := 0; -- read permit + constant pmp_cfg_w_c : natural := 1; -- write permit + constant pmp_cfg_x_c : natural := 2; -- execute permit + constant pmp_cfg_al_c : natural := 3; -- mode bit low + constant pmp_cfg_ah_c : natural := 4; -- mode bit high + -- + constant pmp_cfg_l_c : natural := 7; -- locked entry + + -- data interface registers -- + signal mar, mdo, mdi : std_ulogic_vector(data_width_c-1 downto 0); + + -- data access -- + signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data + signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data + signal rdata_align : std_ulogic_vector(data_width_c-1 downto 0); -- read-data alignment + signal d_bus_ben : std_ulogic_vector(3 downto 0); -- write data byte enable + + -- misaligned access? -- + signal d_misaligned, i_misaligned : std_ulogic; + + -- bus arbiter -- + type bus_arbiter_t is record + rd_req : std_ulogic; -- read access in progress + wr_req : std_ulogic; -- write access in progress + err_align : std_ulogic; -- alignment error + err_bus : std_ulogic; -- bus access error + end record; + signal i_arbiter, d_arbiter : bus_arbiter_t; + + -- atomic/exclusive access - reservation controller -- + signal exclusive_lock : std_ulogic; + signal exclusive_lock_status : std_ulogic_vector(data_width_c-1 downto 0); -- read data + + -- physical memory protection -- + type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0); + type pmp_t is record + addr_mask : pmp_addr_t; + region_base : pmp_addr_t; -- region config base address + region_i_addr : pmp_addr_t; -- masked instruction access base address for comparator + region_d_addr : pmp_addr_t; -- masked data access base address for comparator + i_match : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for instruction interface + d_match : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for data interface + if_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for fetch operation + ld_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for load operation + st_fault : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for store operation + end record; + signal pmp : pmp_t; + + -- memory control signal buffer (when using PMP) -- + signal d_bus_we, d_bus_we_buf : std_ulogic; + signal d_bus_re, d_bus_re_buf : std_ulogic; + signal i_bus_re, i_bus_re_buf : std_ulogic; + + -- pmp faults anyone? -- + signal if_pmp_fault : std_ulogic; -- pmp instruction access fault + signal ld_pmp_fault : std_ulogic; -- pmp load access fault + signal st_pmp_fault : std_ulogic; -- pmp store access fault + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (PMP_NUM_REGIONS > pmp_num_regions_critical_c) report "NEORV32 CPU CONFIG WARNING! Number of implemented PMP regions (PMP_NUM_REGIONS = " & + integer'image(PMP_NUM_REGIONS) & ") beyond critical limit (pmp_num_regions_critical_c = " & integer'image(pmp_num_regions_critical_c) & + "). Inserting another register stage (that will increase memory latency by +1 cycle)." severity warning; + + + -- Data Interface: Access Address --------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_adr_reg: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + mar <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (ctrl_i(ctrl_bus_mo_we_c) = '1') then + mar <= addr_i; + end if; + end if; + end process mem_adr_reg; + + -- read-back for exception controller -- + mar_o <= mar; + + -- alignment check -- + misaligned_d_check: process(mar, ctrl_i) + begin + -- check data access -- + d_misaligned <= '0'; -- default + case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size + when "00" => -- byte + d_misaligned <= '0'; + when "01" => -- half-word + if (mar(0) /= '0') then + d_misaligned <= '1'; + end if; + when others => -- word + if (mar(1 downto 0) /= "00") then + d_misaligned <= '1'; + end if; + end case; + end process misaligned_d_check; + + + -- Data Interface: Write Data ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_do_reg: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + mdo <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (ctrl_i(ctrl_bus_mo_we_c) = '1') then + mdo <= wdata_i; -- memory data output register (MDO) + end if; + end if; + end process mem_do_reg; + + -- byte enable and output data alignment -- + byte_enable: process(mar, mdo, ctrl_i) + begin + case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size + when "00" => -- byte + d_bus_wdata(07 downto 00) <= mdo(07 downto 00); + d_bus_wdata(15 downto 08) <= mdo(07 downto 00); + d_bus_wdata(23 downto 16) <= mdo(07 downto 00); + d_bus_wdata(31 downto 24) <= mdo(07 downto 00); + case mar(1 downto 0) is + when "00" => d_bus_ben <= "0001"; + when "01" => d_bus_ben <= "0010"; + when "10" => d_bus_ben <= "0100"; + when others => d_bus_ben <= "1000"; + end case; + when "01" => -- half-word + d_bus_wdata(31 downto 16) <= mdo(15 downto 00); + d_bus_wdata(15 downto 00) <= mdo(15 downto 00); + if (mar(1) = '0') then + d_bus_ben <= "0011"; -- low half-word + else + d_bus_ben <= "1100"; -- high half-word + end if; + when others => -- word + d_bus_wdata <= mdo; + d_bus_ben <= "1111"; -- full word + end case; + end process byte_enable; + + + -- Data Interface: Read Data -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_di_reg: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + mdi <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (ctrl_i(ctrl_bus_mi_we_c) = '1') then + mdi <= d_bus_rdata; -- memory data input register (MDI) + end if; + end if; + end process mem_di_reg; + + -- input data alignment and sign extension -- + read_align: process(mdi, mar, ctrl_i) + variable byte_in_v : std_ulogic_vector(07 downto 0); + variable hword_in_v : std_ulogic_vector(15 downto 0); + begin + -- sub-word input -- + case mar(1 downto 0) is + when "00" => byte_in_v := mdi(07 downto 00); hword_in_v := mdi(15 downto 00); -- byte 0 / half-word 0 + when "01" => byte_in_v := mdi(15 downto 08); hword_in_v := mdi(15 downto 00); -- byte 1 / half-word 0 + when "10" => byte_in_v := mdi(23 downto 16); hword_in_v := mdi(31 downto 16); -- byte 2 / half-word 1 + when others => byte_in_v := mdi(31 downto 24); hword_in_v := mdi(31 downto 16); -- byte 3 / half-word 1 + end case; + -- actual data size -- + case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is + when "00" => -- byte + rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and byte_in_v(7))); -- sign extension + rdata_align(07 downto 00) <= byte_in_v; + when "01" => -- half-word + rdata_align(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and hword_in_v(15))); -- sign extension + rdata_align(15 downto 00) <= hword_in_v; -- high half-word + when others => -- word + rdata_align <= mdi; -- full word + end case; + end process read_align; + + -- insert exclusive lock status for SC operations only -- + rdata_o <= exclusive_lock_status when (CPU_EXTENSION_RISCV_A = true) and (ctrl_i(ctrl_bus_ch_lock_c) = '1') else rdata_align; + + + -- Data Access Arbiter -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + data_access_arbiter: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + d_arbiter.wr_req <= '0'; + d_arbiter.rd_req <= '0'; + d_arbiter.err_align <= '0'; + d_arbiter.err_bus <= '0'; + elsif rising_edge(clk_i) then + -- data access request -- + if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle + d_arbiter.wr_req <= ctrl_i(ctrl_bus_wr_c); + d_arbiter.rd_req <= ctrl_i(ctrl_bus_rd_c); + d_arbiter.err_align <= d_misaligned; + d_arbiter.err_bus <= '0'; + else -- in progress + d_arbiter.err_align <= (d_arbiter.err_align or d_misaligned) and (not ctrl_i(ctrl_bus_derr_ack_c)); + d_arbiter.err_bus <= (d_arbiter.err_bus or d_bus_err_i or (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req)) and + (not ctrl_i(ctrl_bus_derr_ack_c)); + if (d_bus_ack_i = '1') or (ctrl_i(ctrl_bus_derr_ack_c) = '1') then -- wait for normal termination / CPU abort + d_arbiter.wr_req <= '0'; + d_arbiter.rd_req <= '0'; + end if; + end if; + end if; + end process data_access_arbiter; + + -- wait for bus transaction to finish -- + d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i); + + -- output data access error to controller -- + ma_load_o <= d_arbiter.rd_req and d_arbiter.err_align; + be_load_o <= d_arbiter.rd_req and d_arbiter.err_bus; + ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align; + be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus; + + -- data bus (read/write)-- + d_bus_addr_o <= mar; + d_bus_wdata_o <= d_bus_wdata; + d_bus_ben_o <= d_bus_ben; + d_bus_we <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault + d_bus_re <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault + d_bus_we_o <= d_bus_we_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_we; + d_bus_re_o <= d_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_re; + d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c); + d_bus_rdata <= d_bus_rdata_i; + + -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c -- + pmp_dbus_buffer: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + d_bus_we_buf <= '0'; + d_bus_re_buf <= '0'; + elsif rising_edge(clk_i) then + d_bus_we_buf <= d_bus_we; + d_bus_re_buf <= d_bus_re; + end if; + end process pmp_dbus_buffer; + + + -- Reservation Controller (LR/SC [A extension]) ------------------------------------------- + -- ------------------------------------------------------------------------------------------- + exclusive_access_controller: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + exclusive_lock <= '0'; + elsif rising_edge(clk_i) then + if (CPU_EXTENSION_RISCV_A = true) then + if (ctrl_i(ctrl_trap_c) = '1') or (ctrl_i(ctrl_bus_de_lock_c) = '1') then -- remove lock if entering a trap or executing a non-load-reservate memory access + exclusive_lock <= '0'; + elsif (ctrl_i(ctrl_bus_lock_c) = '1') then -- set new lock + exclusive_lock <= '1'; + end if; + else + exclusive_lock <= '0'; + end if; + end if; + end process exclusive_access_controller; + + -- lock status for SC operation -- + exclusive_lock_status(data_width_c-1 downto 1) <= (others => '0'); + exclusive_lock_status(0) <= not exclusive_lock; + + -- output reservation status to control unit (to check if SC should write at all) -- + excl_state_o <= exclusive_lock; + + -- output to memory system -- + i_bus_lock_o <= '0'; -- instruction fetches cannot be locked + d_bus_lock_o <= exclusive_lock; + + + -- Instruction Fetch Arbiter -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ifetch_arbiter: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + i_arbiter.rd_req <= '0'; + i_arbiter.err_align <= '0'; + i_arbiter.err_bus <= '0'; + elsif rising_edge(clk_i) then + -- instruction fetch request -- + if (i_arbiter.rd_req = '0') then -- idle + i_arbiter.rd_req <= ctrl_i(ctrl_bus_if_c); + i_arbiter.err_align <= i_misaligned; + i_arbiter.err_bus <= '0'; + else -- in progres + i_arbiter.err_align <= (i_arbiter.err_align or i_misaligned) and (not ctrl_i(ctrl_bus_ierr_ack_c)); + i_arbiter.err_bus <= (i_arbiter.err_bus or i_bus_err_i or if_pmp_fault) and (not ctrl_i(ctrl_bus_ierr_ack_c)); + if (i_bus_ack_i = '1') or (ctrl_i(ctrl_bus_ierr_ack_c) = '1') then -- wait for normal termination / CPU abort + i_arbiter.rd_req <= '0'; + end if; + end if; + end if; + end process ifetch_arbiter; + + i_arbiter.wr_req <= '0'; -- instruction fetch is read-only + + -- wait for bus transaction to finish -- + i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i); + + -- output instruction fetch error to controller -- + ma_instr_o <= i_arbiter.err_align; + be_instr_o <= i_arbiter.err_bus; + + -- instruction bus (read-only) -- + i_bus_addr_o <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions) + i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only + i_bus_ben_o <= (others => '0'); + i_bus_we_o <= '0'; + i_bus_re <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault + i_bus_re_o <= i_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else i_bus_re; + i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c); + instr_o <= i_bus_rdata_i; + + -- check instruction access address alignment -- + i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension + '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only + + -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c -- + pmp_ibus_buffer: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + i_bus_re_buf <= '0'; + elsif rising_edge(clk_i) then + i_bus_re_buf <= i_bus_re; + end if; + end process pmp_ibus_buffer; + + + -- Physical Memory Protection (PMP) ------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- compute address masks (ITERATIVE!!!) -- + pmp_masks: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + pmp.addr_mask <= (others => (others => def_rst_val_c)); + elsif rising_edge(clk_i) then -- address mask computation (not the actual address check!) has a latency of max +32 cycles + for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions + pmp.addr_mask(r) <= (others => '0'); + for i in pmp_g_c to data_width_c-1 loop + pmp.addr_mask(r)(i) <= pmp.addr_mask(r)(i-1) or (not pmp_addr_i(r)(i-1)); + end loop; -- i + end loop; -- r + end if; + end process pmp_masks; + + + -- address access check -- + pmp_address_check: + for r in 0 to PMP_NUM_REGIONS-1 generate -- iterate over all regions + pmp.region_i_addr(r) <= fetch_pc_i and pmp.addr_mask(r); + pmp.region_d_addr(r) <= mar and pmp.addr_mask(r); + pmp.region_base(r) <= pmp_addr_i(r)(data_width_c+1 downto 2) and pmp.addr_mask(r); + -- + pmp.i_match(r) <= '1' when (pmp.region_i_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0'; + pmp.d_match(r) <= '1' when (pmp.region_d_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0'; + end generate; -- r + + + -- check access type and region's permissions -- + pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i) + begin + for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions + if ((ctrl_i(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) = priv_mode_u_c) or (pmp_ctrl_i(r)(pmp_cfg_l_c) = '1')) and -- user privilege level or locked pmp entry -> enforce permissions also for machine mode + (pmp_ctrl_i(r)(pmp_cfg_ah_c downto pmp_cfg_al_c) /= pmp_off_mode_c) and -- active entry + (ctrl_i(ctrl_debug_running_c) = '0') then -- disable PMP checks when in debug mode + pmp.if_fault(r) <= pmp.i_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_x_c)); -- fetch access match no execute permission + pmp.ld_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_r_c)); -- load access match no read permission + pmp.st_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_w_c)); -- store access match no write permission + else + pmp.if_fault(r) <= '0'; + pmp.ld_fault(r) <= '0'; + pmp.st_fault(r) <= '0'; + end if; + end loop; -- r + end process pmp_check_permission; + + + -- final PMP access fault signals -- + if_pmp_fault <= or_reduce_f(pmp.if_fault) when (PMP_NUM_REGIONS > 0) else '0'; + ld_pmp_fault <= or_reduce_f(pmp.ld_fault) when (PMP_NUM_REGIONS > 0) else '0'; + st_pmp_fault <= or_reduce_f(pmp.st_fault) when (PMP_NUM_REGIONS > 0) else '0'; + + +end neorv32_cpu_bus_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_control.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_control.vhd new file mode 100644 index 0000000..efea013 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_control.vhd @@ -0,0 +1,2835 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Control >> # +-- # ********************************************************************************************* # +-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an # +-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction # +-- # words) and an execute engine (responsible for actually executing the instructions), a trap # +-- # handling controller and the RISC-V status and control register set (CSRs) including the # +-- # hardware performance monitor counters. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_control is + generic ( + -- General -- + HW_THREAD_ID : natural; -- hardware thread id (32-bit) + CPU_BOOT_ADDR : std_ulogic_vector(31 downto 0); -- cpu boot address + CPU_DEBUG_ADDR : std_ulogic_vector(31 downto 0); -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural; -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural -- total size of HPM counters (0..64) + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_o : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- status input -- + alu_idone_i : in std_ulogic; -- ALU iterative operation done + bus_i_wait_i : in std_ulogic; -- wait for bus + bus_d_wait_i : in std_ulogic; -- wait for bus + excl_state_i : in std_ulogic; -- atomic/exclusive access lock status + -- data input -- + instr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- instruction + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + alu_add_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + -- data output -- + imm_o : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate + fetch_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch + curr_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction) + next_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction) + csr_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data + -- FPU interface -- + fpu_flags_i : in std_ulogic_vector(04 downto 0); -- exception flags + -- debug mode (halt) request -- + db_halt_req_i : in std_ulogic; + -- interrupts (risc-v compliant) -- + msw_irq_i : in std_ulogic; -- machine software interrupt + mext_irq_i : in std_ulogic; -- machine external interrupt + mtime_irq_i : in std_ulogic; -- machine timer interrupt + -- fast interrupts (custom) -- + firq_i : in std_ulogic_vector(15 downto 0); + -- system time input from MTIME -- + time_i : in std_ulogic_vector(63 downto 0); -- current system time + -- physical memory protection -- + pmp_addr_o : out pmp_addr_if_t; -- addresses + pmp_ctrl_o : out pmp_ctrl_if_t; -- configs + -- bus access exceptions -- + mar_i : in std_ulogic_vector(data_width_c-1 downto 0); -- memory address register + ma_instr_i : in std_ulogic; -- misaligned instruction address + ma_load_i : in std_ulogic; -- misaligned load data address + ma_store_i : in std_ulogic; -- misaligned store data address + be_instr_i : in std_ulogic; -- bus error on instruction access + be_load_i : in std_ulogic; -- bus error on load data access + be_store_i : in std_ulogic -- bus error on store data access + ); +end neorv32_cpu_control; + +architecture neorv32_cpu_control_rtl of neorv32_cpu_control is + + -- CPU core counter ([m]cycle, [m]instret) width - high/low parts -- + constant cpu_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH < 32), CPU_CNT_WIDTH, 32)); + constant cpu_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH > 32), CPU_CNT_WIDTH-32, 0)); + + -- HPM counter width - high/low parts -- + constant hpm_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH < 32), HPM_CNT_WIDTH, 32)); + constant hpm_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH > 32), HPM_CNT_WIDTH-32, 0)); + + -- instruction fetch engine -- + type fetch_engine_state_t is (IFETCH_REQUEST, IFETCH_ISSUE); + type fetch_engine_t is record + state : fetch_engine_state_t; + state_nxt : fetch_engine_state_t; + state_prev : fetch_engine_state_t; + restart : std_ulogic; + restart_nxt : std_ulogic; + pc : std_ulogic_vector(data_width_c-1 downto 0); + pc_nxt : std_ulogic_vector(data_width_c-1 downto 0); + reset : std_ulogic; + bus_err_ack : std_ulogic; + end record; + signal fetch_engine : fetch_engine_t; + + -- instruction prefetch buffer (FIFO) interface -- + type ipb_t is record + wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data + we : std_ulogic; -- trigger write + free : std_ulogic; -- free entry available? + clear : std_ulogic; -- clear all entries + -- + rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data + re : std_ulogic; -- read enable + avail : std_ulogic; -- data available? + end record; + signal ipb : ipb_t; + + -- pre-decoder -- + signal ci_instr16 : std_ulogic_vector(15 downto 0); + signal ci_instr32 : std_ulogic_vector(31 downto 0); + signal ci_illegal : std_ulogic; + + -- instruction issue engine -- + type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN); + type issue_engine_t is record + state : issue_engine_state_t; + state_nxt : issue_engine_state_t; + align : std_ulogic; + align_nxt : std_ulogic; + buf : std_ulogic_vector(2+15 downto 0); + buf_nxt : std_ulogic_vector(2+15 downto 0); + end record; + signal issue_engine : issue_engine_t; + + -- instruction issue interface -- + type cmd_issue_t is record + data : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction + valid : std_ulogic; -- data word is valid when set + end record; + signal cmd_issue : cmd_issue_t; + + -- instruction decoding helper logic -- + type decode_aux_t is record + is_atomic_lr : std_ulogic; + is_atomic_sc : std_ulogic; + is_float_op : std_ulogic; + sys_env_cmd : std_ulogic_vector(11 downto 0); + is_m_mul : std_ulogic; + is_m_div : std_ulogic; + is_bitmanip_imm : std_ulogic; + is_bitmanip_reg : std_ulogic; + rs1_zero : std_ulogic; + rs2_zero : std_ulogic; + rd_zero : std_ulogic; + end record; + signal decode_aux : decode_aux_t; + + -- instruction execution engine -- + type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP_ENTER, TRAP_EXIT, TRAP_EXECUTE, EXECUTE, ALU_WAIT, + BRANCH, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS); + type execute_engine_t is record + state : execute_engine_state_t; + state_nxt : execute_engine_state_t; + state_prev : execute_engine_state_t; + -- + i_reg : std_ulogic_vector(31 downto 0); + i_reg_nxt : std_ulogic_vector(31 downto 0); + i_reg_last : std_ulogic_vector(31 downto 0); -- last executed instruction + -- + is_ci : std_ulogic; -- current instruction is de-compressed instruction + is_ci_nxt : std_ulogic; + is_ici : std_ulogic; -- current instruction is illegal de-compressed instruction + is_ici_nxt : std_ulogic; + -- + branch_taken : std_ulogic; -- branch condition fulfilled + pc : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction + pc_mux_sel : std_ulogic; -- source select for PC update + pc_we : std_ulogic; -- PC update enabled + next_pc : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed + next_pc_inc : std_ulogic_vector(data_width_c-1 downto 0); -- increment to get next PC + last_pc : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction + -- + sleep : std_ulogic; -- CPU in sleep mode + sleep_nxt : std_ulogic; + branched : std_ulogic; -- instruction fetch was reset + branched_nxt : std_ulogic; + end record; + signal execute_engine : execute_engine_t; + + -- trap controller -- + type trap_ctrl_t is record + exc_buf : std_ulogic_vector(exception_width_c-1 downto 0); + exc_fire : std_ulogic; -- set if there is a valid source in the exception buffer + irq_buf : std_ulogic_vector(interrupt_width_c-1 downto 0); + irq_fire : std_ulogic; -- set if there is a valid source in the interrupt buffer + exc_ack : std_ulogic; -- acknowledge all exceptions + cause : std_ulogic_vector(6 downto 0); -- trap ID for mcause CSR + cause_nxt : std_ulogic_vector(6 downto 0); + db_irq_fire : std_ulogic; -- set if there is a valid IRQ source in the "enter debug mode" trap buffer + db_irq_en : std_ulogic; -- set if IRQs are allowed in debug mode + -- + env_start : std_ulogic; -- start trap handler env + env_start_ack : std_ulogic; -- start of trap handler acknowledged + env_end : std_ulogic; -- end trap handler env + -- + instr_be : std_ulogic; -- instruction fetch bus error + instr_ma : std_ulogic; -- instruction fetch misaligned address + instr_il : std_ulogic; -- illegal instruction + env_call : std_ulogic; + break_point : std_ulogic; + end record; + signal trap_ctrl : trap_ctrl_t; + + -- CPU main control bus -- + signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0); + + -- fast instruction fetch access -- + signal bus_fast_ir : std_ulogic; + + -- RISC-V control and status registers (CSRs) -- + type pmp_ctrl_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0); + type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0); + type pmp_ctrl_rd_t is array (0 to 63) of std_ulogic_vector(7 downto 0); + type mhpmevent_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0); + type mhpmcnt_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0); + type mhpmcnt_nxt_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0); + type mhpmcnt_ovfl_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(0 downto 0); + type mhpmcnt_rd_t is array (0 to 29) of std_ulogic_vector(31 downto 0); + type csr_t is record + addr : std_ulogic_vector(11 downto 0); -- csr address + we : std_ulogic; -- csr write enable + we_nxt : std_ulogic; + wdata : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data + rdata : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data + -- + mstatus_mie : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W) + mstatus_mpie : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W) + mstatus_mpp : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode + -- + mie_msie : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W) + mie_meie : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W) + mie_mtie : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W) + mie_firqe : std_ulogic_vector(15 downto 0); -- mie.firq*e: fast interrupt enabled (R/W) + -- + mip_clr : std_ulogic_vector(15 downto 0); -- clear pending FIRQ + -- + mcounteren_cy : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode + mcounteren_tm : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode + mcounteren_ir : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode + -- + mcountinhibit_cy : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h] + mcountinhibit_ir : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h] + mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h] + -- + privilege : std_ulogic_vector(1 downto 0); -- hart's current privilege mode + privilege_rd : std_ulogic_vector(1 downto 0); -- hart's current privilege mode (effective) + priv_m_mode : std_ulogic; -- CPU in M-mode + priv_u_mode : std_ulogic; -- CPU in u-mode + -- + mepc : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W) + mcause : std_ulogic_vector(5 downto 0); -- mcause: machine trap cause (R/W) + mtvec : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00 + mtval : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or instruction (R/W) + -- + mhpmevent : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W) + -- + mscratch : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W) + -- + mcycle : std_ulogic_vector(31 downto 0); -- mcycle (R/W) + mcycle_nxt : std_ulogic_vector(32 downto 0); + mcycle_ovfl : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow + mcycleh : std_ulogic_vector(31 downto 0); -- mcycleh (R/W) + minstret : std_ulogic_vector(31 downto 0); -- minstret (R/W) + minstret_nxt : std_ulogic_vector(32 downto 0); + minstret_ovfl : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow + minstreth : std_ulogic_vector(31 downto 0); -- minstreth (R/W) + -- + mhpmcounter : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit + mhpmcounter_nxt : mhpmcnt_nxt_t; + mhpmcounter_ovfl : mhpmcnt_ovfl_t; -- counter low-to-high-word overflow + mhpmcounterh : mhpmcnt_t; -- mhpmcounter*h (R/W) + mhpmcounter_rd : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data + mhpmcounterh_rd : mhpmcnt_rd_t; -- mhpmcounter*h (R/W): actual read data + -- + pmpcfg : pmp_ctrl_t; -- physical memory protection - configuration registers + pmpcfg_rd : pmp_ctrl_rd_t; -- physical memory protection - actual read data + pmpaddr : pmp_addr_t; -- physical memory protection - address registers + -- + frm : std_ulogic_vector(02 downto 0); -- frm (R/W): FPU rounding mode + fflags : std_ulogic_vector(04 downto 0); -- fflags (R/W): FPU exception flags + -- + dcsr_ebreakm : std_ulogic; -- dcsr.ebreakm (R/W): behavior of ebreak instruction on m-mode + dcsr_ebreaku : std_ulogic; -- dcsr.ebreaku (R/W): behavior of ebreak instruction on u-mode + dcsr_step : std_ulogic; -- dcsr.step (R/W): single-step mode + dcsr_prv : std_ulogic_vector(01 downto 0); -- dcsr.prv (R/W): current privilege level when entering debug mode + dcsr_cause : std_ulogic_vector(02 downto 0); -- dcsr.cause (R/-): why was debug mode entered + dcsr_rd : std_ulogic_vector(data_width_c-1 downto 0); -- dcsr (R/(W)): debug mode control and status register + dpc : std_ulogic_vector(data_width_c-1 downto 0); -- dpc (R/W): debug mode program counter + dscratch0 : std_ulogic_vector(data_width_c-1 downto 0); -- dscratch0 (R/W): debug mode scratch register 0 + end record; + signal csr : csr_t; + + -- debug mode controller -- + type debug_ctrl_state_t is (DEBUG_OFFLINE, DEBUG_PENDING, DEBUG_ONLINE, DEBUG_EXIT); + type debug_ctrl_t is record + state : debug_ctrl_state_t; + -- decoded state -- + running : std_ulogic; -- debug mode active + pending : std_ulogic; -- waiting to start debug mode + -- entering triggers -- + trig_break : std_ulogic; -- ebreak instruction + trig_halt : std_ulogic; -- external request + trig_step : std_ulogic; -- single-stepping mode + -- leave debug mode -- + dret : std_ulogic; -- executed DRET instruction + -- misc -- + ext_halt_req : std_ulogic; + end record; + signal debug_ctrl : debug_ctrl_t; + + -- (hpm) counter events -- + signal cnt_event : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0); + signal hpmcnt_trigger : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); + + -- illegal instruction check -- + signal illegal_opcode_lsbs : std_ulogic; -- opcode != rv32 + signal illegal_instruction : std_ulogic; + signal illegal_register : std_ulogic; -- illegal register (>x15) - E-extension + signal illegal_compressed : std_ulogic; -- illegal compressed instruction - C-extension + + -- access (privilege) check -- + signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights) + +begin + +-- **************************************************************************************************************************** +-- Instruction Fetch (always fetch 32-bit-aligned 32-bit chunks of data) +-- **************************************************************************************************************************** + + -- Fetch Engine FSM Sync ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + fetch_engine_fsm_sync: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + fetch_engine.state <= IFETCH_REQUEST; + fetch_engine.state_prev <= IFETCH_REQUEST; + fetch_engine.restart <= '1'; + fetch_engine.pc <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + fetch_engine.state <= fetch_engine.state_nxt; + fetch_engine.state_prev <= fetch_engine.state; + fetch_engine.restart <= fetch_engine.restart_nxt; + if (fetch_engine.restart = '1') then + fetch_engine.pc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC + else + fetch_engine.pc <= fetch_engine.pc_nxt; + end if; + end if; + end process fetch_engine_fsm_sync; + + -- PC output -- + fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned + + + -- Fetch Engine FSM Comb ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i) + begin + -- arbiter defaults -- + bus_fast_ir <= '0'; + fetch_engine.state_nxt <= fetch_engine.state; + fetch_engine.pc_nxt <= fetch_engine.pc; + fetch_engine.bus_err_ack <= '0'; + fetch_engine.restart_nxt <= fetch_engine.restart or fetch_engine.reset; + + -- instruction prefetch buffer interface -- + ipb.we <= '0'; + ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word + ipb.clear <= fetch_engine.restart; + + -- state machine -- + case fetch_engine.state is + + when IFETCH_REQUEST => -- request new 32-bit-aligned instruction word + -- ------------------------------------------------------------ + if (ipb.free = '1') and (fetch_engine.restart = '0') then -- free entry in buffer AND no reset request? + bus_fast_ir <= '1'; -- fast instruction fetch request + fetch_engine.state_nxt <= IFETCH_ISSUE; + end if; + if (fetch_engine.restart = '1') then -- reset request? + fetch_engine.restart_nxt <= '0'; + end if; + + when IFETCH_ISSUE => -- store instruction data to prefetch buffer + -- ------------------------------------------------------------ + fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors + if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response + fetch_engine.pc_nxt <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4); + ipb.we <= not fetch_engine.restart; -- write to IPB if not being reset + if (fetch_engine.restart = '1') then -- reset request? + fetch_engine.restart_nxt <= '0'; + end if; + fetch_engine.state_nxt <= IFETCH_REQUEST; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + fetch_engine.state_nxt <= IFETCH_REQUEST; + + end case; + end process fetch_engine_fsm_comb; + + +-- **************************************************************************************************************************** +-- Instruction Prefetch Buffer +-- **************************************************************************************************************************** + + -- Instruction Prefetch Buffer (FIFO) ----------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + instr_prefetch_buffer: neorv32_fifo + generic map ( + FIFO_DEPTH => CPU_IPB_ENTRIES, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => ipb.wdata'length, -- size of data elements in fifo + FIFO_RSYNC => false, -- we NEED to read data asynchronously + FIFO_SAFE => false -- no safe access required (ensured by FIFO-external control) + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => ipb.clear, -- sync reset, high-active + level_o => open, + half_o => open, + -- write port -- + wdata_i => ipb.wdata, -- write data + we_i => ipb.we, -- write enable + free_o => ipb.free, -- at least one entry is free when set + -- read port -- + re_i => ipb.re, -- read enable + rdata_o => ipb.rdata, -- read data + avail_o => ipb.avail -- data available when set + ); + + +-- **************************************************************************************************************************** +-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction) +-- **************************************************************************************************************************** + + -- Issue Engine FSM Sync ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + issue_engine_fsm_sync: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + issue_engine.state <= ISSUE_ACTIVE; + issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary + issue_engine.buf <= (others => '0'); + elsif rising_edge(clk_i) then + if (ipb.clear = '1') then + if (CPU_EXTENSION_RISCV_C = true) and (execute_engine.pc(1) = '1') then -- branch to unaligned address? + issue_engine.state <= ISSUE_REALIGN; + issue_engine.align <= '1'; -- aligned on 16-bit boundary + else + issue_engine.state <= issue_engine.state_nxt; + issue_engine.align <= '0'; -- always aligned on 32-bit boundaries + end if; + else + issue_engine.state <= issue_engine.state_nxt; + issue_engine.align <= issue_engine.align_nxt; + end if; + issue_engine.buf <= issue_engine.buf_nxt; + end if; + end process issue_engine_fsm_sync; + + + -- Issue Engine FSM Comb ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32) + begin + -- arbiter defaults -- + issue_engine.state_nxt <= issue_engine.state; + issue_engine.align_nxt <= issue_engine.align; + issue_engine.buf_nxt <= issue_engine.buf; + + -- instruction prefetch buffer interface defaults -- + ipb.re <= '0'; + + -- instruction issue interface defaults -- + -- cmd_issue.data = & & & <32-bit_instruction_word> + cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0); + cmd_issue.valid <= '0'; + + -- state machine -- + case issue_engine.state is + + when ISSUE_ACTIVE => -- issue instruction if available + -- ------------------------------------------------------------ + if (ipb.avail = '1') then -- instructions available? + + if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word + if (execute_engine.state = DISPATCH) then -- ready to issue new command? + cmd_issue.valid <= '1'; + issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction + if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned" + ipb.re <= '1'; + cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0); + else -- compressed + ipb.re <= '1'; + cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32; + issue_engine.align_nxt <= '1'; + end if; + end if; + + else -- begin check in HIGH instruction half-word + if (execute_engine.state = DISPATCH) then -- ready to issue new command? + cmd_issue.valid <= '1'; + issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction + if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned" + ipb.re <= '1'; + cmd_issue.data <= '0' & (ipb.rdata(33 downto 32) or issue_engine.buf(17 downto 16)) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0)); + else -- compressed + -- do not read from ipb here! + cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32; + issue_engine.align_nxt <= '0'; + end if; + end if; + end if; + end if; + + when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address + -- ------------------------------------------------------------ + issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); + if (ipb.avail = '1') then -- instructions available? + ipb.re <= '1'; + issue_engine.state_nxt <= ISSUE_ACTIVE; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + issue_engine.state_nxt <= ISSUE_ACTIVE; + + end case; + end process issue_engine_fsm_comb; + + -- 16-bit instructions: half-word select -- + ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0); + + + -- Compressed Instructions Recoding ------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_decompressor_inst_true: + if (CPU_EXTENSION_RISCV_C = true) generate + neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor + port map ( + -- instruction input -- + ci_instr16_i => ci_instr16, -- compressed instruction input + -- instruction output -- + ci_illegal_o => ci_illegal, -- is an illegal compressed instruction + ci_instr32_o => ci_instr32 -- 32-bit decompressed instruction + ); + end generate; + + neorv32_cpu_decompressor_inst_false: + if (CPU_EXTENSION_RISCV_C = false) generate + ci_instr32 <= (others => '0'); + ci_illegal <= '0'; + end generate; + + +-- **************************************************************************************************************************** +-- Instruction Execution +-- **************************************************************************************************************************** + + -- Immediate Generator -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imm_gen: process(rstn_i, clk_i) + variable opcode_v : std_ulogic_vector(6 downto 0); + begin + if (rstn_i = '0') then + imm_o <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- default: I-immediate: ALU-immediate, loads, jump-and-link with registers + imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension + imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25); + imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21); + imm_o(00) <= execute_engine.i_reg(20); + + opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; + case opcode_v is -- save some bits here, the two LSBs are always "11" for rv32 + when opcode_store_c => -- S-immediate: store + imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension + imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25); + imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08); + imm_o(00) <= execute_engine.i_reg(07); + when opcode_branch_c => -- B-immediate: conditional branches + imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension + imm_o(11) <= execute_engine.i_reg(07); + imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25); + imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08); + imm_o(00) <= '0'; + when opcode_lui_c | opcode_auipc_c => -- U-immediate: lui, auipc + imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20); + imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12); + imm_o(11 downto 00) <= (others => '0'); + when opcode_jal_c => -- J-immediate: unconditional jumps + imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension + imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12); + imm_o(11) <= execute_engine.i_reg(20); + imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25); + imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21); + imm_o(00) <= '0'; + when opcode_atomic_c => -- atomic memory access and everything else + if (CPU_EXTENSION_RISCV_A = true) then + imm_o <= (others => '0'); -- effective address is addr = reg + 0 = reg + else + NULL; -- use default + end if; + when others => -- I-immediate + NULL; -- use default + end case; + end if; + end process imm_gen; + + + -- Branch Condition Check ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + branch_check: process(execute_engine.i_reg, cmp_i) + begin + case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is + when funct3_beq_c => -- branch if equal + execute_engine.branch_taken <= cmp_i(cmp_equal_c); + when funct3_bne_c => -- branch if not equal + execute_engine.branch_taken <= not cmp_i(cmp_equal_c); + when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned) + execute_engine.branch_taken <= cmp_i(cmp_less_c); + when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned) + execute_engine.branch_taken <= not cmp_i(cmp_less_c); + when others => -- invalid + execute_engine.branch_taken <= '0'; + end case; + end process branch_check; + + + -- Execute Engine FSM Sync ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + execute_engine_fsm_sync: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + -- registers that DO require a specific reset state -- + execute_engine.pc <= CPU_BOOT_ADDR(data_width_c-1 downto 2) & "00"; -- 32-bit aligned! + execute_engine.state <= SYS_WAIT; + execute_engine.sleep <= '0'; + execute_engine.branched <= '1'; -- reset is a branch from "somewhere" + -- no dedicated RESET required -- + execute_engine.state_prev <= SYS_WAIT; -- actual reset value is not relevant + execute_engine.i_reg <= (others => def_rst_val_c); + execute_engine.is_ci <= def_rst_val_c; + execute_engine.is_ici <= def_rst_val_c; + execute_engine.last_pc <= (others => def_rst_val_c); + execute_engine.i_reg_last <= (others => def_rst_val_c); + execute_engine.next_pc <= (others => def_rst_val_c); + ctrl <= (others => def_rst_val_c); + ctrl(ctrl_bus_rd_c) <= '0'; + ctrl(ctrl_bus_wr_c) <= '0'; + elsif rising_edge(clk_i) then + -- PC update -- + if (execute_engine.pc_we = '1') then + if (execute_engine.pc_mux_sel = '0') then + execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment OR trap enter/exit + else + execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch + end if; + end if; + + execute_engine.state <= execute_engine.state_nxt; + execute_engine.state_prev <= execute_engine.state; + execute_engine.sleep <= execute_engine.sleep_nxt; + execute_engine.branched <= execute_engine.branched_nxt; + execute_engine.i_reg <= execute_engine.i_reg_nxt; + execute_engine.is_ci <= execute_engine.is_ci_nxt; + execute_engine.is_ici <= execute_engine.is_ici_nxt; + + -- PC & IR of "last executed" instruction for trap handling -- + if (execute_engine.state = EXECUTE) then + execute_engine.last_pc <= execute_engine.pc; + execute_engine.i_reg_last <= execute_engine.i_reg; + end if; + + -- next PC -- + case execute_engine.state is + when TRAP_ENTER => -- ENTERING trap environment + if (CPU_EXTENSION_RISCV_DEBUG = false) then -- normal trapping + execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter + else -- DEBUG MODE enabled + if (trap_ctrl.cause(5) = '1') then -- trap cause: debug mode (re-)entry + execute_engine.next_pc <= CPU_DEBUG_ADDR; -- debug mode enter; start at "parking loop" + elsif (debug_ctrl.running = '1') then -- any other exception INSIDE debug mode + execute_engine.next_pc <= std_ulogic_vector(unsigned(CPU_DEBUG_ADDR) + 4); -- execute at "parking loop" + else -- normal trapping + execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter + end if; + end if; + when TRAP_EXIT => -- LEAVING trap environment + if (CPU_EXTENSION_RISCV_DEBUG = false) or (debug_ctrl.running = '0') then -- normal end of trap + execute_engine.next_pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap exit + else -- DEBUG MODE exiting + execute_engine.next_pc <= csr.dpc(data_width_c-1 downto 1) & '0'; -- debug mode exit + end if; + when EXECUTE => -- NORMAL pc increment + execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + unsigned(execute_engine.next_pc_inc)); -- next linear PC + when others => + NULL; + end case; + + -- main control bus -- + ctrl <= ctrl_nxt; + end if; + end process execute_engine_fsm_sync; + + + -- PC increment for next linear instruction (+2 for compressed instr., +4 otherwise) -- + execute_engine.next_pc_inc <= x"00000004" when ((execute_engine.is_ci = '0') or (CPU_EXTENSION_RISCV_C = false)) else x"00000002"; + + -- PC output -- + curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- current PC for ALU ops + next_pc_o <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- next PC for ALU ops + + -- CSR access address -- + csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c); + + + -- CPU Control Bus Output ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine, csr, debug_ctrl) + begin + -- signals from execute engine -- + ctrl_o <= ctrl; + -- prevent commits if illegal instruction -- + ctrl_o(ctrl_rf_wb_en_c) <= ctrl(ctrl_rf_wb_en_c) and (not trap_ctrl.exc_buf(exception_iillegal_c)); + ctrl_o(ctrl_bus_rd_c) <= ctrl(ctrl_bus_rd_c) and (not trap_ctrl.exc_buf(exception_iillegal_c)); + ctrl_o(ctrl_bus_wr_c) <= ctrl(ctrl_bus_wr_c) and (not trap_ctrl.exc_buf(exception_iillegal_c)); + -- current privilege level -- + ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege_rd; + -- register addresses -- + ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c); + ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c); + ctrl_o(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c) <= execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c); + -- fast bus access requests -- + ctrl_o(ctrl_bus_if_c) <= bus_fast_ir; + -- bus error control -- + ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK + ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK + -- memory access size / sign -- + ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU) + ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size + -- alu.shifter -- + ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right) + ctrl_o(ctrl_alu_shift_ar_c) <= execute_engine.i_reg(30); -- is arithmetic shift + -- instruction's function blocks (for co-processors) -- + ctrl_o(ctrl_ir_opcode7_6_c downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c); + ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c); + ctrl_o(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_0_c) <= execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c); + -- cpu status -- + ctrl_o(ctrl_sleep_c) <= execute_engine.sleep; -- cpu is in sleep mode + ctrl_o(ctrl_trap_c) <= trap_ctrl.env_start_ack; -- cpu is starting a trap handler + ctrl_o(ctrl_debug_running_c) <= debug_ctrl.running; -- cpu is currently in debug mode + -- FPU rounding mode -- + ctrl_o(ctrl_alu_frm2_c downto ctrl_alu_frm0_c) <= csr.frm; + end process ctrl_output; + + + -- Decoding Helper Logic ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + decode_helper: process(execute_engine) + variable sys_env_cmd_mask_v : std_ulogic_vector(11 downto 0); + begin + -- defaults -- + decode_aux.is_atomic_lr <= '0'; + decode_aux.is_atomic_sc <= '0'; + decode_aux.is_float_op <= '0'; + decode_aux.is_m_mul <= '0'; + decode_aux.is_m_div <= '0'; + decode_aux.is_bitmanip_imm <= '0'; + decode_aux.is_bitmanip_reg <= '0'; + decode_aux.rs1_zero <= '0'; + decode_aux.rs2_zero <= '0'; + decode_aux.rd_zero <= '0'; + + -- is atomic load-reservate/store-conditional? -- + if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode + decode_aux.is_atomic_lr <= not execute_engine.i_reg(instr_funct5_lsb_c); + decode_aux.is_atomic_sc <= execute_engine.i_reg(instr_funct5_lsb_c); + end if; + + -- is BITMANIP instruction? -- + -- pretty complex as we have to extract this from the ALU/ALUI instruction space -- + -- immediate operation -- + if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and + ( + (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ + (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ + (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- CPOP + (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B + (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101") -- SEXT.H + ) + ) or + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00111")) or -- ORCB + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "11000")) then -- REV8 + decode_aux.is_bitmanip_imm <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all? + end if; + -- register operation -- + if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U] + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- ZEXTH + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and + ( + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100") -- XORN + ) + ) or + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010000") and + ( + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "010") or -- SH1ADD + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100") or -- SH2ADD + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") -- SH3ADD + ) + ) then + decode_aux.is_bitmanip_reg <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all? + end if; + + -- floating-point operations (Zfinx) -- + if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+3) = "0000")) or -- FADD.S / FSUB.S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00010")) or -- FMUL.S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- FCLASS.S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FSGNJ[N/X].S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_msb_c-1) = "00")) or -- FMIN.S / FMAX.S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "10100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FEQ.S / FLT.S / FLE.S + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11010") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) or -- FCVT.S.W* + ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11000") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) then -- FCVT.W*.S + decode_aux.is_float_op <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- FPU implemented at all? + end if; + + -- system/environment instructions -- + sys_env_cmd_mask_v := funct12_ecall_c or funct12_ebreak_c or funct12_mret_c or funct12_wfi_c or funct12_dret_c; -- sum-up set bits + decode_aux.sys_env_cmd <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) and sys_env_cmd_mask_v; -- set unused bits to always-zero + + -- integer MUL (M/Zmmul) / DIV (M) operation -- + if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and + (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then + decode_aux.is_m_mul <= (not execute_engine.i_reg(instr_funct3_msb_c)) and (bool_to_ulogic_f(CPU_EXTENSION_RISCV_M) or bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zmmul)); + decode_aux.is_m_div <= execute_engine.i_reg(instr_funct3_msb_c) and bool_to_ulogic_f(CPU_EXTENSION_RISCV_M); + end if; + + -- register address checks -- + decode_aux.rs1_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); + decode_aux.rs2_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c)); + decode_aux.rd_zero <= not or_reduce_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); + end process decode_helper; + + + -- Execute Engine FSM Comb ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + execute_engine_fsm_comb: process(execute_engine, debug_ctrl, trap_ctrl, decode_aux, fetch_engine, cmd_issue, + csr, ctrl, alu_idone_i, bus_d_wait_i, excl_state_i) + variable opcode_v : std_ulogic_vector(6 downto 0); + begin + -- arbiter defaults -- + execute_engine.state_nxt <= execute_engine.state; + execute_engine.i_reg_nxt <= execute_engine.i_reg; + execute_engine.is_ci_nxt <= execute_engine.is_ci; + execute_engine.is_ici_nxt <= '0'; + execute_engine.sleep_nxt <= execute_engine.sleep; + execute_engine.branched_nxt <= execute_engine.branched; + -- + execute_engine.pc_mux_sel <= '0'; + execute_engine.pc_we <= '0'; + + -- instruction dispatch -- + fetch_engine.reset <= '0'; + + -- trap environment control -- + trap_ctrl.env_start_ack <= '0'; + trap_ctrl.env_end <= '0'; + + -- leave debug mode -- + debug_ctrl.dret <= '0'; + + -- exception trigger -- + trap_ctrl.instr_be <= '0'; + trap_ctrl.instr_ma <= '0'; + trap_ctrl.env_call <= '0'; + trap_ctrl.break_point <= '0'; + + -- CSR access -- + csr.we_nxt <= '0'; + + -- CONTROL DEFAULTS -- + ctrl_nxt <= (others => '0'); -- default: all off + -- ALU main control -- + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c; -- default ALU operation: ADD + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c; -- default ALU operation: ADD + -- ALU sign control -- + if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops + ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU) + else -- branches + ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU) + end if; + -- atomic store-conditional instruction (evaluate lock status) -- + ctrl_nxt(ctrl_bus_ch_lock_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A) and decode_aux.is_atomic_sc; + + + -- state machine -- + case execute_engine.state is + + when SYS_WAIT => -- System delay cycle (to let side effects kick in) + -- ------------------------------------------------------------ + execute_engine.state_nxt <= DISPATCH; + + + when DISPATCH => -- Get new command from instruction issue engine + -- ------------------------------------------------------------ + -- PC update -- + execute_engine.pc_mux_sel <= '0'; -- linear next PC + -- IR update -- + execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction + execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0); + -- + if (cmd_issue.valid = '1') then -- instruction available? + -- PC update -- + execute_engine.branched_nxt <= '0'; + execute_engine.pc_we <= not execute_engine.branched; -- update PC with linear next_pc if there was no actual branch + -- IR update - exceptions -- + trap_ctrl.instr_ma <= cmd_issue.data(33) and (not bool_to_ulogic_f(CPU_EXTENSION_RISCV_C)); -- misaligned instruction fetch address, if C disabled + trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch + execute_engine.is_ici_nxt <= cmd_issue.data(35); -- invalid decompressed instruction + -- any reason to go to trap state? -- + if (execute_engine.sleep = '1') or -- enter sleep state + (trap_ctrl.exc_fire = '1') or -- exception during LAST instruction (illegal instruction) + (trap_ctrl.env_start = '1') or -- pending trap (IRQ or exception) + ((cmd_issue.data(33) = '1') and (CPU_EXTENSION_RISCV_C = false)) or -- misaligned instruction fetch address, if C disabled + (cmd_issue.data(34) = '1') then -- bus access fault during instruction fetch + execute_engine.state_nxt <= TRAP_ENTER; + else + execute_engine.state_nxt <= EXECUTE; + end if; + end if; + + + when TRAP_ENTER => -- Start trap environment - get xTVEC, stay here for sleep mode + -- ------------------------------------------------------------ + if (trap_ctrl.env_start = '1') then -- trap triggered? + trap_ctrl.env_start_ack <= '1'; + execute_engine.state_nxt <= TRAP_EXECUTE; + end if; + + + when TRAP_EXIT => -- Return from trap environment - get xEPC + -- ------------------------------------------------------------ + trap_ctrl.env_end <= '1'; + execute_engine.state_nxt <= TRAP_EXECUTE; + + + when TRAP_EXECUTE => -- Process trap environment -> jump to xTVEC / return from trap environment -> jump to xEPC + -- ------------------------------------------------------------ + execute_engine.pc_mux_sel <= '0'; -- next_PC + fetch_engine.reset <= '1'; + execute_engine.pc_we <= '1'; + execute_engine.sleep_nxt <= '0'; -- disable sleep mode + execute_engine.state_nxt <= SYS_WAIT; + + + when EXECUTE => -- Decode and execute instruction (control has to be here for exactly 1 cycle in any case!) + -- ------------------------------------------------------------ + opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32 + case opcode_v is + + when opcode_alu_c | opcode_alui_c => -- (register/immediate) ALU operation + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_alu_opb_mux_c) <= not execute_engine.i_reg(instr_opcode_msb_c-1); -- use IMM as ALU.OPB for immediate operations + + -- ALU core operation -- + case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding) + when funct3_subadd_c => -- ADD(I)/SUB + if ((execute_engine.i_reg(instr_opcode_msb_c-1) = '1') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) then -- not an immediate op and funct7.6 set => SUB + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_sub_c; + else + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c; + end if; + when funct3_slt_c | funct3_sltu_c => -- SLT(I), SLTU(I) + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_slt_c; + when funct3_xor_c => -- XOR(I) + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_xor_c; + when funct3_or_c => -- OR(I) + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_or_c; + when others => -- AND(I), multi-cycle / co-processor operations + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_and_c; + end case; + + -- Check if single-cycle or multi-cycle (co-processor) operation -- + -- co-processor MULDIV operation? -- + if ((CPU_EXTENSION_RISCV_M = true) and ((decode_aux.is_m_mul = '1') or (decode_aux.is_m_div = '1'))) or -- MUL/DIV + ((CPU_EXTENSION_RISCV_Zmmul = true) and (decode_aux.is_m_mul = '1')) then -- MUL + ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c; + execute_engine.state_nxt <= ALU_WAIT; + -- co-processor BIT-MANIPULATION operation? -- + elsif (CPU_EXTENSION_RISCV_B = true) and + (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (decode_aux.is_bitmanip_reg = '1')) or -- register operation + ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) then -- immediate operation + ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c; + execute_engine.state_nxt <= ALU_WAIT; + -- co-processor SHIFT operation? -- + elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) then + ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_shifter_c; -- use SHIFTER CP (only relevant for shift operations) + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c; + execute_engine.state_nxt <= ALU_WAIT; + -- ALU core operations (single-cycle) -- + else + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c; + ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back + execute_engine.state_nxt <= DISPATCH; + end if; + + + when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only) + ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB + if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_movb_c; -- actual ALU operation = MOVB + else -- AUIPC + ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c; -- actual ALU operation = ADD + end if; + ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back + execute_engine.state_nxt <= DISPATCH; + + + when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB + ctrl_nxt(ctrl_bus_mo_we_c) <= '1'; -- write to MAR and MDO (MDO only relevant for store) + execute_engine.state_nxt <= LOADSTORE_0; + + + when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register) + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR + ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base) + else -- JAL + ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base) + end if; + ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset) + execute_engine.state_nxt <= BRANCH; + + + when opcode_fence_c => -- fence operations + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE + ctrl_nxt(ctrl_bus_fence_c) <= '1'; + execute_engine.state_nxt <= SYS_WAIT; + elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I + ctrl_nxt(ctrl_bus_fencei_c) <= '1'; + execute_engine.branched_nxt <= '1'; -- this is an actual branch + execute_engine.state_nxt <= TRAP_EXECUTE; -- use TRAP_EXECUTE to "modify" PC (PC <= PC) + else -- illegal fence instruction + execute_engine.state_nxt <= SYS_WAIT; + end if; + + + when opcode_syscsr_c => -- system/csr access + -- ------------------------------------------------------------ + if (CPU_EXTENSION_RISCV_Zicsr = true) then + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment + execute_engine.state_nxt <= SYS_ENV; + else -- CSR access + execute_engine.state_nxt <= CSR_ACCESS; + end if; + else + execute_engine.state_nxt <= SYS_WAIT; + end if; + + + when opcode_fop_c => -- floating-point operations + -- ------------------------------------------------------------ + if (CPU_EXTENSION_RISCV_Zfinx = true) then + ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_fpu_c; -- trigger FPU CP + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c; + execute_engine.state_nxt <= ALU_WAIT; + else + execute_engine.state_nxt <= SYS_WAIT; + end if; + + + when others => -- illegal opcode + -- ------------------------------------------------------------ + execute_engine.state_nxt <= SYS_WAIT; + + end case; + + + when SYS_ENV => -- system environment operation - execution + -- ------------------------------------------------------------ + execute_engine.state_nxt <= SYS_WAIT; -- default + if (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction + case decode_aux.sys_env_cmd is -- use a simplified input here (with hardwired zeros) + when funct12_ecall_c => trap_ctrl.env_call <= '1'; -- ECALL + when funct12_ebreak_c => trap_ctrl.break_point <= '1'; -- EBREAK + when funct12_mret_c => execute_engine.state_nxt <= TRAP_EXIT; -- MRET + when funct12_dret_c => -- DRET + if (CPU_EXTENSION_RISCV_DEBUG = true) then + execute_engine.state_nxt <= TRAP_EXIT; + debug_ctrl.dret <= '1'; + else + NULL; -- executed as NOP (and raise illegal instruction exception) + end if; + when funct12_wfi_c => -- WFI + if (CPU_EXTENSION_RISCV_DEBUG = true) and + ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) then -- act as NOP when in debug-mode or during single-stepping + NULL; -- executed as NOP + else + execute_engine.sleep_nxt <= '1'; -- go to sleep mode + end if; + when others => NULL; -- undefined / execute as NOP + end case; + end if; + + + when CSR_ACCESS => -- read & write status and control register (CSR) + -- ------------------------------------------------------------ + -- CSR write access -- + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then -- CSRRW(I) + csr.we_nxt <= '1'; -- always write CSR + else -- CSRRS(I) / CSRRC(I) [invalid CSR instruction are already checked by the illegal instruction logic] + csr.we_nxt <= not decode_aux.rs1_zero; -- write CSR if rs1/imm is not zero + end if; + -- register file write back -- + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_csrr_c; + ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back + execute_engine.state_nxt <= DISPATCH; + + + when ALU_WAIT => -- wait for multi-cycle ALU operation (co-processor) to finish + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c; + if (alu_idone_i = '1') or (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then -- completed or exception + ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back + execute_engine.state_nxt <= DISPATCH; + end if; + + + when BRANCH => -- update PC for taken branches and jumps + -- ------------------------------------------------------------ + -- get and store return address (only relevant for jump-and-link operations) -- + ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_nxpc_c; -- next PC + ctrl_nxt(ctrl_rf_wb_en_c) <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?) + -- destination address -- + execute_engine.pc_mux_sel <= '1'; -- PC <= alu.add = branch/jump destination + if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch + -- no need to check for illegal instructions here; the branch condition evaluation circuit will not set "branch_taken" if funct3 is invalid + execute_engine.pc_we <= '1'; -- update PC + execute_engine.branched_nxt <= '1'; -- this is an actual branch + fetch_engine.reset <= '1'; -- trigger new instruction fetch from modified PC + execute_engine.state_nxt <= SYS_WAIT; + else + execute_engine.state_nxt <= DISPATCH; + end if; + + + when LOADSTORE_0 => -- trigger memory request + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_bus_lock_c) <= decode_aux.is_atomic_lr; -- atomic.LR: set lock + if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load or atomic load-reservate + ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request + else -- store + if (decode_aux.is_atomic_sc = '0') or (CPU_EXTENSION_RISCV_A = false) then -- (normal) write request + ctrl_nxt(ctrl_bus_wr_c) <= '1'; + else -- evaluate lock state + ctrl_nxt(ctrl_bus_wr_c) <= excl_state_i; -- write request if lock is still ok + end if; + end if; + execute_engine.state_nxt <= LOADSTORE_1; + + + when LOADSTORE_1 => -- memory access latency + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOADs) + execute_engine.state_nxt <= LOADSTORE_2; + + + when LOADSTORE_2 => -- wait for bus transaction to finish + -- ------------------------------------------------------------ + ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load (and SC.W) operations) + ctrl_nxt(ctrl_rf_in_mux_c) <= '1'; -- RF input = memory input (only relevant for LOADs) + -- wait for memory response -- + if (trap_ctrl.env_start = '1') and (trap_ctrl.cause(6 downto 5) = "00") then -- abort if SYNC EXCEPTION (from bus or illegal cmd) / no IRQs and NOT DEBUG-MODE-related + execute_engine.state_nxt <= DISPATCH; + elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction + -- data write-back -- + if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or -- normal load + (decode_aux.is_atomic_lr = '1') or -- atomic load-reservate + (decode_aux.is_atomic_sc = '1') then -- atomic store-conditional + ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; + end if; + -- remove atomic lock if this is NOT the LR.W instruction used to SET the lock -- + if (decode_aux.is_atomic_lr = '0') then -- execute and evaluate atomic store-conditional + ctrl_nxt(ctrl_bus_de_lock_c) <= '1'; + end if; + execute_engine.state_nxt <= DISPATCH; + end if; + + + when others => -- undefined + -- ------------------------------------------------------------ + execute_engine.state_nxt <= SYS_WAIT; + + end case; + end process execute_engine_fsm_comb; + + +-- **************************************************************************************************************************** +-- Invalid Instruction / CSR access check +-- **************************************************************************************************************************** + + -- CSR Access Check ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + csr_access_check: process(execute_engine.i_reg, decode_aux, csr, debug_ctrl) + variable csr_wacc_v : std_ulogic; -- actual CSR write +-- variable csr_racc_v : std_ulogic; -- actual CSR read + begin + -- is this CSR instruction really going to write to a CSR? -- + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then + csr_wacc_v := '1'; -- always write CSR +-- csr_racc_v := or_reduce_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read if rd != 0 + else -- clear/set + csr_wacc_v := not decode_aux.rs1_zero; -- write if rs1/uimm5 != 0 +-- csr_racc_v := '1'; -- always read CSR + end if; + + -- check CSR access -- + case csr.addr is + + -- floating-point CSRs -- + when csr_fflags_c | csr_frm_c | csr_fcsr_c => + csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- full access for everyone if FPU implemented + + -- machine trap setup/handling & counters -- + when csr_mstatus_c | csr_mstatush_c | csr_misa_c | csr_mie_c | csr_mtvec_c | csr_mscratch_c | csr_mepc_c | csr_mcause_c | csr_mip_c | csr_mtval_c | + csr_mcycle_c | csr_mcycleh_c | csr_minstret_c | csr_minstreth_c | csr_mcountinhibit_c => + -- NOTE: MISA and MTVAL are read-only in the NEORV32 but we do not cause an exception here for compatibility. + -- Machine-level code should read-back those CSRs after writing them to realize they are read-only. + csr_acc_valid <= csr.priv_m_mode; -- M-mode only + + -- machine information registers, read-only -- + when csr_mvendorid_c | csr_marchid_c | csr_mimpid_c | csr_mhartid_c | csr_mconfigptr_c => + csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only + + -- user-mode registers -- + when csr_mcounteren_c | csr_menvcfg_c | csr_menvcfgh_c => + csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U); + + -- physical memory protection (PMP) -- + when csr_pmpaddr0_c | csr_pmpaddr1_c | csr_pmpaddr2_c | csr_pmpaddr3_c | csr_pmpaddr4_c | csr_pmpaddr5_c | csr_pmpaddr6_c | csr_pmpaddr7_c | -- address + csr_pmpaddr8_c | csr_pmpaddr9_c | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c | + csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c | + csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c | + csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c | + csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c | + csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c | + csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c | + csr_pmpcfg0_c | csr_pmpcfg1_c | csr_pmpcfg2_c | csr_pmpcfg3_c | csr_pmpcfg4_c | csr_pmpcfg5_c | csr_pmpcfg6_c | csr_pmpcfg7_c | -- configuration + csr_pmpcfg8_c | csr_pmpcfg9_c | csr_pmpcfg10_c | csr_pmpcfg11_c | csr_pmpcfg12_c | csr_pmpcfg13_c | csr_pmpcfg14_c | csr_pmpcfg15_c => + csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS > 0)); -- M-mode only + + -- hardware performance monitors (HPM) -- + when csr_mhpmcounter3_c | csr_mhpmcounter4_c | csr_mhpmcounter5_c | csr_mhpmcounter6_c | csr_mhpmcounter7_c | csr_mhpmcounter8_c | -- counter LOW + csr_mhpmcounter9_c | csr_mhpmcounter10_c | csr_mhpmcounter11_c | csr_mhpmcounter12_c | csr_mhpmcounter13_c | csr_mhpmcounter14_c | + csr_mhpmcounter15_c | csr_mhpmcounter16_c | csr_mhpmcounter17_c | csr_mhpmcounter18_c | csr_mhpmcounter19_c | csr_mhpmcounter20_c | + csr_mhpmcounter21_c | csr_mhpmcounter22_c | csr_mhpmcounter23_c | csr_mhpmcounter24_c | csr_mhpmcounter25_c | csr_mhpmcounter26_c | + csr_mhpmcounter27_c | csr_mhpmcounter28_c | csr_mhpmcounter29_c | csr_mhpmcounter30_c | csr_mhpmcounter31_c | + csr_mhpmcounter3h_c | csr_mhpmcounter4h_c | csr_mhpmcounter5h_c | csr_mhpmcounter6h_c | csr_mhpmcounter7h_c | csr_mhpmcounter8h_c | -- counter HIGH + csr_mhpmcounter9h_c | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c | + csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c | + csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c | + csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c | + csr_mhpmevent3_c | csr_mhpmevent4_c | csr_mhpmevent5_c | csr_mhpmevent6_c | csr_mhpmevent7_c | csr_mhpmevent8_c | -- event configuration + csr_mhpmevent9_c | csr_mhpmevent10_c | csr_mhpmevent11_c | csr_mhpmevent12_c | csr_mhpmevent13_c | csr_mhpmevent14_c | + csr_mhpmevent15_c | csr_mhpmevent16_c | csr_mhpmevent17_c | csr_mhpmevent18_c | csr_mhpmevent19_c | csr_mhpmevent20_c | + csr_mhpmevent21_c | csr_mhpmevent22_c | csr_mhpmevent23_c | csr_mhpmevent24_c | csr_mhpmevent25_c | csr_mhpmevent26_c | + csr_mhpmevent27_c | csr_mhpmevent28_c | csr_mhpmevent29_c | csr_mhpmevent30_c | csr_mhpmevent31_c => + csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zihpm); -- M-mode only + + -- user-level counters/timers (read-only) -- + when csr_cycle_c | csr_cycleh_c | csr_instret_c | csr_instreth_c | csr_time_c | csr_timeh_c => + case csr.addr(1 downto 0) is + when "00" => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- cyle[h]: M-mode, U-mode if authorized, implemented at all, read-only + when "01" => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- time[h]: M-mode, U-mode if authorized, implemented at all, read-only + when "10" => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- instret[h]: M-mode, U-mode if authorized, implemented at all read-only + when others => csr_acc_valid <= '0'; + end case; + + -- debug mode CSRs -- + when csr_dcsr_c | csr_dpc_c | csr_dscratch0_c => + csr_acc_valid <= debug_ctrl.running and bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG); -- access only in debug-mode + + -- undefined / not implemented -- + when others => + csr_acc_valid <= '0'; -- invalid access + end case; + end process csr_access_check; + + + -- Illegal Instruction Check -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + illegal_instruction_check: process(execute_engine, decode_aux, csr, csr_acc_valid, debug_ctrl) + variable opcode_v : std_ulogic_vector(6 downto 0); + begin + -- illegal instructions are checked in the EXECUTE state + -- the execute engine should not commit any illegal instruction + if (execute_engine.state = EXECUTE) then + -- defaults -- + illegal_instruction <= '0'; + illegal_register <= '0'; + + -- check opcode for rv32 -- + if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then + illegal_opcode_lsbs <= '0'; + else + illegal_opcode_lsbs <= '1'; + end if; + + -- check for illegal compressed instruction -- + if (CPU_EXTENSION_RISCV_C = true) then + illegal_compressed <= execute_engine.is_ici; + else + illegal_compressed <= '0'; + end if; + + -- check instructions -- + opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32 + case opcode_v is + + when opcode_lui_c | opcode_auipc_c | opcode_jal_c => -- check sufficient LUI, UIPC, JAL (only check actual OPCODE) + -- ------------------------------------------------------------ + illegal_instruction <= '0'; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and execute_engine.i_reg(instr_rd_msb_c); + + when opcode_alu_c => -- check ALU.funct3 & ALU.funct7 + -- ------------------------------------------------------------ + if (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and + (execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0')) or + (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c)) and + (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) then -- valid base ALUI instruction? + illegal_instruction <= '0'; + elsif ((CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = false)) and (decode_aux.is_m_mul = '1') then -- valid MUL instruction? + illegal_instruction <= '0'; + elsif (CPU_EXTENSION_RISCV_M = true) and (decode_aux.is_m_div = '1') then -- valid DIV instruction? + illegal_instruction <= '0'; + elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_bitmanip_reg = '1') then -- valid BITMANIP instruction? + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rd_msb_c) or execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c)); + + when opcode_alui_c => -- check ALUI.funct7 + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c) or + ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and -- shift logical left + (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) or + ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and -- shift right + ((execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0'))) then -- valid base ALUI instruction? + illegal_instruction <= '0'; + elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_bitmanip_imm = '1') then -- valid BITMANIP immediate instruction? + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + + when opcode_load_c => -- check LOAD.funct3 + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + + when opcode_store_c => -- check STORE.funct3 + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c)); + + when opcode_atomic_c => -- atomic instructions + -- ------------------------------------------------------------ + if (CPU_EXTENSION_RISCV_A = true) then + if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00010") then -- LR + illegal_instruction <= '0'; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + elsif (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00011") then -- SC + illegal_instruction <= '0'; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + else + illegal_instruction <= '1'; + end if; + else + illegal_instruction <= '1'; + end if; + + when opcode_branch_c => -- check BRANCH.funct3 + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c)); + + when opcode_jalr_c => -- check JALR.funct3 + -- ------------------------------------------------------------ + if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + + when opcode_fence_c => -- check FENCE.funct3 + -- ------------------------------------------------------------ + if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true)) or -- FENCE.I + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + + when opcode_syscsr_c => -- check system instructions + -- ------------------------------------------------------------ + -- CSR access -- + if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or + (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c)) and + (csr_acc_valid = '1') then -- valid CSR access? + illegal_instruction <= '0'; + -- illegal E-CPU register? -- + if (CPU_EXTENSION_RISCV_E = true) then + if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR + illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c); + else -- reg-imm CSR + illegal_register <= execute_engine.i_reg(instr_rd_msb_c); + end if; + end if; + -- ecall, ebreak, mret, wfi, dret -- + elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") and + (decode_aux.rs1_zero = '1') and (decode_aux.rd_zero = '1') and + ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_ecall_c) or -- ECALL + (execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK + ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_mret_c) and (csr.priv_m_mode = '1')) or -- MRET (only allowed in M-mode) + ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_dret_c) and (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1')) or -- DRET (only allowed in D-mode) + (execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_wfi_c)) then -- WFI (always allowed to execute) + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + + when opcode_fop_c => -- floating point operations - single/dual operands + -- ------------------------------------------------------------ + if (CPU_EXTENSION_RISCV_Zfinx = true) and -- F extension implemented + (execute_engine.i_reg(instr_funct7_lsb_c+1 downto instr_funct7_lsb_c) = float_single_c) and -- single-precision operations only + (decode_aux.is_float_op = '1') then -- is correct/supported floating-point instruction + illegal_instruction <= '0'; + else + illegal_instruction <= '1'; + end if; + -- illegal E-CPU register? -- + -- FIXME: rs2 is not checked! + illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c)); + + when others => -- undefined instruction -> illegal! + -- ------------------------------------------------------------ + illegal_instruction <= '1'; + + end case; + else + illegal_opcode_lsbs <= '0'; + illegal_compressed <= '0'; + illegal_instruction <= '0'; + illegal_register <= '0'; + end if; + end process illegal_instruction_check; + + -- any illegal condition? -- + trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed; + + +-- **************************************************************************************************************************** +-- Exception and Interrupt (= Trap) Control +-- **************************************************************************************************************************** + + -- Trap Controller ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + trap_controller: process(rstn_i, clk_i) + variable mode_m_v, mode_u_v : std_ulogic; + begin + if (rstn_i = '0') then + trap_ctrl.exc_buf <= (others => '0'); + trap_ctrl.irq_buf <= (others => '0'); + trap_ctrl.exc_ack <= '0'; + trap_ctrl.env_start <= '0'; + trap_ctrl.cause <= (others => '0'); + elsif rising_edge(clk_i) then + if (CPU_EXTENSION_RISCV_Zicsr = true) then + + -- exception queue: misaligned load/store/instruction address -- + trap_ctrl.exc_buf(exception_lalign_c) <= (trap_ctrl.exc_buf(exception_lalign_c) or ma_load_i) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_salign_c) <= (trap_ctrl.exc_buf(exception_salign_c) or ma_store_i) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_ialign_c) <= (trap_ctrl.exc_buf(exception_ialign_c) or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack); + + -- exception queue: load/store/instruction bus access error -- + trap_ctrl.exc_buf(exception_laccess_c) <= (trap_ctrl.exc_buf(exception_laccess_c) or be_load_i) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_saccess_c) <= (trap_ctrl.exc_buf(exception_saccess_c) or be_store_i) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_iaccess_c) <= (trap_ctrl.exc_buf(exception_iaccess_c) or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack); + + -- exception queue: illegal instruction / environment calls -- + trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack); + trap_ctrl.exc_buf(exception_iillegal_c) <= (trap_ctrl.exc_buf(exception_iillegal_c) or trap_ctrl.instr_il) and (not trap_ctrl.exc_ack); + + -- exception queue: break point -- + if (CPU_EXTENSION_RISCV_DEBUG = true) then + trap_ctrl.exc_buf(exception_break_c) <= (not trap_ctrl.exc_ack) and (trap_ctrl.exc_buf(exception_break_c) or + ((trap_ctrl.break_point and csr.priv_m_mode and (not csr.dcsr_ebreakm) and (not debug_ctrl.running)) or -- enable break to machine-trap-handler when in machine mode on "ebreak" + (trap_ctrl.break_point and csr.priv_u_mode and (not csr.dcsr_ebreaku) and (not debug_ctrl.running)))); -- enable break to machine-trap-handler when in user mode on "ebreak" + else + trap_ctrl.exc_buf(exception_break_c) <= (trap_ctrl.exc_buf(exception_break_c) or trap_ctrl.break_point) and (not trap_ctrl.exc_ack); + end if; + + -- exception buffer: enter debug mode -- + trap_ctrl.exc_buf(exception_db_break_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and (trap_ctrl.exc_buf(exception_db_break_c) or debug_ctrl.trig_break) and (not trap_ctrl.exc_ack); + trap_ctrl.irq_buf(interrupt_db_halt_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_halt; + trap_ctrl.irq_buf(interrupt_db_step_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_step; + + -- interrupt buffer: machine software/external/timer interrupt -- + trap_ctrl.irq_buf(interrupt_msw_irq_c) <= csr.mie_msie and msw_irq_i; + trap_ctrl.irq_buf(interrupt_mext_irq_c) <= csr.mie_meie and mext_irq_i; + trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and mtime_irq_i; + + -- interrupt queue: NEORV32-specific fast interrupts (FIRQ) -- + trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) <= (trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) or (csr.mie_firqe and firq_i)) and (not csr.mip_clr); + + -- trap environment control -- + if (trap_ctrl.env_start = '0') then -- no started trap handler + if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception triggered! + ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP_ENTER))) then -- fire IRQs in EXECUTE or TRAP state only to continue execution even on permanent IRQ + trap_ctrl.cause <= trap_ctrl.cause_nxt; -- capture source ID for program (for mcause csr) + trap_ctrl.exc_ack <= '1'; -- clear exceptions (no ack mask: these have highest priority and are always evaluated first!) + trap_ctrl.env_start <= '1'; -- now execute engine can start trap handler + end if; + else -- trap waiting to get started + if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine + trap_ctrl.exc_ack <= '0'; + trap_ctrl.env_start <= '0'; + end if; + end if; + end if; + end if; + end process trap_controller; + + -- any exception/interrupt? -- + trap_ctrl.exc_fire <= or_reduce_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked + trap_ctrl.irq_fire <= (or_reduce_f(trap_ctrl.irq_buf) and csr.mstatus_mie and trap_ctrl.db_irq_en) or trap_ctrl.db_irq_fire; -- interrupts CAN be masked (but not the DEBUG halt IRQ) + + -- debug mode (entry) interrupts -- + trap_ctrl.db_irq_en <= '0' when (CPU_EXTENSION_RISCV_DEBUG = true) and ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) else '1'; -- no interrupts when IN debug mode or IN single-step mode + trap_ctrl.db_irq_fire <= (trap_ctrl.irq_buf(interrupt_db_step_c) or trap_ctrl.irq_buf(interrupt_db_halt_c)) when (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; -- "NMI" for debug mode entry + + + -- Trap Priority Encoder ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + trap_priority: process(trap_ctrl) + begin + -- defaults -- + trap_ctrl.cause_nxt <= (others => '0'); + + -- NOTE: Synchronous exceptions (from trap_ctrl.exc_buf) have higher priority than asynchronous + -- exceptions (from trap_ctrl.irq_buf). + + -- ---------------------------------------------------------------------------------------- + -- the following traps are caused by *synchronous* exceptions; we do not need a + -- specific acknowledge mask since only _one_ exception (the one with highest priority) + -- is allowed to kick in at once + -- ---------------------------------------------------------------------------------------- + + -- exception: 0.0 instruction address misaligned -- + if (trap_ctrl.exc_buf(exception_ialign_c) = '1') then + trap_ctrl.cause_nxt <= trap_ima_c; + + -- exception: 0.1 instruction access fault -- + elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then + trap_ctrl.cause_nxt <= trap_iba_c; + + -- exception: 0.2 illegal instruction -- + elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then + trap_ctrl.cause_nxt <= trap_iil_c; + + + -- exception: 0.11 environment call from M-mode -- + elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then + trap_ctrl.cause_nxt <= trap_menv_c; + + -- exception: 0.8 environment call from U-mode -- + elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then + trap_ctrl.cause_nxt <= trap_uenv_c; + + -- exception: 0.3 breakpoint -- + elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then + trap_ctrl.cause_nxt <= trap_brk_c; + + + -- exception: 0.6 store address misaligned - + elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then + trap_ctrl.cause_nxt <= trap_sma_c; + + -- exception: 0.4 load address misaligned -- + elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then + trap_ctrl.cause_nxt <= trap_lma_c; + + -- exception: 0.7 store access fault -- + elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then + trap_ctrl.cause_nxt <= trap_sbe_c; + + -- exception: 0.5 load access fault -- + elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then + trap_ctrl.cause_nxt <= trap_lbe_c; + + + -- ---------------------------------------------------------------------------------------- + -- (re-)enter debug mode requests; basically, these are standard traps that have some + -- special handling - they have the highest INTERRUPT priority in order to go to debug when requested + -- even if other IRQs are pending right now + -- ---------------------------------------------------------------------------------------- + + -- break instruction -- + elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.exc_buf(exception_db_break_c) = '1') then + trap_ctrl.cause_nxt <= trap_db_break_c; + + -- external halt request -- + elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_halt_c) = '1') then + trap_ctrl.cause_nxt <= trap_db_halt_c; + + -- single stepping -- + elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_step_c) = '1') then + trap_ctrl.cause_nxt <= trap_db_step_c; + + + -- ---------------------------------------------------------------------------------------- + -- the following traps are caused by *asynchronous* exceptions (= interrupts) + -- ---------------------------------------------------------------------------------------- + + -- custom FAST interrupt requests -- + + -- interrupt: 1.16 fast interrupt channel 0 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq0_c; + + -- interrupt: 1.17 fast interrupt channel 1 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq1_c; + + -- interrupt: 1.18 fast interrupt channel 2 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq2_c; + + -- interrupt: 1.19 fast interrupt channel 3 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq3_c; + + -- interrupt: 1.20 fast interrupt channel 4 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq4_c; + + -- interrupt: 1.21 fast interrupt channel 5 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq5_c; + + -- interrupt: 1.22 fast interrupt channel 6 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq6_c; + + -- interrupt: 1.23 fast interrupt channel 7 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq7_c; + + -- interrupt: 1.24 fast interrupt channel 8 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_8_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq8_c; + + -- interrupt: 1.25 fast interrupt channel 9 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_9_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq9_c; + + -- interrupt: 1.26 fast interrupt channel 10 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_10_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq10_c; + + -- interrupt: 1.27 fast interrupt channel 11 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_11_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq11_c; + + -- interrupt: 1.28 fast interrupt channel 12 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_12_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq12_c; + + -- interrupt: 1.29 fast interrupt channel 13 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_13_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq13_c; + + -- interrupt: 1.30 fast interrupt channel 14 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_14_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq14_c; + + -- interrupt: 1.31 fast interrupt channel 15 -- + elsif (trap_ctrl.irq_buf(interrupt_firq_15_c) = '1') then + trap_ctrl.cause_nxt <= trap_firq15_c; + + + -- standard RISC-V interrupts -- + + -- interrupt: 1.11 machine external interrupt -- + elsif (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then + trap_ctrl.cause_nxt <= trap_mei_c; + + -- interrupt: 1.3 machine SW interrupt -- + elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then + trap_ctrl.cause_nxt <= trap_msi_c; + + -- interrupt: 1.7 machine timer interrupt -- + elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then + trap_ctrl.cause_nxt <= trap_mti_c; + + end if; + end process trap_priority; + + +-- **************************************************************************************************************************** +-- Control and Status Registers (CSRs) +-- **************************************************************************************************************************** + + -- Control and Status Registers Write Data ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i) + variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0); + begin + -- CSR operand source -- + if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate + csr_operand_v := (others => '0'); + csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5 + else -- register + csr_operand_v := rs1_i; + end if; + -- tiny ALU for CSR write operations -- + case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is + when "10" => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I) + when "11" => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I) + when others => csr.wdata <= csr_operand_v; -- CSRRW(I) + end case; + end process csr_write_data; + + + -- Control and Status Registers - Write Access -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + csr_write_access: process(rstn_i, clk_i) + variable cause_v : std_ulogic_vector(6 downto 0); + begin + -- NOTE: If = true then evaluates to '-'. Register that reset to do + -- NOT actually have a real reset by default (def_rst_val_c = '-') and have to be explicitly initialized by software! + -- see: https://forums.xilinx.com/t5/General-Technical-Discussion/quot-Don-t-care-quot-reset-value/td-p/412845 + if (rstn_i = '0') then + csr.we <= '0'; + -- + csr.mstatus_mie <= '0'; + csr.mstatus_mpie <= '0'; + csr.mstatus_mpp <= (others => '0'); + csr.privilege <= priv_mode_m_c; -- start in MACHINE mode + csr.mie_msie <= def_rst_val_c; + csr.mie_meie <= def_rst_val_c; + csr.mie_mtie <= def_rst_val_c; + csr.mie_firqe <= (others => def_rst_val_c); + csr.mtvec <= (others => def_rst_val_c); + csr.mscratch <= x"19880704"; + csr.mepc <= (others => def_rst_val_c); + csr.mcause <= (others => def_rst_val_c); + csr.mtval <= (others => def_rst_val_c); + csr.mip_clr <= (others => def_rst_val_c); + -- + csr.pmpcfg <= (others => (others => '0')); + csr.pmpaddr <= (others => (others => def_rst_val_c)); + -- + csr.mhpmevent <= (others => (others => def_rst_val_c)); + -- + csr.mcounteren_cy <= def_rst_val_c; + csr.mcounteren_tm <= def_rst_val_c; + csr.mcounteren_ir <= def_rst_val_c; + -- + csr.mcountinhibit_cy <= def_rst_val_c; + csr.mcountinhibit_ir <= def_rst_val_c; + csr.mcountinhibit_hpm <= (others => def_rst_val_c); + -- + csr.fflags <= (others => def_rst_val_c); + csr.frm <= (others => def_rst_val_c); + -- + csr.dcsr_ebreakm <= '0'; + csr.dcsr_ebreaku <= '0'; + csr.dcsr_step <= '0'; + csr.dcsr_prv <= (others => def_rst_val_c); + csr.dcsr_cause <= (others => def_rst_val_c); + csr.dpc <= (others => def_rst_val_c); + csr.dscratch0 <= (others => def_rst_val_c); + + elsif rising_edge(clk_i) then + -- write access? -- + csr.we <= csr.we_nxt; + + -- defaults -- + csr.mip_clr <= (others => '0'); + + if (CPU_EXTENSION_RISCV_Zicsr = true) then + -- -------------------------------------------------------------------------------- + -- CSR access by application software + -- -------------------------------------------------------------------------------- + if (csr.we = '1') and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- manual write access and not illegal instruction + + -- user floating-point CSRs -- + -- -------------------------------------------------------------------- + if (CPU_EXTENSION_RISCV_Zfinx = true) then -- floating point CSR class + if (csr.addr(11 downto 2) = csr_class_float_c) then + if (csr.addr(1 downto 0) = "01") then -- R/W: fflags - floating-point (FPU) exception flags + csr.fflags <= csr.wdata(4 downto 0); + elsif (csr.addr(1 downto 0) = "10") then -- R/W: frm - floating-point (FPU) rounding mode + csr.frm <= csr.wdata(2 downto 0); + elsif (csr.addr(1 downto 0) = "11") then -- R/W: fcsr - floating-point (FPU) control/status (frm + fflags) + csr.frm <= csr.wdata(7 downto 5); + csr.fflags <= csr.wdata(4 downto 0); + end if; + end if; + end if; + + -- machine trap setup -- + -- -------------------------------------------------------------------- + if (csr.addr(11 downto 3) = csr_class_setup_c) then -- trap setup CSR class + -- R/W: mstatus - machine status register -- + if (csr.addr(2 downto 0) = csr_mstatus_c(2 downto 0)) then + csr.mstatus_mie <= csr.wdata(03); + csr.mstatus_mpie <= csr.wdata(07); + if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented + csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12); + csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12); + end if; + end if; + -- R/W: mie - machine interrupt enable register -- + if (csr.addr(2 downto 0) = csr_mie_c(2 downto 0)) then + csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable + csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable + csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable + for i in 0 to 15 loop -- fast interrupt channels 0..15 + csr.mie_firqe(i) <= csr.wdata(16+i); + end loop; -- i + end if; + -- R/W: mtvec - machine trap-handler base address (for ALL exceptions) -- + if (csr.addr(2 downto 0) = csr_mtvec_c(2 downto 0)) then + csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0 + end if; + -- R/W: mcounteren - machine counter enable register -- + if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented + if (csr.addr(2 downto 0) = csr_mcounteren_c(2 downto 0)) then + csr.mcounteren_cy <= csr.wdata(0); -- enable user-level access to cycle[h] + csr.mcounteren_tm <= csr.wdata(1); -- enable user-level access to time[h] + csr.mcounteren_ir <= csr.wdata(2); -- enable user-level access to instret[h] + end if; + end if; + end if; + + -- machine trap handling -- + -- -------------------------------------------------------------------- + if (csr.addr(11 downto 4) = csr_class_trap_c) then -- machine trap handling CSR class + -- R/W: mscratch - machine scratch register -- + if (csr.addr(3 downto 0) = csr_mscratch_c(3 downto 0)) then + csr.mscratch <= csr.wdata; + end if; + -- R/W: mepc - machine exception program counter -- + if (csr.addr(3 downto 0) = csr_mepc_c(3 downto 0)) then + csr.mepc <= csr.wdata; + end if; + -- R/W: mcause - machine trap cause -- + if (csr.addr(3 downto 0) = csr_mcause_c(3 downto 0)) then + csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: async/interrupt, 0: sync/exception + csr.mcause(4 downto 0) <= csr.wdata(4 downto 0); -- identifier + end if; + -- R/W: mip - machine interrupt pending -- + if (csr.addr(3 downto 0) = csr_mip_c(3 downto 0)) then + csr.mip_clr <= csr.wdata(31 downto 16); + end if; + end if; + + -- physical memory protection: R/W: pmpcfg* - PMP configuration registers -- + -- -------------------------------------------------------------------- + if (PMP_NUM_REGIONS > 0) then + if (csr.addr(11 downto 4) = csr_class_pmpcfg_c) then -- pmp configuration CSR class + for i in 0 to PMP_NUM_REGIONS-1 loop + if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then + if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access + csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read) + csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write) + csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute) + csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L + csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only + csr.pmpcfg(i)(5) <= '0'; -- reserved + csr.pmpcfg(i)(6) <= '0'; -- reserved + csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode) + end if; + end if; + end loop; -- i (PMP regions) + end if; + end if; + + -- physical memory protection: R/W: pmpaddr* - PMP address registers -- + -- -------------------------------------------------------------------- + if (PMP_NUM_REGIONS > 0) then + if (csr.addr(11 downto 4) = csr_pmpaddr0_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr16_c(11 downto 4)) or + (csr.addr(11 downto 4) = csr_pmpaddr32_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr48_c(11 downto 4)) then + for i in 0 to PMP_NUM_REGIONS-1 loop + if (csr.addr(6 downto 0) = std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i)) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access + csr.pmpaddr(i) <= csr.wdata; + csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1'); + end if; + end loop; -- i (PMP regions) + end if; + end if; + + -- machine counter setup -- + -- -------------------------------------------------------------------- + if (csr.addr(11 downto 5) = csr_cnt_setup_c) then -- counter configuration CSR class + -- R/W: mcountinhibit - machine counter-inhibit register -- + if (csr.addr(4 downto 0) = csr_mcountinhibit_c(4 downto 0)) then + csr.mcountinhibit_cy <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter + csr.mcountinhibit_ir <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter + if (HPM_NUM_CNTS > 0) then -- any HPMs available? + csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter + end if; + end if; + -- R/W: mhpmevent - machine performance-monitors event selector -- + if (HPM_NUM_CNTS > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then + for i in 0 to HPM_NUM_CNTS-1 loop + if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then + csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0); + end if; + csr.mhpmevent(i)(hpmcnt_event_never_c) <= '0'; -- would be used for "TIME" + end loop; -- i (CSRs) + end if; + end if; + + -- debug mode CSRs -- + -- -------------------------------------------------------------------- + if (CPU_EXTENSION_RISCV_DEBUG = true) then + if (csr.addr(11 downto 2) = csr_class_debug_c) then -- debug CSR class + -- R/W: dcsr - debug mode control and status register -- + if (csr.addr(1 downto 0) = csr_dcsr_c(1 downto 0)) then + csr.dcsr_ebreakm <= csr.wdata(15); + csr.dcsr_step <= csr.wdata(2); + if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented + csr.dcsr_ebreaku <= csr.wdata(12); + csr.dcsr_prv(0) <= csr.wdata(1) or csr.wdata(0); + csr.dcsr_prv(1) <= csr.wdata(1) or csr.wdata(0); + else -- only machine mode is available + csr.dcsr_prv <= priv_mode_m_c; + end if; + end if; + -- R/W: dpc - debug mode program counter -- + if (csr.addr(1 downto 0) = csr_dpc_c(1 downto 0)) then + csr.dpc <= csr.wdata(data_width_c-1 downto 1) & '0'; + end if; + -- R/W: dscratch0 - debug mode scratch register 0 -- + if (csr.addr(1 downto 0) = csr_dscratch0_c(1 downto 0)) then + csr.dscratch0 <= csr.wdata; + end if; + end if; + end if; + + + -- -------------------------------------------------------------------------------- + -- CSR access by hardware + -- -------------------------------------------------------------------------------- + else + + -- floating-point (FPU) exception flags -- + -- -------------------------------------------------------------------- + if (CPU_EXTENSION_RISCV_Zfinx = true) and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction + csr.fflags <= csr.fflags or fpu_flags_i; -- accumulate flags ("accrued exception flags") + end if; + + -- mcause, mepc, mtval: write machine trap cause, PC and trap value register -- + -- -------------------------------------------------------------------- + if (trap_ctrl.env_start_ack = '1') then -- trap handler starting? + + if (CPU_EXTENSION_RISCV_DEBUG = false) or ((trap_ctrl.cause(5) = '0') and -- update mtval/mepc/mcause only when NOT ENTRY debug mode exception + (debug_ctrl.running = '0')) then -- and NOT IN debug mode + + -- trap cause ID code -- + csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception + csr.mcause(4 downto 0) <= trap_ctrl.cause(4 downto 0); -- identifier + + -- trap PC -- + if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source) + csr.mepc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction + else -- for sync. EXCEPTIONS (sync source) + csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction + end if; + + -- trap value -- + cause_v := trap_ctrl.cause; + cause_v(5) := '0'; -- bit 5 is always zero here (= normal trapping), so we do not need to check that again + case cause_v is + when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error + csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction + when trap_brk_c => -- breakpoint + csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction + when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error + csr.mtval <= mar_i; -- faulting data access address + when trap_iil_c => -- illegal instruction + csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself + when others => -- everything else including all interrupts + csr.mtval <= (others => '0'); + end case; + + end if; + + -- DEBUG MODE (trap) enter: write dpc and dcsr -- + -- -------------------------------------------------------------------- + if (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.cause(5) = '1') and (debug_ctrl.running = '0') then -- debug mode entry exception + + -- trap cause ID code -- + csr.dcsr_cause <= trap_ctrl.cause(2 downto 0); -- why did we enter debug mode? + -- current privilege mode when debug mode was entered -- + csr.dcsr_prv <= csr.privilege; + + -- trap PC -- + if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source) + csr.dpc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction + else -- for sync. EXCEPTIONS (sync source) + csr.dpc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction + end if; + + end if; + + end if; + + -- mstatus: context switch -- + -- -------------------------------------------------------------------- + -- ENTER: trap handling starting? + if (trap_ctrl.env_start_ack = '1') then -- trap handler starting? + + if (CPU_EXTENSION_RISCV_DEBUG = false) or -- normal trapping (debug mode NOT implemented) + ((debug_ctrl.running = '0') and (trap_ctrl.cause(5) = '0')) then -- not IN debug mode and not ENTERING debug mode + csr.mstatus_mie <= '0'; -- disable interrupts + csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state + if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode + csr.privilege <= priv_mode_m_c; -- execute trap in machine mode + csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode + end if; + end if; + + -- EXIT: return from exception + elsif (trap_ctrl.env_end = '1') then + if (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') then -- return from debug mode + if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode + csr.privilege <= csr.dcsr_prv; + end if; + else -- return from "normal trap" + csr.mstatus_mie <= csr.mstatus_mpie; -- restore global IRQ enable flag + csr.mstatus_mpie <= '1'; + if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode + csr.privilege <= csr.mstatus_mpp; -- go back to previous privilege mode + csr.mstatus_mpp <= (others => '0'); + end if; + end if; + end if; + + end if; -- /hardware csr access + end if; + + -- -------------------------------------------------------------------------------- + -- override write access for disabled functions + -- -------------------------------------------------------------------------------- + + -- user mode disabled -- + if (CPU_EXTENSION_RISCV_U = false) then + csr.privilege <= priv_mode_m_c; + csr.mstatus_mpp <= priv_mode_m_c; + csr.mcounteren_cy <= '0'; + csr.mcounteren_tm <= '0'; + csr.mcounteren_ir <= '0'; + csr.dcsr_ebreaku <= '0'; + csr.dcsr_prv <= priv_mode_m_c; + end if; + + -- pmp disabled -- + if (PMP_NUM_REGIONS = 0) then + csr.pmpcfg <= (others => (others => '0')); + csr.pmpaddr <= (others => (others => '1')); + end if; + + -- hpms disabled -- + if (HPM_NUM_CNTS = 0) then + csr.mhpmevent <= (others => (others => '0')); + csr.mcountinhibit_hpm <= (others => '0'); + end if; + + -- cpu counters disabled -- + if (CPU_CNT_WIDTH = 0) then + csr.mcounteren_cy <= '0'; + csr.mcounteren_ir <= '0'; + csr.mcountinhibit_cy <= '0'; + csr.mcountinhibit_ir <= '0'; + end if; + + -- floating-point extension disabled -- + if (CPU_EXTENSION_RISCV_Zfinx = false) then + csr.fflags <= (others => '0'); + csr.frm <= (others => '0'); + end if; + + -- debug mode disabled -- + if (CPU_EXTENSION_RISCV_DEBUG = false) then + csr.dcsr_ebreakm <= '0'; + csr.dcsr_ebreaku <= '0'; + csr.dcsr_step <= '0'; + csr.dcsr_cause <= (others => '0'); + csr.dpc <= (others => '0'); + csr.dscratch0 <= (others => '0'); + end if; + + end if; + end process csr_write_access; + + -- decode current privilege mode -- + csr.privilege_rd <= priv_mode_m_c when (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') else csr.privilege; -- effective privilege mode ("machine" when in debug mode) + csr.priv_m_mode <= '1' when (csr.privilege_rd = priv_mode_m_c) else '0'; + csr.priv_u_mode <= '1' when (csr.privilege_rd = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true) else '0'; + + -- PMP configuration output to bus unit -- + pmp_output: process(csr) + begin + pmp_addr_o <= (others => (others => '0')); + pmp_ctrl_o <= (others => (others => '0')); + if (PMP_NUM_REGIONS /= 0) then + for i in 0 to PMP_NUM_REGIONS-1 loop + pmp_addr_o(i) <= csr.pmpaddr(i) & "11"; + pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1'); + pmp_ctrl_o(i) <= csr.pmpcfg(i); + end loop; -- i + end if; + end process pmp_output; + + -- PMP config read dummy -- + pmp_rd_dummy: process(csr) + begin + csr.pmpcfg_rd <= (others => (others => '0')); + if (PMP_NUM_REGIONS /= 0) then + for i in 0 to PMP_NUM_REGIONS-1 loop + csr.pmpcfg_rd(i) <= csr.pmpcfg(i); + end loop; -- i + end if; + end process pmp_rd_dummy; + + + -- Control and Status Registers - Counters ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + csr_counters: process(rstn_i, clk_i) + begin + -- Counter CSRs (each counter is split into two 32-bit counters - coupled via an MSB overflow FF) + if (rstn_i = '0') then + csr.mcycle <= (others => def_rst_val_c); + csr.mcycle_ovfl <= (others => def_rst_val_c); + csr.mcycleh <= (others => def_rst_val_c); + csr.minstret <= (others => def_rst_val_c); + csr.minstret_ovfl <= (others => def_rst_val_c); + csr.minstreth <= (others => def_rst_val_c); + csr.mhpmcounter <= (others => (others => def_rst_val_c)); + csr.mhpmcounter_ovfl <= (others => (others => def_rst_val_c)); + csr.mhpmcounterh <= (others => (others => def_rst_val_c)); + elsif rising_edge(clk_i) then + + -- [m]cycle -- + if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then + csr.mcycle_ovfl(0) <= csr.mcycle_nxt(csr.mcycle_nxt'left) and (not csr.mcountinhibit_cy); + if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access + csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0); + elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update + csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle_nxt(cpu_cnt_lo_width_c-1 downto 0); + end if; + else + csr.mcycle <= (others => '-'); + csr.mcycle_ovfl(0) <= '-'; + end if; + + -- [m]cycleh -- + if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then + if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access + csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0); + else -- automatic update + csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mcycle_ovfl)); + end if; + else + csr.mcycleh <= (others => '-'); + end if; + + + -- [m]instret -- + if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then + csr.minstret_ovfl(0) <= csr.minstret_nxt(csr.minstret_nxt'left) and (not csr.mcountinhibit_ir); + if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access + csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0); + elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') then -- non-inhibited automatic update + csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret_nxt(cpu_cnt_lo_width_c-1 downto 0); + end if; + else + csr.minstret <= (others => '-'); + csr.minstret_ovfl(0) <= '-'; + end if; + + -- [m]instreth -- + if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then + if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access + csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0); + else -- automatic update + csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.minstreth(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.minstret_ovfl)); + end if; + else + csr.minstreth <= (others => '-'); + end if; + + + -- [machine] hardware performance monitors (counters) -- + for i in 0 to HPM_NUM_CNTS-1 loop + + -- [m]hpmcounter* -- + if (hpm_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then + csr.mhpmcounter_ovfl(i)(0) <= csr.mhpmcounter_nxt(i)(csr.mhpmcounter_nxt(i)'left) and (not csr.mcountinhibit_hpm(i)); + if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access + csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.wdata(hpm_cnt_lo_width_c-1 downto 0); + elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update + csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter_nxt(i)(hpm_cnt_lo_width_c-1 downto 0); + end if; + else + csr.mhpmcounter(i) <= (others => '-'); + csr.mhpmcounter_ovfl(i)(0) <= '-'; + end if; + + -- [m]hpmcounter*h -- + if (hpm_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then + if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access + csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.wdata(hpm_cnt_hi_width_c-1 downto 0); + else -- automatic update + csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mhpmcounter_ovfl(i))); + end if; + else + csr.mhpmcounterh(i) <= (others => '-'); + end if; + + end loop; -- i + + end if; + end process csr_counters; + + + -- mcycle & minstret increment LOW -- + csr.mcycle_nxt <= std_ulogic_vector(unsigned('0' & csr.mcycle) + 1); + csr.minstret_nxt <= std_ulogic_vector(unsigned('0' & csr.minstret) + 1); + + -- hpm counter increment LOW -- + hmp_cnt_lo_inc: + for i in 0 to HPM_NUM_CNTS-1 generate + csr.mhpmcounter_nxt(i) <= std_ulogic_vector(unsigned('0' & csr.mhpmcounter(i)) + 1); + end generate; + + + -- hpm counter read -- + hpm_rd_dummy: process(csr) + begin + csr.mhpmcounter_rd <= (others => (others => '0')); + csr.mhpmcounterh_rd <= (others => (others => '0')); + if (HPM_NUM_CNTS /= 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then + for i in 0 to HPM_NUM_CNTS-1 loop + if (hpm_cnt_lo_width_c > 0) then + csr.mhpmcounter_rd(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0); + end if; + if (hpm_cnt_hi_width_c > 0) then + csr.mhpmcounterh_rd(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0); + end if; + end loop; -- i + end if; + end process hpm_rd_dummy; + + + -- Hardware Performance Monitor - Counter Event Control ----------------------------------- + -- ------------------------------------------------------------------------------------------- + hpmcnt_ctrl: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + hpmcnt_trigger <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- enable selected triggers by ANDing actual events and according CSR configuration bits -- + -- OR everything to see if counter should increment -- + hpmcnt_trigger <= (others => '0'); -- default + if (HPM_NUM_CNTS /= 0) then + for i in 0 to HPM_NUM_CNTS-1 loop + hpmcnt_trigger(i) <= or_reduce_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0)); + end loop; -- i + end if; + end if; + end process hpmcnt_ctrl; + + -- counter event trigger - RISC-V-specific -- + cnt_event(hpmcnt_event_cy_c) <= not execute_engine.sleep; -- active cycle + cnt_event(hpmcnt_event_never_c) <= '0'; -- undefined (never) + cnt_event(hpmcnt_event_ir_c) <= '1' when (execute_engine.state = EXECUTE) else '0'; -- retired instruction + + -- counter event trigger - custom / NEORV32-specific -- + cnt_event(hpmcnt_event_cir_c) <= '1' when (execute_engine.state = EXECUTE) and (execute_engine.is_ci = '1') else '0'; -- retired compressed instruction + cnt_event(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle + cnt_event(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH) and (execute_engine.state_prev = DISPATCH) else '0'; -- instruction issue wait cycle + cnt_event(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT) else '0'; -- multi-cycle alu-operation wait cycle + + cnt_event(hpmcnt_event_load_c) <= '1' when (ctrl(ctrl_bus_rd_c) = '1') else '0'; -- load operation + cnt_event(hpmcnt_event_store_c) <= '1' when (ctrl(ctrl_bus_wr_c) = '1') else '0'; -- store operation + cnt_event(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle + + cnt_event(hpmcnt_event_jump_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional) + cnt_event(hpmcnt_event_branch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken) + cnt_event(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional) + + cnt_event(hpmcnt_event_trap_c) <= '1' when (trap_ctrl.env_start_ack = '1') else '0'; -- entered trap + cnt_event(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation + + + -- Control and Status Registers - Read Access --------------------------------------------- + -- ------------------------------------------------------------------------------------------- + csr_read_access: process(rstn_i, clk_i) + variable csr_addr_v : std_ulogic_vector(11 downto 0); + begin + if rising_edge(clk_i) then + csr.rdata <= (others => '0'); -- default output + if (CPU_EXTENSION_RISCV_Zicsr = true) then + csr_addr_v(11 downto 10) := csr.addr(11 downto 10); + csr_addr_v(09 downto 08) := (others => csr.addr(8)); -- !!! WARNING: MACHINE (11) and USER (00) registers ONLY !!! + csr_addr_v(07 downto 00) := csr.addr(07 downto 00); + case csr_addr_v is + + -- floating-point CSRs -- + -- -------------------------------------------------------------------- + when csr_fflags_c => -- fflags (r/w): floating-point (FPU) exception flags + if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if; + when csr_frm_c => -- frm (r/w): floating-point (FPU) rounding mode + if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(2 downto 0) <= csr.frm; else NULL; end if; + when csr_fcsr_c => -- fcsr (r/w): floating-point (FPU) control/status (frm + fflags) + if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(7 downto 5) <= csr.frm; csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if; + + -- machine trap setup -- + -- -------------------------------------------------------------------- + when csr_mstatus_c => -- mstatus (r/w): machine status register + csr.rdata(03) <= csr.mstatus_mie; -- MIE + csr.rdata(07) <= csr.mstatus_mpie; -- MPIE + csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low + csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high + when csr_misa_c => -- misa (r/-): ISA and extensions + csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A); -- A CPU extension + csr.rdata(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- B CPU extension + csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C); -- C CPU extension + csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- E CPU extension + csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E) + csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M); -- M CPU extension + csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U); -- U CPU extension + csr.rdata(23) <= '1'; -- X CPU extension (non-std extensions) + csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo) + csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi) + when csr_mie_c => -- mie (r/w): machine interrupt-enable register + csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable + csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable + csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable + for i in 0 to 15 loop -- fast interrupt channels 0..15 enable + csr.rdata(16+i) <= csr.mie_firqe(i); + end loop; -- i + when csr_mtvec_c => -- mtvec (r/w): machine trap-handler base address (for ALL exceptions) + csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0 + when csr_mcounteren_c => -- mcounteren (r/w): machine counter enable register + if (CPU_EXTENSION_RISCV_U = false) then -- this CSR is hardwired to zero if user mode is not implemented + NULL; + else + csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h] + csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h] + csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h] + end if; + + -- machine trap handling -- + -- -------------------------------------------------------------------- + when csr_mscratch_c => -- mscratch (r/w): machine scratch register + csr.rdata <= csr.mscratch; + when csr_mepc_c => -- mepc (r/w): machine exception program counter + csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0'; + when csr_mcause_c => -- mcause (r/w): machine trap cause + csr.rdata(31) <= csr.mcause(csr.mcause'left); + csr.rdata(csr.mcause'left-1 downto 0) <= csr.mcause(csr.mcause'left-1 downto 0); + when csr_mtval_c => -- mtval (r/-): machine bad address or instruction + csr.rdata <= csr.mtval; + when csr_mip_c => -- mip (r/w): machine interrupt pending + csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c); + csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c); + csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c); + for i in 0 to 15 loop -- fast interrupt channels 0..15 pending + csr.rdata(16+i) <= trap_ctrl.irq_buf(interrupt_firq_0_c+i); + end loop; -- i + + -- physical memory protection - configuration (r/w) -- + -- -------------------------------------------------------------------- + when csr_pmpcfg0_c => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); else NULL; end if; + when csr_pmpcfg1_c => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); else NULL; end if; + when csr_pmpcfg2_c => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); else NULL; end if; + when csr_pmpcfg3_c => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); else NULL; end if; + when csr_pmpcfg4_c => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); else NULL; end if; + when csr_pmpcfg5_c => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); else NULL; end if; + when csr_pmpcfg6_c => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); else NULL; end if; + when csr_pmpcfg7_c => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); else NULL; end if; + when csr_pmpcfg8_c => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); else NULL; end if; + when csr_pmpcfg9_c => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); else NULL; end if; + when csr_pmpcfg10_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); else NULL; end if; + when csr_pmpcfg11_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); else NULL; end if; + when csr_pmpcfg12_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); else NULL; end if; + when csr_pmpcfg13_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); else NULL; end if; + when csr_pmpcfg14_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); else NULL; end if; + when csr_pmpcfg15_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); else NULL; end if; + + -- physical memory protection - addresses (r/w) -- + -- -------------------------------------------------------------------- + when csr_pmpaddr0_c => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpaddr(00); else NULL; end if; + when csr_pmpaddr1_c => if (PMP_NUM_REGIONS > 01) then csr.rdata <= csr.pmpaddr(01); else NULL; end if; + when csr_pmpaddr2_c => if (PMP_NUM_REGIONS > 02) then csr.rdata <= csr.pmpaddr(02); else NULL; end if; + when csr_pmpaddr3_c => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpaddr(03); else NULL; end if; + when csr_pmpaddr4_c => if (PMP_NUM_REGIONS > 04) then csr.rdata <= csr.pmpaddr(04); else NULL; end if; + when csr_pmpaddr5_c => if (PMP_NUM_REGIONS > 05) then csr.rdata <= csr.pmpaddr(05); else NULL; end if; + when csr_pmpaddr6_c => if (PMP_NUM_REGIONS > 06) then csr.rdata <= csr.pmpaddr(06); else NULL; end if; + when csr_pmpaddr7_c => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpaddr(07); else NULL; end if; + when csr_pmpaddr8_c => if (PMP_NUM_REGIONS > 08) then csr.rdata <= csr.pmpaddr(08); else NULL; end if; + when csr_pmpaddr9_c => if (PMP_NUM_REGIONS > 09) then csr.rdata <= csr.pmpaddr(09); else NULL; end if; + when csr_pmpaddr10_c => if (PMP_NUM_REGIONS > 10) then csr.rdata <= csr.pmpaddr(10); else NULL; end if; + when csr_pmpaddr11_c => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpaddr(11); else NULL; end if; + when csr_pmpaddr12_c => if (PMP_NUM_REGIONS > 12) then csr.rdata <= csr.pmpaddr(12); else NULL; end if; + when csr_pmpaddr13_c => if (PMP_NUM_REGIONS > 13) then csr.rdata <= csr.pmpaddr(13); else NULL; end if; + when csr_pmpaddr14_c => if (PMP_NUM_REGIONS > 14) then csr.rdata <= csr.pmpaddr(14); else NULL; end if; + when csr_pmpaddr15_c => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpaddr(15); else NULL; end if; + when csr_pmpaddr16_c => if (PMP_NUM_REGIONS > 16) then csr.rdata <= csr.pmpaddr(16); else NULL; end if; + when csr_pmpaddr17_c => if (PMP_NUM_REGIONS > 17) then csr.rdata <= csr.pmpaddr(17); else NULL; end if; + when csr_pmpaddr18_c => if (PMP_NUM_REGIONS > 18) then csr.rdata <= csr.pmpaddr(18); else NULL; end if; + when csr_pmpaddr19_c => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpaddr(19); else NULL; end if; + when csr_pmpaddr20_c => if (PMP_NUM_REGIONS > 20) then csr.rdata <= csr.pmpaddr(20); else NULL; end if; + when csr_pmpaddr21_c => if (PMP_NUM_REGIONS > 21) then csr.rdata <= csr.pmpaddr(21); else NULL; end if; + when csr_pmpaddr22_c => if (PMP_NUM_REGIONS > 22) then csr.rdata <= csr.pmpaddr(22); else NULL; end if; + when csr_pmpaddr23_c => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpaddr(23); else NULL; end if; + when csr_pmpaddr24_c => if (PMP_NUM_REGIONS > 24) then csr.rdata <= csr.pmpaddr(24); else NULL; end if; + when csr_pmpaddr25_c => if (PMP_NUM_REGIONS > 25) then csr.rdata <= csr.pmpaddr(25); else NULL; end if; + when csr_pmpaddr26_c => if (PMP_NUM_REGIONS > 26) then csr.rdata <= csr.pmpaddr(26); else NULL; end if; + when csr_pmpaddr27_c => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpaddr(27); else NULL; end if; + when csr_pmpaddr28_c => if (PMP_NUM_REGIONS > 28) then csr.rdata <= csr.pmpaddr(28); else NULL; end if; + when csr_pmpaddr29_c => if (PMP_NUM_REGIONS > 29) then csr.rdata <= csr.pmpaddr(29); else NULL; end if; + when csr_pmpaddr30_c => if (PMP_NUM_REGIONS > 30) then csr.rdata <= csr.pmpaddr(30); else NULL; end if; + when csr_pmpaddr31_c => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpaddr(31); else NULL; end if; + when csr_pmpaddr32_c => if (PMP_NUM_REGIONS > 32) then csr.rdata <= csr.pmpaddr(32); else NULL; end if; + when csr_pmpaddr33_c => if (PMP_NUM_REGIONS > 33) then csr.rdata <= csr.pmpaddr(33); else NULL; end if; + when csr_pmpaddr34_c => if (PMP_NUM_REGIONS > 34) then csr.rdata <= csr.pmpaddr(34); else NULL; end if; + when csr_pmpaddr35_c => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpaddr(35); else NULL; end if; + when csr_pmpaddr36_c => if (PMP_NUM_REGIONS > 36) then csr.rdata <= csr.pmpaddr(36); else NULL; end if; + when csr_pmpaddr37_c => if (PMP_NUM_REGIONS > 37) then csr.rdata <= csr.pmpaddr(37); else NULL; end if; + when csr_pmpaddr38_c => if (PMP_NUM_REGIONS > 38) then csr.rdata <= csr.pmpaddr(38); else NULL; end if; + when csr_pmpaddr39_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpaddr(39); else NULL; end if; + when csr_pmpaddr40_c => if (PMP_NUM_REGIONS > 40) then csr.rdata <= csr.pmpaddr(40); else NULL; end if; + when csr_pmpaddr41_c => if (PMP_NUM_REGIONS > 41) then csr.rdata <= csr.pmpaddr(41); else NULL; end if; + when csr_pmpaddr42_c => if (PMP_NUM_REGIONS > 42) then csr.rdata <= csr.pmpaddr(42); else NULL; end if; + when csr_pmpaddr43_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpaddr(43); else NULL; end if; + when csr_pmpaddr44_c => if (PMP_NUM_REGIONS > 44) then csr.rdata <= csr.pmpaddr(44); else NULL; end if; + when csr_pmpaddr45_c => if (PMP_NUM_REGIONS > 45) then csr.rdata <= csr.pmpaddr(45); else NULL; end if; + when csr_pmpaddr46_c => if (PMP_NUM_REGIONS > 46) then csr.rdata <= csr.pmpaddr(46); else NULL; end if; + when csr_pmpaddr47_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpaddr(47); else NULL; end if; + when csr_pmpaddr48_c => if (PMP_NUM_REGIONS > 48) then csr.rdata <= csr.pmpaddr(48); else NULL; end if; + when csr_pmpaddr49_c => if (PMP_NUM_REGIONS > 49) then csr.rdata <= csr.pmpaddr(49); else NULL; end if; + when csr_pmpaddr50_c => if (PMP_NUM_REGIONS > 50) then csr.rdata <= csr.pmpaddr(50); else NULL; end if; + when csr_pmpaddr51_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpaddr(51); else NULL; end if; + when csr_pmpaddr52_c => if (PMP_NUM_REGIONS > 52) then csr.rdata <= csr.pmpaddr(52); else NULL; end if; + when csr_pmpaddr53_c => if (PMP_NUM_REGIONS > 53) then csr.rdata <= csr.pmpaddr(53); else NULL; end if; + when csr_pmpaddr54_c => if (PMP_NUM_REGIONS > 54) then csr.rdata <= csr.pmpaddr(54); else NULL; end if; + when csr_pmpaddr55_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpaddr(55); else NULL; end if; + when csr_pmpaddr56_c => if (PMP_NUM_REGIONS > 56) then csr.rdata <= csr.pmpaddr(56); else NULL; end if; + when csr_pmpaddr57_c => if (PMP_NUM_REGIONS > 57) then csr.rdata <= csr.pmpaddr(57); else NULL; end if; + when csr_pmpaddr58_c => if (PMP_NUM_REGIONS > 58) then csr.rdata <= csr.pmpaddr(58); else NULL; end if; + when csr_pmpaddr59_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpaddr(59); else NULL; end if; + when csr_pmpaddr60_c => if (PMP_NUM_REGIONS > 60) then csr.rdata <= csr.pmpaddr(60); else NULL; end if; + when csr_pmpaddr61_c => if (PMP_NUM_REGIONS > 61) then csr.rdata <= csr.pmpaddr(61); else NULL; end if; + when csr_pmpaddr62_c => if (PMP_NUM_REGIONS > 62) then csr.rdata <= csr.pmpaddr(62); else NULL; end if; + when csr_pmpaddr63_c => if (PMP_NUM_REGIONS > 63) then csr.rdata <= csr.pmpaddr(63); else NULL; end if; + + -- machine counter setup -- + -- -------------------------------------------------------------------- + when csr_mcountinhibit_c => -- mcountinhibit (r/w): machine counter-inhibit register + csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter + csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter + if (HPM_NUM_CNTS > 0) then -- any HPMs available? + csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter + end if; + + -- machine performance-monitoring event selector (r/w) -- + -- -------------------------------------------------------------------- + when csr_mhpmevent3_c => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(00); else NULL; end if; + when csr_mhpmevent4_c => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(01); else NULL; end if; + when csr_mhpmevent5_c => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(02); else NULL; end if; + when csr_mhpmevent6_c => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(03); else NULL; end if; + when csr_mhpmevent7_c => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(04); else NULL; end if; + when csr_mhpmevent8_c => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(05); else NULL; end if; + when csr_mhpmevent9_c => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(06); else NULL; end if; + when csr_mhpmevent10_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(07); else NULL; end if; + when csr_mhpmevent11_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(08); else NULL; end if; + when csr_mhpmevent12_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(09); else NULL; end if; + when csr_mhpmevent13_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(10); else NULL; end if; + when csr_mhpmevent14_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(11); else NULL; end if; + when csr_mhpmevent15_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(12); else NULL; end if; + when csr_mhpmevent16_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(13); else NULL; end if; + when csr_mhpmevent17_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(14); else NULL; end if; + when csr_mhpmevent18_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(15); else NULL; end if; + when csr_mhpmevent19_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(16); else NULL; end if; + when csr_mhpmevent20_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(17); else NULL; end if; + when csr_mhpmevent21_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(18); else NULL; end if; + when csr_mhpmevent22_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(19); else NULL; end if; + when csr_mhpmevent23_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(20); else NULL; end if; + when csr_mhpmevent24_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(21); else NULL; end if; + when csr_mhpmevent25_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(22); else NULL; end if; + when csr_mhpmevent26_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(23); else NULL; end if; + when csr_mhpmevent27_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(24); else NULL; end if; + when csr_mhpmevent28_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(25); else NULL; end if; + when csr_mhpmevent29_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(26); else NULL; end if; + when csr_mhpmevent30_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(27); else NULL; end if; + when csr_mhpmevent31_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(28); else NULL; end if; + + -- counters and timers -- + -- -------------------------------------------------------------------- + when csr_cycle_c | csr_mcycle_c => -- [m]cycle (r/w): Cycle counter LOW + if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if; + when csr_cycleh_c | csr_mcycleh_c => -- [m]cycleh (r/w): Cycle counter HIGH + if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if; + + when csr_instret_c | csr_minstret_c => -- [m]instret (r/w): Instructions-retired counter LOW + if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if; + when csr_instreth_c | csr_minstreth_c => -- [m]instreth (r/w): Instructions-retired counter HIGH + if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.minstreth(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if; + + when csr_time_c => -- time (r/-): System time LOW (from MTIME unit) + if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(31 downto 00); else NULL; end if; + when csr_timeh_c => -- timeh (r/-): System time HIGH (from MTIME unit) + if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(63 downto 32); else NULL; end if; + + -- hardware performance counters -- + -- -------------------------------------------------------------------- + -- low word (r/w) -- + when csr_mhpmcounter3_c => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(00); else NULL; end if; + when csr_mhpmcounter4_c => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(01); else NULL; end if; + when csr_mhpmcounter5_c => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(02); else NULL; end if; + when csr_mhpmcounter6_c => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(03); else NULL; end if; + when csr_mhpmcounter7_c => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(04); else NULL; end if; + when csr_mhpmcounter8_c => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(05); else NULL; end if; + when csr_mhpmcounter9_c => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(06); else NULL; end if; + when csr_mhpmcounter10_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(07); else NULL; end if; + when csr_mhpmcounter11_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(08); else NULL; end if; + when csr_mhpmcounter12_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(09); else NULL; end if; + when csr_mhpmcounter13_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(10); else NULL; end if; + when csr_mhpmcounter14_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(11); else NULL; end if; + when csr_mhpmcounter15_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(12); else NULL; end if; + when csr_mhpmcounter16_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(13); else NULL; end if; + when csr_mhpmcounter17_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(14); else NULL; end if; + when csr_mhpmcounter18_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(15); else NULL; end if; + when csr_mhpmcounter19_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(16); else NULL; end if; + when csr_mhpmcounter20_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(17); else NULL; end if; + when csr_mhpmcounter21_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(18); else NULL; end if; + when csr_mhpmcounter22_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(19); else NULL; end if; + when csr_mhpmcounter23_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(20); else NULL; end if; + when csr_mhpmcounter24_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(21); else NULL; end if; + when csr_mhpmcounter25_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(22); else NULL; end if; + when csr_mhpmcounter26_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(23); else NULL; end if; + when csr_mhpmcounter27_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(24); else NULL; end if; + when csr_mhpmcounter28_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(25); else NULL; end if; + when csr_mhpmcounter29_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(26); else NULL; end if; + when csr_mhpmcounter30_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(27); else NULL; end if; + when csr_mhpmcounter31_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(28); else NULL; end if; + -- high word (r/w) -- + when csr_mhpmcounter3h_c => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(00); else NULL; end if; + when csr_mhpmcounter4h_c => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(01); else NULL; end if; + when csr_mhpmcounter5h_c => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(02); else NULL; end if; + when csr_mhpmcounter6h_c => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(03); else NULL; end if; + when csr_mhpmcounter7h_c => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(04); else NULL; end if; + when csr_mhpmcounter8h_c => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(05); else NULL; end if; + when csr_mhpmcounter9h_c => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(06); else NULL; end if; + when csr_mhpmcounter10h_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(07); else NULL; end if; + when csr_mhpmcounter11h_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(08); else NULL; end if; + when csr_mhpmcounter12h_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(09); else NULL; end if; + when csr_mhpmcounter13h_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(10); else NULL; end if; + when csr_mhpmcounter14h_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(11); else NULL; end if; + when csr_mhpmcounter15h_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(12); else NULL; end if; + when csr_mhpmcounter16h_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(13); else NULL; end if; + when csr_mhpmcounter17h_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(14); else NULL; end if; + when csr_mhpmcounter18h_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(15); else NULL; end if; + when csr_mhpmcounter19h_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(16); else NULL; end if; + when csr_mhpmcounter20h_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(17); else NULL; end if; + when csr_mhpmcounter21h_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(18); else NULL; end if; + when csr_mhpmcounter22h_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(19); else NULL; end if; + when csr_mhpmcounter23h_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(20); else NULL; end if; + when csr_mhpmcounter24h_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(21); else NULL; end if; + when csr_mhpmcounter25h_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(22); else NULL; end if; + when csr_mhpmcounter26h_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(23); else NULL; end if; + when csr_mhpmcounter27h_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(24); else NULL; end if; + when csr_mhpmcounter28h_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(25); else NULL; end if; + when csr_mhpmcounter29h_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(26); else NULL; end if; + when csr_mhpmcounter30h_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(27); else NULL; end if; + when csr_mhpmcounter31h_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(28); else NULL; end if; + + -- machine information registers -- + -- -------------------------------------------------------------------- +-- when csr_mvendorid_c => NULL; -- mvendorid (r/-): vendor ID, implemented but always zero + when csr_marchid_c => csr.rdata(4 downto 0) <= "10011"; -- marchid (r/-): arch ID - official RISC-V open-source arch ID + when csr_mimpid_c => csr.rdata <= hw_version_c; -- mimpid (r/-): implementation ID -- NEORV32 hardware version + when csr_mhartid_c => csr.rdata <= std_ulogic_vector(to_unsigned(HW_THREAD_ID, 32)); -- mhartid (r/-): hardware thread ID +-- when csr_mconfigptr_c => NULL; -- mconfigptr (r/-): machine configuration pointer register, implemented but always zero + + -- debug mode CSRs -- + -- -------------------------------------------------------------------- + when csr_dcsr_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dcsr_rd; else NULL; end if; -- dcsr (r/w): debug mode control and status + when csr_dpc_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dpc; else NULL; end if; -- dpc (r/w): debug mode program counter + when csr_dscratch0_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dscratch0; else NULL; end if; -- dscratch0 (r/w): debug mode scratch register 0 + + -- undefined/unavailable -- + -- -------------------------------------------------------------------- + when others => + NULL; -- not implemented, read as zero + + end case; + end if; + end if; + end process csr_read_access; + + -- CSR read data output -- + csr_rdata_o <= csr.rdata; + + + -- Debug Control -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + debug_control: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + debug_ctrl.state <= DEBUG_OFFLINE; + debug_ctrl.ext_halt_req <= '0'; + elsif rising_edge(clk_i) then + if (CPU_EXTENSION_RISCV_DEBUG = true) then + + -- external halt request (from Debug Module) -- + debug_ctrl.ext_halt_req <= db_halt_req_i; + + -- state machine -- + case debug_ctrl.state is + + when DEBUG_OFFLINE => -- not in debug mode, waiting for entering request + if (debug_ctrl.trig_halt = '1') or -- external request (from DM) + (debug_ctrl.trig_break = '1') or -- ebreak instruction + (debug_ctrl.trig_step = '1') then -- single-stepping mode + debug_ctrl.state <= DEBUG_PENDING; + end if; + + when DEBUG_PENDING => -- waiting to start debug mode + if (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause(5) = '1') then -- processing trap entry into debug mode + debug_ctrl.state <= DEBUG_ONLINE; + end if; + + when DEBUG_ONLINE => -- we are in debug mode + if (debug_ctrl.dret = '1') then -- DRET instruction + debug_ctrl.state <= DEBUG_EXIT; + end if; + + when DEBUG_EXIT => -- leaving debug mode + if (execute_engine.state = TRAP_EXECUTE) then -- processing trap exit + debug_ctrl.state <= DEBUG_OFFLINE; + end if; + + when others => -- undefined + debug_ctrl.state <= DEBUG_OFFLINE; + + end case; + else -- debug mode NOT implemented + debug_ctrl.state <= DEBUG_OFFLINE; + debug_ctrl.ext_halt_req <= '0'; + end if; + end if; + end process debug_control; + + -- state decoding -- + debug_ctrl.pending <= '1' when (debug_ctrl.state = DEBUG_PENDING) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; + debug_ctrl.running <= '1' when ((debug_ctrl.state = DEBUG_ONLINE) or (debug_ctrl.state = DEBUG_EXIT)) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; + + -- entry debug mode triggers -- + debug_ctrl.trig_break <= trap_ctrl.break_point and (debug_ctrl.running or -- we are in debug mode: re-enter debug mode + (csr.priv_m_mode and csr.dcsr_ebreakm and (not debug_ctrl.running)) or -- enabled goto-debug-mode in machine mode on "ebreak" + (csr.priv_u_mode and csr.dcsr_ebreaku and (not debug_ctrl.running))); -- enabled goto-debug-mode in user mode on "ebreak" + debug_ctrl.trig_halt <= debug_ctrl.ext_halt_req and (not debug_ctrl.running); -- external halt request (if not halted already) + debug_ctrl.trig_step <= csr.dcsr_step and (not debug_ctrl.running); -- single-step mode (trigger when NOT CURRENTLY in debug mode) + + + -- Debug Control and Status Register (dcsr) - Read-Back ----------------------------------- + -- ------------------------------------------------------------------------------------------- + dcsr_readback_false: + if (CPU_EXTENSION_RISCV_DEBUG = false) generate + csr.dcsr_rd <= (others => '-'); + end generate; + + dcsr_readback_true: + if (CPU_EXTENSION_RISCV_DEBUG = true) generate + csr.dcsr_rd(31 downto 28) <= "0100"; -- xdebugver: external debug support compatible to spec + csr.dcsr_rd(27 downto 16) <= (others => '0'); -- reserved + csr.dcsr_rd(15) <= csr.dcsr_ebreakm; -- ebreakm: what happens on ebreak in m-mode? (normal trap OR debug-enter) + csr.dcsr_rd(14) <= '0'; -- ebreakh: hypervisor mode not implemented + csr.dcsr_rd(13) <= '0'; -- ebreaks: supervisor mode not implemented + csr.dcsr_rd(12) <= csr.dcsr_ebreaku when (CPU_EXTENSION_RISCV_U = true) else '0'; -- ebreaku: what happens on ebreak in u-mode? (normal trap OR debug-enter) + csr.dcsr_rd(11) <= '0'; -- stepie: interrupts are disabled during single-stepping + csr.dcsr_rd(10) <= '0'; -- stopcount: counters increment as usual FIXME ??? + csr.dcsr_rd(09) <= '0'; -- stoptime: timers increment as usual + csr.dcsr_rd(08 downto 06) <= csr.dcsr_cause; -- debug mode entry cause + csr.dcsr_rd(05) <= '0'; -- reserved + csr.dcsr_rd(04) <= '0'; -- mprven: mstatus.mprv is ignored in debug mode + csr.dcsr_rd(03) <= '0'; -- nmip: pending non-maskable interrupt + csr.dcsr_rd(02) <= csr.dcsr_step; -- step: single-step mode + csr.dcsr_rd(01 downto 00) <= csr.dcsr_prv; -- prv: privilege mode when debug mode was entered + end generate; + + +end neorv32_cpu_control_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_bitmanip.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_bitmanip.vhd new file mode 100644 index 0000000..8412c5e --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_bitmanip.vhd @@ -0,0 +1,469 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Co-Processor: Bit-Manipulation Co-Processor Unit (RISC-V "B" Extension) >> # +-- # ********************************************************************************************* # +-- # The bit manipulation unit is implemented as co-processor that has a processing latency of 1 # +-- # cycle for logic/arithmetic operations and 3+shamt (=shift amount) cycles for shift(-related) # +-- # operations. Use the FAST_SHIFT_EN option to reduce shift-related instruction's latency to a # +-- # fixed value of 3 cycles latency (using barrel shifters). # +-- # # +-- # Supported sub-extensions (Zb*): # +-- # - Zba: Address generation instructions # +-- # - Zbb: Basic bit-manipulation instructions # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_bitmanip is + generic ( + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + shamt_i : in std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); +end neorv32_cpu_cp_bitmanip; + +architecture neorv32_cpu_cp_bitmanip_rtl of neorv32_cpu_cp_bitmanip is + + -- Sub-extension configuration -- + constant zbb_en_c : boolean := true; + constant zba_en_c : boolean := true; + -- --------------------------- -- + + -- commands: Zbb - logic with negate -- + constant op_andn_c : natural := 0; + constant op_orn_c : natural := 1; + constant op_xnor_c : natural := 2; + -- commands: Zbb - count leading/trailing zero bits -- + constant op_clz_c : natural := 3; + constant op_ctz_c : natural := 4; + -- commands: Zbb - count population -- + constant op_cpop_c : natural := 5; + -- commands: Zbb - integer minimum/maximum -- + constant op_max_c : natural := 6; -- signed/unsigned + constant op_min_c : natural := 7; -- signed/unsigned + -- commands: Zbb - sign- and zero-extension -- + constant op_sextb_c : natural := 8; + constant op_sexth_c : natural := 9; + constant op_zexth_c : natural := 10; + -- commands: Zbb - bitwise rotation -- + constant op_rol_c : natural := 11; + constant op_ror_c : natural := 12; -- rori + -- commands: Zbb - or-combine -- + constant op_orcb_c : natural := 13; + -- commands: Zbb - byte-reverse -- + constant op_rev8_c : natural := 14; + -- commands: Zba - shifted add -- + constant op_sh1add_c : natural := 15; + constant op_sh2add_c : natural := 16; + constant op_sh3add_c : natural := 17; + -- + constant op_width_c : natural := 18; + + -- controller -- + type ctrl_state_t is (S_IDLE, S_START_SHIFT, S_BUSY_SHIFT); + signal ctrl_state : ctrl_state_t; + signal cmd, cmd_buf : std_ulogic_vector(op_width_c-1 downto 0); + signal valid : std_ulogic; + + -- operand buffers -- + signal rs1_reg : std_ulogic_vector(data_width_c-1 downto 0); + signal rs2_reg : std_ulogic_vector(data_width_c-1 downto 0); + signal sha_reg : std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); + signal less_ff : std_ulogic; + + -- serial shifter -- + type shifter_t is record + start : std_ulogic; + run : std_ulogic; + bcnt : std_ulogic_vector(index_size_f(data_width_c) downto 0); -- bit counter + cnt : std_ulogic_vector(index_size_f(data_width_c) downto 0); -- iteration counter + cnt_max : std_ulogic_vector(index_size_f(data_width_c) downto 0); + sreg : std_ulogic_vector(data_width_c-1 downto 0); + end record; + signal shifter : shifter_t; + + -- barrel shifter -- + type bs_level_t is array (index_size_f(data_width_c) downto 0) of std_ulogic_vector(data_width_c-1 downto 0); + signal bs_level : bs_level_t; + + -- operation results -- + type res_t is array (0 to op_width_c-1) of std_ulogic_vector(data_width_c-1 downto 0); + signal res_int, res_out : res_t; + + -- shifted-add unit -- + signal adder_core : std_ulogic_vector(data_width_c-1 downto 0); + +begin + + -- Sub-Extension Configuration ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + assert false report + "Implementing bit-manipulation (B) sub-extensions: " & + cond_sel_string_f(zbb_en_c, "Zbb", "") & + cond_sel_string_f(zba_en_c, "Zba", "") & + "" + severity note; + + + -- Instruction Decoding (One-Hot) --------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- a minimal decoding logic is used here -> just to distinguish between B.Zbb instructions + -- a more specific decoding and instruction check is done by the CPU control unit + + -- Zbb - Basic bit-manipulation instructions -- + cmd(op_andn_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "10") and (ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c) = "11") else '0'; + cmd(op_orn_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "10") and (ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c) = "10") else '0'; + cmd(op_xnor_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "10") and (ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c) = "00") else '0'; + -- + cmd(op_max_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "00") and (ctrl_i(ctrl_ir_funct12_5_c) = '1') and (ctrl_i(ctrl_ir_funct3_1_c) = '1') else '0'; + cmd(op_min_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "00") and (ctrl_i(ctrl_ir_funct12_5_c) = '1') and (ctrl_i(ctrl_ir_funct3_1_c) = '0') else '0'; + cmd(op_zexth_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "00") and (ctrl_i(ctrl_ir_funct12_5_c) = '0') else '0'; + -- + cmd(op_orcb_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "01") and (ctrl_i(ctrl_ir_funct12_7_c) = '1') else '0'; + -- + cmd(op_clz_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct12_2_c downto ctrl_ir_funct12_0_c) = "000") else '0'; + cmd(op_ctz_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct12_2_c downto ctrl_ir_funct12_0_c) = "001") else '0'; + cmd(op_cpop_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct12_2_c downto ctrl_ir_funct12_0_c) = "010") else '0'; + cmd(op_sextb_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c) = '0') and (ctrl_i(ctrl_ir_funct12_2_c downto ctrl_ir_funct12_0_c) = "100") else '0'; + cmd(op_sexth_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c) = '0') and (ctrl_i(ctrl_ir_funct12_2_c downto ctrl_ir_funct12_0_c) = "101") else '0'; + cmd(op_rol_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_0_c) = "001") and (ctrl_i(ctrl_ir_opcode7_5_c) = '1') else '0'; + cmd(op_ror_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_0_c) = "101") else '0'; + cmd(op_rev8_c) <= '1' when (zbb_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "11") and (ctrl_i(ctrl_ir_funct12_7_c) = '1') else '0'; + + -- Zba - Address generation instructions -- + cmd(op_sh1add_c) <= '1' when (zba_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "01") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_1_c) = "01") else '0'; + cmd(op_sh2add_c) <= '1' when (zba_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "01") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_1_c) = "10") else '0'; + cmd(op_sh3add_c) <= '1' when (zba_en_c = true) and (ctrl_i(ctrl_ir_funct12_10_c downto ctrl_ir_funct12_9_c) = "01") and (ctrl_i(ctrl_ir_funct12_7_c) = '0') and (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_1_c) = "11") else '0'; + + + -- Co-Processor Controller ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + coprocessor_ctrl: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl_state <= S_IDLE; + cmd_buf <= (others => def_rst_val_c); + rs1_reg <= (others => def_rst_val_c); + rs2_reg <= (others => def_rst_val_c); + sha_reg <= (others => def_rst_val_c); + less_ff <= def_rst_val_c; + shifter.start <= '0'; + valid <= '0'; + elsif rising_edge(clk_i) then + -- defaults -- + shifter.start <= '0'; + valid <= '0'; + + -- fsm -- + case ctrl_state is + + when S_IDLE => -- wait for operation trigger + -- ------------------------------------------------------------ + if (start_i = '1') then + less_ff <= cmp_i(cmp_less_c); + cmd_buf <= cmd; + rs1_reg <= rs1_i; + rs2_reg <= rs2_i; + sha_reg <= shamt_i; + if ((cmd(op_clz_c) or cmd(op_ctz_c) or cmd(op_cpop_c) or cmd(op_ror_c) or cmd(op_rol_c)) = '1') then -- multi-cycle shift operation + if (FAST_SHIFT_EN = false) then -- default: iterative computation + shifter.start <= '1'; + ctrl_state <= S_START_SHIFT; + else -- full-parallel computation + ctrl_state <= S_BUSY_SHIFT; + end if; + else + valid <= '1'; + ctrl_state <= S_IDLE; + end if; + end if; + + when S_START_SHIFT => -- one cycle delay to start shift operation + -- ------------------------------------------------------------ + ctrl_state <= S_BUSY_SHIFT; + + when S_BUSY_SHIFT => -- wait for multi-cycle shift operation to finish + -- ------------------------------------------------------------ + if (shifter.run = '0') then + valid <= '1'; + ctrl_state <= S_IDLE; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl_state <= S_IDLE; + + end case; + end if; + end process coprocessor_ctrl; + + + -- Shifter Function Core (iterative: small but slow) -------------------------------------- + -- ------------------------------------------------------------------------------------------- + serial_shifter: + if (FAST_SHIFT_EN = false) generate + shifter_unit: process(rstn_i, clk_i) + variable new_bit_v : std_ulogic; + begin + if (rstn_i = '0') then + shifter.cnt <= (others => def_rst_val_c); + shifter.sreg <= (others => def_rst_val_c); + shifter.cnt_max <= (others => def_rst_val_c); + shifter.bcnt <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (shifter.start = '1') then -- trigger new shift + shifter.cnt <= (others => '0'); + -- shift operand -- + if (cmd_buf(op_clz_c) = '1') or (cmd_buf(op_rol_c) = '1') then -- count LEADING zeros / rotate LEFT + shifter.sreg <= bit_rev_f(rs1_reg); -- reverse - we can only do right shifts here + else -- ctz, cpop, ror + shifter.sreg <= rs1_reg; + end if; + -- max shift amount -- + if (cmd_buf(op_cpop_c) = '1') then -- population count + shifter.cnt_max <= (others => '0'); + shifter.cnt_max(shifter.cnt_max'left) <= '1'; + else + shifter.cnt_max <= '0' & sha_reg; + end if; + shifter.bcnt <= (others => '0'); + elsif (shifter.run = '1') then -- right shifts only + new_bit_v := ((cmd_buf(op_ror_c) or cmd_buf(op_rol_c)) and shifter.sreg(0)) or (cmd_buf(op_clz_c) or cmd_buf(op_ctz_c)); + shifter.sreg <= new_bit_v & shifter.sreg(shifter.sreg'left downto 1); -- ro[r/l]/lsr(for counting) + shifter.cnt <= std_ulogic_vector(unsigned(shifter.cnt) + 1); -- iteration counter + if (shifter.sreg(0) = '1') then + shifter.bcnt <= std_ulogic_vector(unsigned(shifter.bcnt) + 1); -- bit counter + end if; + end if; + end if; + end process shifter_unit; + end generate; + + -- run control -- + serial_shifter_ctrl: + if (FAST_SHIFT_EN = false) generate + shifter_unit_ctrl: process(cmd_buf, shifter) + begin + -- keep shifting until ... -- + if (cmd_buf(op_clz_c) = '1') or (cmd_buf(op_ctz_c) = '1') then -- count leading/trailing zeros + shifter.run <= not shifter.sreg(0); + else -- population count / rotate + if (shifter.cnt = shifter.cnt_max) then + shifter.run <= '0'; + else + shifter.run <= '1'; + end if; + end if; + end process shifter_unit_ctrl; + end generate; + + + -- Shifter Function Core (parallel: fast but large) --------------------------------------- + -- ------------------------------------------------------------------------------------------- + barrel_shifter_async_sync: + if (FAST_SHIFT_EN = true) generate + shifter_unit_fast: process(rstn_i, clk_i) + variable new_bit_v : std_ulogic; + begin + if (rstn_i = '0') then + shifter.cnt <= (others => def_rst_val_c); + shifter.sreg <= (others => def_rst_val_c); + shifter.bcnt <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- population count -- + shifter.bcnt <= std_ulogic_vector(to_unsigned(popcount_f(rs1_reg), shifter.bcnt'length)); + -- count leading/trailing zeros -- + if cmd_buf(op_clz_c) = '1' then -- leading + shifter.cnt <= std_ulogic_vector(to_unsigned(leading_zeros_f(rs1_reg), shifter.cnt'length)); + else -- trailing + shifter.cnt <= std_ulogic_vector(to_unsigned(leading_zeros_f(bit_rev_f(rs1_reg)), shifter.cnt'length)); + end if; + -- barrel shifter -- + shifter.sreg <= bs_level(0); -- rol/ror[i] + end if; + end process shifter_unit_fast; + shifter.run <= '0'; -- we are done already! + end generate; + + -- barrel shifter array -- + barrel_shifter_async: + if (FAST_SHIFT_EN = true) generate + shifter_unit_async: process(rs1_reg, sha_reg, cmd_buf, bs_level) + begin + -- input level: convert left shifts to right shifts -- + if (cmd_buf(op_rol_c) = '1') then -- is left shift? + bs_level(index_size_f(data_width_c)) <= bit_rev_f(rs1_reg); -- reverse bit order of input operand + else + bs_level(index_size_f(data_width_c)) <= rs1_reg; + end if; + + -- shifter array -- + for i in index_size_f(data_width_c)-1 downto 0 loop + if (sha_reg(i) = '1') then + bs_level(i)(data_width_c-1 downto data_width_c-(2**i)) <= bs_level(i+1)((2**i)-1 downto 0); + bs_level(i)((data_width_c-(2**i))-1 downto 0) <= bs_level(i+1)(data_width_c-1 downto 2**i); + else + bs_level(i) <= bs_level(i+1); + end if; + end loop; + end process shifter_unit_async; + end generate; + + + -- Shifted-Add Core ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + shift_adder: process(rs1_reg, rs2_reg, ctrl_i) + variable opb_v : std_ulogic_vector(data_width_c-1 downto 0); + begin + case ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_1_c) is + when "01" => opb_v := rs1_reg(rs1_reg'left-1 downto 0) & '0'; -- << 1 + when "10" => opb_v := rs1_reg(rs1_reg'left-2 downto 0) & "00"; -- << 2 + when "11" => opb_v := rs1_reg(rs1_reg'left-3 downto 0) & "000"; -- << 3 + when others => opb_v := rs1_reg(rs1_reg'left-1 downto 0) & '0'; -- undefined + end case; + adder_core <= std_ulogic_vector(unsigned(rs2_reg) + unsigned(opb_v)); + end process shift_adder; + + + -- Operation Results ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- logic with negate -- + res_int(op_andn_c) <= rs1_reg and (not rs2_reg); -- logical and-not + res_int(op_orn_c) <= rs1_reg or (not rs2_reg); -- logical or-not + res_int(op_xnor_c) <= rs1_reg xor (not rs2_reg); -- logical xor-not + + -- count leading/trailing zeros -- + res_int(op_clz_c)(data_width_c-1 downto shifter.cnt'left+1) <= (others => '0'); + res_int(op_clz_c)(shifter.cnt'left downto 0) <= shifter.cnt; + res_int(op_ctz_c) <= (others => '0'); -- unused/redundant + + -- count set bits -- + res_int(op_cpop_c)(data_width_c-1 downto shifter.bcnt'left+1) <= (others => '0'); + res_int(op_cpop_c)(shifter.bcnt'left downto 0) <= shifter.bcnt; + + -- min/max select -- + res_int(op_min_c) <= rs1_reg when ((less_ff xor cmd_buf(op_max_c)) = '1') else rs2_reg; + res_int(op_max_c) <= (others => '0'); -- unused/redundant + + -- sign-extension -- + res_int(op_sextb_c)(data_width_c-1 downto 8) <= (others => rs1_reg(7)); + res_int(op_sextb_c)(7 downto 0) <= rs1_reg(7 downto 0); -- sign-extend byte + res_int(op_sexth_c)(data_width_c-1 downto 16) <= (others => rs1_reg(15)); + res_int(op_sexth_c)(15 downto 0) <= rs1_reg(15 downto 0); -- sign-extend half-word + res_int(op_zexth_c)(data_width_c-1 downto 16) <= (others => '0'); + res_int(op_zexth_c)(15 downto 0) <= rs1_reg(15 downto 0); -- zero-extend half-word + + -- rotate right/left -- + res_int(op_ror_c) <= shifter.sreg; + res_int(op_rol_c) <= bit_rev_f(shifter.sreg); -- reverse to compensate internal right-only shifts + + -- or-combine.byte -- + or_combine_gen: + for i in 0 to (data_width_c/8)-1 generate -- sub-byte loop + res_int(op_orcb_c)(i*8+7 downto i*8) <= (others => or_reduce_f(rs1_reg(i*8+7 downto i*8))); + end generate; -- i + + -- reversal.8 (byte swap) -- + res_int(op_rev8_c) <= bswap32_f(rs1_reg); + + -- address generation instructions -- + res_int(op_sh1add_c) <= adder_core; + res_int(op_sh2add_c) <= (others => '0'); -- unused/redundant + res_int(op_sh3add_c) <= (others => '0'); -- unused/redundant + + + -- Output Selector ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + res_out(op_andn_c) <= res_int(op_andn_c) when (cmd_buf(op_andn_c) = '1') else (others => '0'); + res_out(op_orn_c) <= res_int(op_orn_c) when (cmd_buf(op_orn_c) = '1') else (others => '0'); + res_out(op_xnor_c) <= res_int(op_xnor_c) when (cmd_buf(op_xnor_c) = '1') else (others => '0'); + res_out(op_clz_c) <= res_int(op_clz_c) when ((cmd_buf(op_clz_c) or cmd_buf(op_ctz_c)) = '1') else (others => '0'); + res_out(op_ctz_c) <= (others => '0'); -- unused/redundant + res_out(op_cpop_c) <= res_int(op_cpop_c) when (cmd_buf(op_cpop_c) = '1') else (others => '0'); + res_out(op_min_c) <= res_int(op_min_c) when ((cmd_buf(op_min_c) or cmd_buf(op_max_c)) = '1') else (others => '0'); + res_out(op_max_c) <= (others => '0'); -- unused/redundant + res_out(op_sextb_c) <= res_int(op_sextb_c) when (cmd_buf(op_sextb_c) = '1') else (others => '0'); + res_out(op_sexth_c) <= res_int(op_sexth_c) when (cmd_buf(op_sexth_c) = '1') else (others => '0'); + res_out(op_zexth_c) <= res_int(op_zexth_c) when (cmd_buf(op_zexth_c) = '1') else (others => '0'); + res_out(op_ror_c) <= res_int(op_ror_c) when (cmd_buf(op_ror_c) = '1') else (others => '0'); + res_out(op_rol_c) <= res_int(op_rol_c) when (cmd_buf(op_rol_c) = '1') else (others => '0'); + res_out(op_orcb_c) <= res_int(op_orcb_c) when (cmd_buf(op_orcb_c) = '1') else (others => '0'); + res_out(op_rev8_c) <= res_int(op_rev8_c) when (cmd_buf(op_rev8_c) = '1') else (others => '0'); + -- + res_out(op_sh1add_c) <= res_int(op_sh1add_c) when ((cmd_buf(op_sh1add_c) or cmd_buf(op_sh2add_c) or cmd_buf(op_sh3add_c)) = '1') else (others => '0'); + res_out(op_sh2add_c) <= (others => '0'); -- unused/redundant + res_out(op_sh3add_c) <= (others => '0'); -- unused/redundant + + + -- Output Gate ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + output_gate: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + res_o <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + res_o <= (others => '0'); + if (valid = '1') then + res_o <= res_out(op_andn_c) or res_out(op_orn_c) or res_out(op_xnor_c) or + res_out(op_clz_c) or res_out(op_cpop_c) or -- res_out(op_ctz_c) is unused here + res_out(op_min_c) or -- res_out(op_max_c) is unused here + res_out(op_sextb_c) or res_out(op_sexth_c) or res_out(op_zexth_c) or + res_out(op_ror_c) or res_out(op_rol_c) or + res_out(op_orcb_c) or res_out(op_rev8_c) or + res_out(op_sh1add_c); -- res_out(op_sh2add_c) and res_out(op_sh3add_c) are unused here + end if; + end if; + end process output_gate; + + -- valid output -- + valid_o <= valid; + + +end neorv32_cpu_cp_bitmanip_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_fpu.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_fpu.vhd new file mode 100644 index 0000000..1604207 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_fpu.vhd @@ -0,0 +1,1847 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Co-Processor: Single-Prec. Floating Point Unit (RISC-V "Zfinx" Extension) >> # +-- # ********************************************************************************************* # +-- # The Zfinx floating-point extension uses the integer register file (x) for all FP operations. # +-- # See the official RISC-V specs (https://github.com/riscv/riscv-zfinx) for more information. # +-- # # +-- # Design Notes: # +-- # * This FPU is based on a multi-cycle architecture and is NOT suited for pipelined operations. # +-- # * The hardware design goal was SIZE (performance comes second). All shift operations are done # +-- # using an iterative approach (one bit per clock cycle, no barrel shifters!). # +-- # * Multiplication (FMUL instruction) will infer DSP blocks (if available). # +-- # * Subnormal numbers are not supported yet - they are "flushed to zero" before entering the # +-- # actual FPU core. # +-- # * Division and sqare root operations (FDIV, FSQRT) and fused multiply-accumulate operations # +-- # (F[N]MADD) are not supported yet - they will raise an illegal instruction exception. # +-- # * Rounding mode <100> ("round to nearest, ties to max magnitude") is not supported yet. # +-- # * Signaling NaNs (sNaN) will not be generated by the hardware at all. However, if inserted by # +-- # the programmer they are handled correctly. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_fpu is + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags + valid_o : out std_ulogic -- data output valid + ); +end neorv32_cpu_cp_fpu; + +architecture neorv32_cpu_cp_fpu_rtl of neorv32_cpu_cp_fpu is + + -- FPU core functions -- + constant op_class_c : std_ulogic_vector(2 downto 0) := "000"; + constant op_comp_c : std_ulogic_vector(2 downto 0) := "001"; + constant op_i2f_c : std_ulogic_vector(2 downto 0) := "010"; + constant op_f2i_c : std_ulogic_vector(2 downto 0) := "011"; + constant op_sgnj_c : std_ulogic_vector(2 downto 0) := "100"; + constant op_minmax_c : std_ulogic_vector(2 downto 0) := "101"; + constant op_addsub_c : std_ulogic_vector(2 downto 0) := "110"; + constant op_mul_c : std_ulogic_vector(2 downto 0) := "111"; + + -- float-to-integer unit -- + component neorv32_cpu_cp_fpu_f2i + port ( + -- control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + start_i : in std_ulogic; -- trigger operation + rmode_i : in std_ulogic_vector(02 downto 0); -- rounding mode + funct_i : in std_ulogic; -- 0=signed, 1=unsigned + -- input -- + sign_i : in std_ulogic; -- sign + exponent_i : in std_ulogic_vector(07 downto 0); -- exponent + mantissa_i : in std_ulogic_vector(22 downto 0); -- mantissa + class_i : in std_ulogic_vector(09 downto 0); -- operand class + -- output -- + result_o : out std_ulogic_vector(31 downto 0); -- integer result + flags_o : out std_ulogic_vector(04 downto 0); -- exception flags + done_o : out std_ulogic -- operation done + ); + end component; + + -- normalizer + rounding unit -- + component neorv32_cpu_cp_fpu_normalizer + port ( + -- control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + start_i : in std_ulogic; -- trigger operation + rmode_i : in std_ulogic_vector(02 downto 0); -- rounding mode + funct_i : in std_ulogic; -- operating mode (0=norm&round, 1=int-to-float) + -- input -- + sign_i : in std_ulogic; -- sign + exponent_i : in std_ulogic_vector(08 downto 0); -- extended exponent + mantissa_i : in std_ulogic_vector(47 downto 0); -- extended mantissa + integer_i : in std_ulogic_vector(31 downto 0); -- integer input + class_i : in std_ulogic_vector(09 downto 0); -- input number class + flags_i : in std_ulogic_vector(04 downto 0); -- exception flags input + -- output -- + result_o : out std_ulogic_vector(31 downto 0); -- result (float or int) + flags_o : out std_ulogic_vector(04 downto 0); -- exception flags + done_o : out std_ulogic -- operation done + ); + end component; + + -- commands (one-hot) -- + type cmd_t is record + instr_class : std_ulogic; + instr_sgnj : std_ulogic; + instr_comp : std_ulogic; + instr_i2f : std_ulogic; + instr_f2i : std_ulogic; + instr_minmax : std_ulogic; + instr_addsub : std_ulogic; + instr_mul : std_ulogic; + funct : std_ulogic_vector(2 downto 0); + end record; + signal cmd : cmd_t; + signal funct_ff : std_ulogic_vector(2 downto 0); + + -- co-processor control engine -- + type ctrl_state_t is (S_IDLE, S_BUSY); + type ctrl_engine_t is record + state : ctrl_state_t; + start : std_ulogic; + valid : std_ulogic; + end record; + signal ctrl_engine : ctrl_engine_t; + + -- floating-point operands -- + type op_data_t is array (0 to 1) of std_ulogic_vector(31 downto 0); + type op_class_t is array (0 to 1) of std_ulogic_vector(09 downto 0); + type fpu_operands_t is record + rs1 : std_ulogic_vector(31 downto 0); -- operand 1 + rs1_class : std_ulogic_vector(09 downto 0); -- operand 1 number class + rs2 : std_ulogic_vector(31 downto 0); -- operand 2 + rs2_class : std_ulogic_vector(09 downto 0); -- operand 2 number class + frm : std_ulogic_vector(02 downto 0); -- rounding mode + end record; + signal op_data : op_data_t; + signal op_class : op_class_t; + signal fpu_operands : fpu_operands_t; + + -- floating-point comparator -- + signal cmp_ff : std_ulogic_vector(01 downto 0); + signal comp_equal_ff : std_ulogic; + signal comp_less_ff : std_ulogic; + + -- functional units interface -- + type fu_interface_t is record + result : std_ulogic_vector(31 downto 0); + flags : std_ulogic_vector(04 downto 0); + start : std_ulogic; + done : std_ulogic; + end record; + signal fu_classify : fu_interface_t; + signal fu_compare : fu_interface_t; + signal fu_sign_inject : fu_interface_t; + signal fu_min_max : fu_interface_t; + signal fu_conv_f2i : fu_interface_t; + signal fu_addsub : fu_interface_t; + signal fu_mul : fu_interface_t; + signal fu_core_done : std_ulogic; -- FU operation completed + + -- integer-to-float -- + type fu_i2f_interface_t is record + result : std_ulogic_vector(31 downto 0); + sign : std_ulogic; + start : std_ulogic; + done : std_ulogic; + end record; + signal fu_conv_i2f : fu_i2f_interface_t; -- float result + + -- multiplier unit -- + type multiplier_t is record + opa : unsigned(23 downto 0); -- mantissa A plus hidden one + opb : unsigned(23 downto 0); -- mantissa B plus hidden one + buf_ff : unsigned(47 downto 0); -- product buffer + sign : std_ulogic; -- resulting sign + product : std_ulogic_vector(47 downto 0); -- product + exp_sum : std_ulogic_vector(08 downto 0); -- incl 1x overflow/underflow bit + exp_res : std_ulogic_vector(09 downto 0); -- resulting exponent incl 2x overflow/underflow bit + -- + res_class : std_ulogic_vector(09 downto 0); + flags : std_ulogic_vector(04 downto 0); -- exception flags + -- + start : std_ulogic; + latency : std_ulogic_vector(02 downto 0); -- unit latency + done : std_ulogic; + end record; + signal multiplier : multiplier_t; + + -- adder/subtractor unit -- + type addsub_t is record + -- input comparison -- + exp_comp : std_ulogic_vector(01 downto 0); -- equal & less + small_exp : std_ulogic_vector(07 downto 0); + small_man : std_ulogic_vector(23 downto 0); -- mantissa + hiden one + large_exp : std_ulogic_vector(07 downto 0); + large_man : std_ulogic_vector(23 downto 0); -- mantissa + hiden one + -- smaller mantissa alginment -- + man_sreg : std_ulogic_vector(23 downto 0); -- mantissa + hidden one + man_g_ext : std_ulogic; + man_r_ext : std_ulogic; + man_s_ext : std_ulogic; + exp_cnt : std_ulogic_vector(08 downto 0); + -- adder/subtractor stage -- + man_comp : std_ulogic; + man_s : std_ulogic_vector(26 downto 0); -- mantissa + hiden one + GRS + man_l : std_ulogic_vector(26 downto 0); -- mantissa + hiden one + GRS + add_stage : std_ulogic_vector(27 downto 0); -- adder result incl. overflow + -- result -- + res_sign : std_ulogic; + res_sum : std_ulogic_vector(27 downto 0); -- mantissa sum (+1 bit) + GRS bits (for rounding) + res_class : std_ulogic_vector(09 downto 0); + flags : std_ulogic_vector(04 downto 0); -- exception flags + -- arbitration -- + start : std_ulogic; + latency : std_ulogic_vector(04 downto 0); -- unit latency + done : std_ulogic; + end record; + signal addsub : addsub_t; + + -- normalizer interface (normalization & rounding and int-to-float) -- + type normalizer_t is record + start : std_ulogic; + mode : std_ulogic; + sign : std_ulogic; + xexp : std_ulogic_vector(08 downto 0); + xmantissa : std_ulogic_vector(47 downto 0); + result : std_ulogic_vector(31 downto 0); + class : std_ulogic_vector(09 downto 0); + flags_in : std_ulogic_vector(04 downto 0); + flags_out : std_ulogic_vector(04 downto 0); + done : std_ulogic; + end record; + signal normalizer : normalizer_t; + +begin + +-- **************************************************************************************************************************** +-- Control +-- **************************************************************************************************************************** + + -- Instruction Decoding ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- one-hot re-encoding -- + cmd.instr_class <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "11100") else '0'; + cmd.instr_comp <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "10100") else '0'; + cmd.instr_i2f <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "11010") else '0'; + cmd.instr_f2i <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "11000") else '0'; + cmd.instr_sgnj <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "00100") else '0'; + cmd.instr_minmax <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "00101") else '0'; + cmd.instr_addsub <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_8_c) = "0000") else '0'; + cmd.instr_mul <= '1' when (ctrl_i(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_7_c) = "00010") else '0'; + + -- binary re-encoding -- + cmd.funct <= op_mul_c when (cmd.instr_mul = '1') else + op_addsub_c when (cmd.instr_addsub = '1') else + op_minmax_c when (cmd.instr_minmax = '1') else + op_sgnj_c when (cmd.instr_sgnj = '1') else + op_f2i_c when (cmd.instr_f2i = '1') else + op_i2f_c when (cmd.instr_i2f = '1') else + op_comp_c when (cmd.instr_comp = '1') else + op_class_c;--when (cmd.instr_class = '1') else (others => '-'); + + + -- Input Operands: Check for subnormal numbers (flush to zero) ---------------------------- + -- ------------------------------------------------------------------------------------------- + -- Subnormal numbers are not supported and are "flushed to zero"! FIXME / TODO + -- rs1 -- + op_data(0)(31) <= rs1_i(31); + op_data(0)(30 downto 23) <= rs1_i(30 downto 23); + op_data(0)(22 downto 00) <= (others => '0') when (rs1_i(30 downto 23) = "00000000") else rs1_i(22 downto 0); -- flush mantissa to zero if subnormal + -- rs2 -- + op_data(1)(31) <= rs2_i(31); + op_data(1)(30 downto 23) <= rs2_i(30 downto 23); + op_data(1)(22 downto 00) <= (others => '0') when (rs2_i(30 downto 23) = "00000000") else rs2_i(22 downto 0); -- flush mantissa to zero if subnormal + + + -- Number Classifier ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + number_classifier: process(op_data) + variable op_m_all_zero_v, op_e_all_zero_v, op_e_all_one_v : std_ulogic; + variable op_is_zero_v, op_is_inf_v, op_is_denorm_v, op_is_nan_v : std_ulogic; + begin + for i in 0 to 1 loop -- for rs1 and rs2 inputs + -- check for all-zero/all-one -- + op_m_all_zero_v := not or_reduce_f(op_data(i)(22 downto 00)); + op_e_all_zero_v := not or_reduce_f(op_data(i)(30 downto 23)); + op_e_all_one_v := and_reduce_f(op_data(i)(30 downto 23)); + + -- check special cases -- + op_is_zero_v := op_e_all_zero_v and op_m_all_zero_v; -- zero + op_is_inf_v := op_e_all_one_v and op_m_all_zero_v; -- infinity + op_is_denorm_v := '0'; -- FIXME / TODO -- op_e_all_zero_v and (not op_m_all_zero_v); -- subnormal + op_is_nan_v := op_e_all_one_v and (not op_m_all_zero_v); -- NaN + + -- actual attributes -- + op_class(i)(fp_class_neg_inf_c) <= op_data(i)(31) and op_is_inf_v; -- negative infinity + op_class(i)(fp_class_neg_norm_c) <= op_data(i)(31) and (not op_is_denorm_v) and (not op_is_nan_v) and (not op_is_inf_v) and (not op_is_zero_v); -- negative normal number + op_class(i)(fp_class_neg_denorm_c) <= op_data(i)(31) and op_is_denorm_v; -- negative subnormal number + op_class(i)(fp_class_neg_zero_c) <= op_data(i)(31) and op_is_zero_v; -- negative zero + op_class(i)(fp_class_pos_zero_c) <= (not op_data(i)(31)) and op_is_zero_v; -- positive zero + op_class(i)(fp_class_pos_denorm_c) <= (not op_data(i)(31)) and op_is_denorm_v; -- positive subnormal number + op_class(i)(fp_class_pos_norm_c) <= (not op_data(i)(31)) and (not op_is_denorm_v) and (not op_is_nan_v) and (not op_is_inf_v) and (not op_is_zero_v); -- positive normal number + op_class(i)(fp_class_pos_inf_c) <= (not op_data(i)(31)) and op_is_inf_v; -- positive infinity + op_class(i)(fp_class_snan_c) <= op_is_nan_v and (not op_data(i)(22)); -- signaling NaN + op_class(i)(fp_class_qnan_c) <= op_is_nan_v and ( op_data(i)(22)); -- quiet NaN + end loop; -- i + end process number_classifier; + + + -- Co-Processor Control Engine ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + control_engine_fsm: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl_engine.state <= S_IDLE; + ctrl_engine.start <= '0'; + fpu_operands.frm <= (others => def_rst_val_c); + fpu_operands.rs1 <= (others => def_rst_val_c); + fpu_operands.rs1_class <= (others => def_rst_val_c); + fpu_operands.rs2 <= (others => def_rst_val_c); + fpu_operands.rs2_class <= (others => def_rst_val_c); + funct_ff <= (others => def_rst_val_c); + cmp_ff <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- arbiter defaults -- + ctrl_engine.valid <= '0'; + ctrl_engine.start <= '0'; + + -- state machine -- + case ctrl_engine.state is + + when S_IDLE => -- waiting for operation trigger + -- ------------------------------------------------------------ + funct_ff <= cmd.funct; -- actual operation to execute + cmp_ff <= cmp_i; -- main ALU comparator + -- rounding mode -- + -- TODO / FIXME "round to nearest, ties to max magnitude" (0b100) is not supported yet + if (ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_0_c) = "111") then + fpu_operands.frm <= '0' & ctrl_i(ctrl_alu_frm1_c downto ctrl_alu_frm0_c); + else + fpu_operands.frm <= '0' & ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c); + end if; + -- + if (start_i = '1') then + -- operand data -- + fpu_operands.rs1 <= op_data(0); + fpu_operands.rs1_class <= op_class(0); + fpu_operands.rs2 <= op_data(1); + fpu_operands.rs2_class <= op_class(1); + -- execute! -- + ctrl_engine.start <= '1'; + ctrl_engine.state <= S_BUSY; + end if; + + when S_BUSY => -- operation in progress (multi-cycle) + -- ----------------------------------------------------------- + if (fu_core_done = '1') then -- processing done? + ctrl_engine.valid <= '1'; + ctrl_engine.state <= S_IDLE; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl_engine.state <= S_IDLE; + + end case; + end if; + end process control_engine_fsm; + + -- operation done / valid output -- + valid_o <= ctrl_engine.valid; + + + -- Functional Unit Interface (operation-start trigger) ------------------------------------ + -- ------------------------------------------------------------------------------------------- + fu_classify.start <= ctrl_engine.start and cmd.instr_class; + fu_compare.start <= ctrl_engine.start and cmd.instr_comp; + fu_sign_inject.start <= ctrl_engine.start and cmd.instr_sgnj; + fu_min_max.start <= ctrl_engine.start and cmd.instr_minmax; + fu_conv_i2f.start <= ctrl_engine.start and cmd.instr_i2f; + fu_conv_f2i.start <= ctrl_engine.start and cmd.instr_f2i; + fu_addsub.start <= ctrl_engine.start and cmd.instr_addsub; + fu_mul.start <= ctrl_engine.start and cmd.instr_mul; + + +-- **************************************************************************************************************************** +-- FPU Core - Functional Units +-- **************************************************************************************************************************** + + -- Number Classifier (FCLASS) ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + fu_classify.flags <= (others => '0'); -- does not generate flags at all + fu_classify.result(31 downto 10) <= (others => '0'); + fu_classify.result(09 downto 00) <= fpu_operands.rs1_class; + fu_classify.done <= fu_classify.start; + + + -- Floating-Point Comparator -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + float_comparator: process(rstn_i, clk_i) + variable cond_v : std_ulogic_vector(1 downto 0); + begin + if (rstn_i = '0') then + comp_equal_ff <= def_rst_val_c; + comp_less_ff <= def_rst_val_c; + fu_compare.done <= def_rst_val_c; + fu_min_max.done <= def_rst_val_c; + elsif rising_edge(clk_i) then + -- equal -- + if ((fpu_operands.rs1_class(fp_class_pos_inf_c) = '1') and (fpu_operands.rs2_class(fp_class_pos_inf_c) = '1')) or -- +inf == +inf + ((fpu_operands.rs1_class(fp_class_neg_inf_c) = '1') and (fpu_operands.rs2_class(fp_class_neg_inf_c) = '1')) or -- -inf == -inf + (((fpu_operands.rs1_class(fp_class_pos_zero_c) = '1') or (fpu_operands.rs1_class(fp_class_neg_zero_c) = '1')) and + ((fpu_operands.rs2_class(fp_class_pos_zero_c) = '1') or (fpu_operands.rs2_class(fp_class_neg_zero_c) = '1'))) or -- +/-zero == +/-zero + (cmp_ff(cmp_equal_c) = '1') then -- identical in every way (comparator result from main ALU) + comp_equal_ff <= '1'; + else + comp_equal_ff <= '0'; + end if; + + -- less than -- + if ((fpu_operands.rs1_class(fp_class_pos_inf_c) = '1') and (fpu_operands.rs2_class(fp_class_pos_inf_c) = '1')) or -- +inf !< +inf + ((fpu_operands.rs1_class(fp_class_neg_inf_c) = '1') and (fpu_operands.rs2_class(fp_class_neg_inf_c) = '1')) or -- -inf !< -inf + (((fpu_operands.rs1_class(fp_class_pos_zero_c) = '1') or (fpu_operands.rs1_class(fp_class_neg_zero_c) = '1')) and + ((fpu_operands.rs2_class(fp_class_pos_zero_c) = '1') or (fpu_operands.rs2_class(fp_class_neg_zero_c) = '1'))) then -- +/-zero !< +/-zero + comp_less_ff <= '0'; + else + cond_v := fpu_operands.rs1(31) & fpu_operands.rs2(31); + case cond_v is + when "10" => comp_less_ff <= '1'; -- rs1 negative, rs2 positive + when "01" => comp_less_ff <= '0'; -- rs1 positive, rs2 negative + when "00" => comp_less_ff <= cmp_ff(cmp_less_c); -- both positive (comparator result from main ALU) + when "11" => comp_less_ff <= not cmp_ff(cmp_less_c); -- both negative (comparator result from main ALU) + when others => comp_less_ff <= '0'; -- undefined + end case; + end if; + + -- comparator latency -- + fu_compare.done <= fu_compare.start; -- for actual comparison operation + fu_min_max.done <= fu_min_max.start; -- for min/max operations + end if; + end process float_comparator; + + + -- Comparison (FEQ/FLT/FLE) --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + float_comparison: process(fpu_operands, ctrl_i, comp_equal_ff, comp_less_ff) + variable snan_v : std_ulogic; -- at least one input is sNaN + variable qnan_v : std_ulogic; -- at least one input is qNaN + begin + -- check for NaN -- + snan_v := fpu_operands.rs1_class(fp_class_snan_c) or fpu_operands.rs2_class(fp_class_snan_c); + qnan_v := fpu_operands.rs1_class(fp_class_qnan_c) or fpu_operands.rs2_class(fp_class_qnan_c); + + -- condition evaluation -- + fu_compare.result <= (others => '0'); + case ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c) is + when "00" => -- FLE: less than or equal + fu_compare.result(0) <= (comp_less_ff or comp_equal_ff) and (not (snan_v or qnan_v)); -- result is zero if either input is NaN + when "01" => -- FLT: less than + fu_compare.result(0) <= comp_less_ff and (not (snan_v or qnan_v)); -- result is zero if either input is NaN + when "10" => -- FEQ: equal + fu_compare.result(0) <= comp_equal_ff and (not (snan_v or qnan_v)); -- result is zero if either input is NaN + when others => -- undefined + fu_compare.result(0) <= '0'; + end case; + end process float_comparison; + + -- latency -- + -- -> done in "float_comparator" + + -- exceptions -- + fu_compare.flags <= (others => '0'); -- does not generate exceptions here, but normalizer can generate exceptions + + + -- Min/Max Select (FMIN/FMAX) ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + min_max_select: process(fpu_operands, comp_less_ff, fu_compare, ctrl_i) + variable cond_v : std_ulogic_vector(2 downto 0); + begin + -- comparison restul - check for special cases: -0 is less than +0 + if ((fpu_operands.rs1_class(fp_class_neg_zero_c) = '1') and (fpu_operands.rs2_class(fp_class_pos_zero_c) = '1')) then + cond_v(0) := ctrl_i(ctrl_ir_funct3_0_c); + elsif ((fpu_operands.rs1_class(fp_class_pos_zero_c) = '1') and (fpu_operands.rs2_class(fp_class_neg_zero_c) = '1')) then + cond_v(0) := not ctrl_i(ctrl_ir_funct3_0_c); + else -- "normal= comparison + cond_v(0) := comp_less_ff xnor ctrl_i(ctrl_ir_funct3_0_c); -- min/max select + end if; + + -- nmumber NaN check -- + cond_v(2) := fpu_operands.rs1_class(fp_class_snan_c) or fpu_operands.rs1_class(fp_class_qnan_c); + cond_v(1) := fpu_operands.rs2_class(fp_class_snan_c) or fpu_operands.rs2_class(fp_class_qnan_c); + + -- data output -- + case cond_v is + when "000" => fu_min_max.result <= fpu_operands.rs1; + when "001" => fu_min_max.result <= fpu_operands.rs2; + when "010" | "011" => fu_min_max.result <= fpu_operands.rs1; -- if one input is NaN output the non-NaN one + when "100" | "101" => fu_min_max.result <= fpu_operands.rs2; -- if one input is NaN output the non-NaN one + when others => fu_min_max.result <= fp_single_qnan_c; -- output quiet NaN if both inputs are NaN + end case; + end process min_max_select; + + -- latency -- + -- -> done in "float_comparator" + + -- exceptions -- + fu_min_max.flags <= (others => '0'); -- does not generate exceptions here, but normalizer can generate exceptions + + + -- Convert: Float to [unsigned] Integer (FCVT.S.W) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_fpu_f2i_inst: neorv32_cpu_cp_fpu_f2i + port map ( + -- control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + start_i => fu_conv_f2i.start, -- trigger operation + rmode_i => fpu_operands.frm, -- rounding mode + funct_i => ctrl_i(ctrl_ir_funct12_0_c), -- 0=signed, 1=unsigned + -- input -- + sign_i => fpu_operands.rs1(31), -- sign + exponent_i => fpu_operands.rs1(30 downto 23), -- exponent + mantissa_i => fpu_operands.rs1(22 downto 00), -- mantissa + class_i => fpu_operands.rs1_class, -- operand class + -- output -- + result_o => fu_conv_f2i.result, -- integer result + flags_o => fu_conv_f2i.flags, -- exception flags + done_o => fu_conv_f2i.done -- operation done + ); + + + -- Sign-Injection (FSGNJ) ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + sign_injector: process(ctrl_i, fpu_operands) + begin + case ctrl_i(ctrl_ir_funct3_1_c downto ctrl_ir_funct3_0_c) is + when "00" => fu_sign_inject.result(31) <= fpu_operands.rs2(31); -- FSGNJ + when "01" => fu_sign_inject.result(31) <= not fpu_operands.rs2(31); -- FSGNJN + when "10" => fu_sign_inject.result(31) <= fpu_operands.rs1(31) xor fpu_operands.rs2(31); -- FSGNJX + when others => fu_sign_inject.result(31) <= fpu_operands.rs2(31); -- undefined + end case; + fu_sign_inject.result(30 downto 0) <= fpu_operands.rs1(30 downto 0); + fu_sign_inject.flags <= (others => '0'); -- does not generate flags + end process sign_injector; + + -- latency -- + fu_sign_inject.done <= fu_sign_inject.start; + + + -- Convert: [unsigned] Integer to Float (FCVT.W.S) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + convert_i2f: process(rstn_i, clk_i) + begin + -- this process only computes the absolute input value + -- the actual conversion is done by the normalizer + if (rstn_i = '0') then + fu_conv_i2f.result <= (others => def_rst_val_c); + fu_conv_i2f.sign <= def_rst_val_c; + elsif rising_edge(clk_i) then + if (ctrl_i(ctrl_ir_funct12_0_c) = '0') and (rs1_i(31) = '1') then -- convert signed integer + fu_conv_i2f.result <= std_ulogic_vector(0 - unsigned(rs1_i)); + fu_conv_i2f.sign <= rs1_i(31); -- original sign + else -- convert unsigned integer + fu_conv_i2f.result <= rs1_i; + fu_conv_i2f.sign <= '0'; + end if; + fu_conv_i2f.done <= fu_conv_i2f.start; -- actual conversion is done by the normalizer unit + end if; + end process convert_i2f; + + + -- Multiplier Core (FMUL) ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + multiplier_core: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + multiplier.opa <= (others => '-'); -- these might be DSP regs! + multiplier.opb <= (others => '-'); -- these might be DSP regs! + multiplier.buf_ff <= (others => '-'); -- these might be DSP regs! + multiplier.product <= (others => '-'); -- these might be DSP regs! + multiplier.sign <= def_rst_val_c; + multiplier.exp_res <= (others => def_rst_val_c); + multiplier.flags(fp_exc_of_c) <= def_rst_val_c; + multiplier.flags(fp_exc_uf_c) <= def_rst_val_c; + multiplier.flags(fp_exc_nv_c) <= def_rst_val_c; + multiplier.latency <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- multiplier core -- + if (multiplier.start = '1') then -- FIXME / TODO remove buffer? + multiplier.opa <= unsigned('1' & fpu_operands.rs1(22 downto 0)); -- append hidden one + multiplier.opb <= unsigned('1' & fpu_operands.rs2(22 downto 0)); -- append hidden one + end if; + multiplier.buf_ff <= multiplier.opa * multiplier.opb; + multiplier.product <= std_ulogic_vector(multiplier.buf_ff(47 downto 0)); -- let the register balancing do the magic here + multiplier.sign <= fpu_operands.rs1(31) xor fpu_operands.rs2(31); -- resulting sign + + -- exponent computation -- + multiplier.exp_res <= std_ulogic_vector(unsigned('0' & multiplier.exp_sum) - 127); + if (multiplier.exp_res(multiplier.exp_res'left) = '1') then -- underflow (exp_res is "negative") + multiplier.flags(fp_exc_of_c) <= '0'; + multiplier.flags(fp_exc_uf_c) <= '1'; + elsif (multiplier.exp_res(multiplier.exp_res'left-1) = '1') then -- overflow + multiplier.flags(fp_exc_of_c) <= '1'; + multiplier.flags(fp_exc_uf_c) <= '0'; + else + multiplier.flags(fp_exc_of_c) <= '0'; + multiplier.flags(fp_exc_uf_c) <= '0'; + end if; + + -- invalid operation -- + multiplier.flags(fp_exc_nv_c) <= + ((fpu_operands.rs1_class(fp_class_pos_zero_c) or fpu_operands.rs1_class(fp_class_neg_zero_c)) and + (fpu_operands.rs2_class(fp_class_pos_inf_c) or fpu_operands.rs2_class(fp_class_neg_inf_c))) or -- mul(+/-zero, +/-inf) + ((fpu_operands.rs1_class(fp_class_pos_inf_c) or fpu_operands.rs1_class(fp_class_neg_inf_c)) and + (fpu_operands.rs2_class(fp_class_pos_zero_c) or fpu_operands.rs2_class(fp_class_neg_zero_c))); -- mul(+/-inf, +/-zero) + + -- latency shift register -- + multiplier.latency <= multiplier.latency(multiplier.latency'left-1 downto 0) & multiplier.start; + end if; + end process multiplier_core; + + -- exponent sum -- + multiplier.exp_sum <= std_ulogic_vector(unsigned('0' & fpu_operands.rs1(30 downto 23)) + unsigned('0' & fpu_operands.rs2(30 downto 23))); + + -- latency -- + multiplier.start <= fu_mul.start; + multiplier.done <= multiplier.latency(multiplier.latency'left); + fu_mul.done <= multiplier.done; + + -- unused exception flags -- + multiplier.flags(fp_exc_dz_c) <= '0'; -- division by zero: not possible here + multiplier.flags(fp_exc_nx_c) <= '0'; -- inexcat: not possible here + + + -- result class -- + multiplier_class_core: process(rstn_i, clk_i) + variable a_pos_norm_v, a_neg_norm_v, b_pos_norm_v, b_neg_norm_v : std_ulogic; + variable a_pos_subn_v, a_neg_subn_v, b_pos_subn_v, b_neg_subn_v : std_ulogic; + variable a_pos_zero_v, a_neg_zero_v, b_pos_zero_v, b_neg_zero_v : std_ulogic; + variable a_pos_inf_v, a_neg_inf_v, b_pos_inf_v, b_neg_inf_v : std_ulogic; + variable a_snan_v, a_qnan_v, b_snan_v, b_qnan_v : std_ulogic; + begin + if (rstn_i = '0') then + multiplier.res_class(fp_class_pos_norm_c) <= def_rst_val_c; + multiplier.res_class(fp_class_neg_norm_c) <= def_rst_val_c; + multiplier.res_class(fp_class_pos_inf_c) <= def_rst_val_c; + multiplier.res_class(fp_class_neg_inf_c) <= def_rst_val_c; + multiplier.res_class(fp_class_pos_zero_c) <= def_rst_val_c; + multiplier.res_class(fp_class_neg_zero_c) <= def_rst_val_c; + elsif rising_edge(clk_i) then + -- minions -- + a_pos_norm_v := fpu_operands.rs1_class(fp_class_pos_norm_c); b_pos_norm_v := fpu_operands.rs2_class(fp_class_pos_norm_c); + a_neg_norm_v := fpu_operands.rs1_class(fp_class_neg_norm_c); b_neg_norm_v := fpu_operands.rs2_class(fp_class_neg_norm_c); + a_pos_subn_v := fpu_operands.rs1_class(fp_class_pos_denorm_c); b_pos_subn_v := fpu_operands.rs2_class(fp_class_pos_denorm_c); + a_neg_subn_v := fpu_operands.rs1_class(fp_class_neg_denorm_c); b_neg_subn_v := fpu_operands.rs2_class(fp_class_neg_denorm_c); + a_pos_zero_v := fpu_operands.rs1_class(fp_class_pos_zero_c); b_pos_zero_v := fpu_operands.rs2_class(fp_class_pos_zero_c); + a_neg_zero_v := fpu_operands.rs1_class(fp_class_neg_zero_c); b_neg_zero_v := fpu_operands.rs2_class(fp_class_neg_zero_c); + a_pos_inf_v := fpu_operands.rs1_class(fp_class_pos_inf_c); b_pos_inf_v := fpu_operands.rs2_class(fp_class_pos_inf_c); + a_neg_inf_v := fpu_operands.rs1_class(fp_class_neg_inf_c); b_neg_inf_v := fpu_operands.rs2_class(fp_class_neg_inf_c); + a_snan_v := fpu_operands.rs1_class(fp_class_snan_c); b_snan_v := fpu_operands.rs2_class(fp_class_snan_c); + a_qnan_v := fpu_operands.rs1_class(fp_class_qnan_c); b_qnan_v := fpu_operands.rs2_class(fp_class_qnan_c); + + -- +normal -- + multiplier.res_class(fp_class_pos_norm_c) <= + (a_pos_norm_v and b_pos_norm_v) or -- +norm * +norm + (a_neg_norm_v and b_neg_norm_v); -- -norm * -norm + -- -normal -- + multiplier.res_class(fp_class_neg_norm_c) <= + (a_pos_norm_v and b_neg_norm_v) or -- +norm * -norm + (a_neg_norm_v and b_pos_norm_v); -- -norm * +norm + + -- +infinity -- + multiplier.res_class(fp_class_pos_inf_c) <= + (a_pos_inf_v and b_pos_inf_v) or -- +inf * +inf + (a_neg_inf_v and b_neg_inf_v) or -- -inf * -inf + (a_pos_norm_v and b_pos_inf_v) or -- +norm * +inf + (a_pos_inf_v and b_pos_norm_v) or -- +inf * +norm + (a_neg_norm_v and b_neg_inf_v) or -- -norm * -inf + (a_neg_inf_v and b_neg_norm_v) or -- -inf * -norm + (a_neg_subn_v and b_neg_inf_v) or -- -denorm * -inf + (a_neg_inf_v and b_neg_subn_v); -- -inf * -denorm + -- -infinity -- + multiplier.res_class(fp_class_neg_inf_c) <= + (a_pos_inf_v and b_neg_inf_v) or -- +inf * -inf + (a_neg_inf_v and b_pos_inf_v) or -- -inf * +inf + (a_pos_norm_v and b_neg_inf_v) or -- +norm * -inf + (a_neg_inf_v and b_pos_norm_v) or -- -inf * +norm + (a_neg_norm_v and b_pos_inf_v) or -- -norm * +inf + (a_pos_inf_v and b_neg_norm_v) or -- +inf * -norm + (a_pos_subn_v and b_neg_inf_v) or -- +denorm * -inf + (a_neg_inf_v and b_pos_subn_v) or -- -inf * +de-norm + (a_neg_subn_v and b_pos_inf_v) or -- -denorm * +inf + (a_pos_inf_v and b_neg_subn_v); -- +inf * -de-norm + + -- +zero -- + multiplier.res_class(fp_class_pos_zero_c) <= + (a_pos_zero_v and b_pos_zero_v) or -- +zero * +zero + (a_pos_zero_v and b_pos_norm_v) or -- +zero * +norm + (a_pos_zero_v and b_pos_subn_v) or -- +zero * +denorm + (a_neg_zero_v and b_neg_zero_v) or -- -zero * -zero + (a_neg_zero_v and b_neg_norm_v) or -- -zero * -norm + (a_neg_zero_v and b_neg_subn_v) or -- -zero * -denorm + (a_pos_norm_v and b_pos_zero_v) or -- +norm * +zero + (a_pos_subn_v and b_pos_zero_v) or -- +denorm * +zero + (a_neg_norm_v and b_neg_zero_v) or -- -norm * -zero + (a_neg_subn_v and b_neg_zero_v); -- -denorm * -zero + + -- -zero -- + multiplier.res_class(fp_class_neg_zero_c) <= + (a_pos_zero_v and b_neg_zero_v) or -- +zero * -zero + (a_pos_zero_v and b_neg_norm_v) or -- +zero * -norm + (a_pos_zero_v and b_neg_subn_v) or -- +zero * -denorm + (a_neg_zero_v and b_pos_zero_v) or -- -zero * +zero + (a_neg_zero_v and b_pos_norm_v) or -- -zero * +norm + (a_neg_zero_v and b_pos_subn_v) or -- -zero * +denorm + (a_neg_norm_v and b_pos_zero_v) or -- -norm * +zero + (a_neg_subn_v and b_pos_zero_v) or -- -denorm * +zero + (a_pos_norm_v and b_neg_zero_v) or -- +norm * -zero + (a_pos_subn_v and b_neg_zero_v); -- +denorm * -zero + + -- sNaN -- + multiplier.res_class(fp_class_snan_c) <= (a_snan_v or b_snan_v); -- any input is sNaN + -- qNaN -- + multiplier.res_class(fp_class_qnan_c) <= + (a_snan_v or b_snan_v) or -- any input is sNaN + (a_qnan_v or b_qnan_v) or -- nay input is qNaN + ((a_pos_inf_v or a_neg_inf_v) and (b_pos_zero_v or b_neg_zero_v)) or -- +/-inf * +/-zero + ((a_pos_zero_v or a_neg_zero_v) and (b_pos_inf_v or b_neg_inf_v)); -- +/-zero * +/-inf + end if; + end process multiplier_class_core; + + -- subnormal result -- + multiplier.res_class(fp_class_pos_denorm_c) <= '0'; -- is evaluated by the normalizer + multiplier.res_class(fp_class_neg_denorm_c) <= '0'; -- is evaluated by the normalizer + + -- unused -- + fu_mul.result <= (others => '0'); + fu_mul.flags <= (others => '0'); + + + -- Adder/Subtractor Core (FADD, FSUB) ----------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + adder_subtractor_core: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + addsub.latency <= (others => def_rst_val_c); + addsub.exp_comp <= (others => def_rst_val_c); + addsub.man_sreg <= (others => def_rst_val_c); + addsub.exp_cnt <= (others => def_rst_val_c); + addsub.man_g_ext <= def_rst_val_c; + addsub.man_r_ext <= def_rst_val_c; + addsub.man_s_ext <= def_rst_val_c; + addsub.man_comp <= def_rst_val_c; + addsub.add_stage <= (others => def_rst_val_c); + addsub.res_sign <= def_rst_val_c; + addsub.flags(fp_exc_nv_c) <= def_rst_val_c; + elsif rising_edge(clk_i) then + -- arbitration / latency -- + if (ctrl_engine.state = S_IDLE) then -- hacky "reset" + addsub.latency <= (others => '0'); + else + addsub.latency(0) <= addsub.start; -- input comparator delay + if (addsub.latency(0) = '1') then + addsub.latency(1) <= '1'; + addsub.latency(2) <= '0'; + elsif (addsub.exp_cnt(7 downto 0) = addsub.large_exp) then -- radix point not yet aligned + addsub.latency(1) <= '0'; + addsub.latency(2) <= addsub.latency(1) and (not addsub.latency(0)); -- "shift done" + end if; + addsub.latency(3) <= addsub.latency(2); -- adder stage + addsub.latency(4) <= addsub.latency(3); -- final stage + end if; + + -- exponent check: find smaller number (radix-offset-only) -- + if (unsigned(fpu_operands.rs1(30 downto 23)) < unsigned(fpu_operands.rs2(30 downto 23))) then + addsub.exp_comp(0) <= '1'; -- rs1 < rs2 + else + addsub.exp_comp(0) <= '0'; -- rs1 >= rs2 + end if; + if (unsigned(fpu_operands.rs1(30 downto 23)) = unsigned(fpu_operands.rs2(30 downto 23))) then + addsub.exp_comp(1) <= '1'; -- rs1 == rs2 + else -- rs1 != rs2 + addsub.exp_comp(1) <= '0'; + end if; + + -- shift right small mantissa to align radix point -- + if (addsub.latency(0) = '1') then + if ((fpu_operands.rs1_class(fp_class_pos_zero_c) or fpu_operands.rs2_class(fp_class_pos_zero_c) or + fpu_operands.rs1_class(fp_class_neg_zero_c) or fpu_operands.rs2_class(fp_class_neg_zero_c)) = '0') then -- no input is zero + addsub.man_sreg <= addsub.small_man; + else + addsub.man_sreg <= (others => '0'); + end if; + addsub.exp_cnt <= '0' & addsub.small_exp; + addsub.man_g_ext <= '0'; + addsub.man_r_ext <= '0'; + addsub.man_s_ext <= '0'; + elsif (addsub.exp_cnt(7 downto 0) /= addsub.large_exp) then -- shift right until same magnitude + addsub.man_sreg <= '0' & addsub.man_sreg(addsub.man_sreg'left downto 1); + addsub.man_g_ext <= addsub.man_sreg(0); + addsub.man_r_ext <= addsub.man_g_ext; + addsub.man_s_ext <= addsub.man_s_ext or addsub.man_r_ext; -- sticky bit + addsub.exp_cnt <= std_ulogic_vector(unsigned(addsub.exp_cnt) + 1); + end if; + + -- mantissa check: find smaller number (magnitude-only) -- + if (unsigned(addsub.man_sreg) <= unsigned(addsub.large_man)) then + addsub.man_comp <= '1'; + else + addsub.man_comp <= '0'; + end if; + + -- actual addition/subtraction (incl. overflow) -- + if ((ctrl_i(ctrl_ir_funct12_7_c) xor (fpu_operands.rs1(31) xor fpu_operands.rs2(31))) = '0') then -- add + addsub.add_stage <= std_ulogic_vector(unsigned('0' & addsub.man_l) + unsigned('0' & addsub.man_s)); + else -- sub + addsub.add_stage <= std_ulogic_vector(unsigned('0' & addsub.man_l) - unsigned('0' & addsub.man_s)); + end if; + + -- result sign -- + if (ctrl_i(ctrl_ir_funct12_7_c) = '0') then -- add + if (fpu_operands.rs1(31) = fpu_operands.rs2(31)) then -- identical signs + addsub.res_sign <= fpu_operands.rs1(31); + else -- different signs + if (addsub.exp_comp(1) = '1') then -- exp are equal (also check relation of mantissas) + addsub.res_sign <= fpu_operands.rs1(31) xor (not addsub.man_comp); + else + addsub.res_sign <= fpu_operands.rs1(31) xor addsub.exp_comp(0); + end if; + end if; + else -- sub + if (fpu_operands.rs1(31) = fpu_operands.rs2(31)) then -- identical signs + if (addsub.exp_comp(1) = '1') then -- exp are equal (also check relation of mantissas) + addsub.res_sign <= fpu_operands.rs1(31) xor (not addsub.man_comp); + else + addsub.res_sign <= fpu_operands.rs1(31) xor addsub.exp_comp(0); + end if; + else -- different signs + addsub.res_sign <= fpu_operands.rs1(31); + end if; + end if; + + -- exception flags -- + addsub.flags(fp_exc_nv_c) <= ((fpu_operands.rs1_class(fp_class_pos_inf_c) or fpu_operands.rs1_class(fp_class_neg_inf_c)) and + (fpu_operands.rs2_class(fp_class_pos_inf_c) or fpu_operands.rs2_class(fp_class_neg_inf_c))); -- +/-inf +/- +/-inf + end if; + end process adder_subtractor_core; + + -- exceptions - unused -- + addsub.flags(fp_exc_dz_c) <= '0'; -- division by zero -> not possible + addsub.flags(fp_exc_of_c) <= '0'; -- not possible here (but may occur in normalizer) + addsub.flags(fp_exc_uf_c) <= '0'; -- not possible here (but may occur in normalizer) + addsub.flags(fp_exc_nx_c) <= '0'; -- not possible here (but may occur in normalizer) + + -- exponent check: find smaller number (magnitude-only) -- + addsub.small_exp <= fpu_operands.rs1(30 downto 23) when (addsub.exp_comp(0) = '1') else fpu_operands.rs2(30 downto 23); + addsub.large_exp <= fpu_operands.rs2(30 downto 23) when (addsub.exp_comp(0) = '1') else fpu_operands.rs1(30 downto 23); + addsub.small_man <= ('1' & fpu_operands.rs1(22 downto 00)) when (addsub.exp_comp(0) = '1') else ('1' & fpu_operands.rs2(22 downto 00)); + addsub.large_man <= ('1' & fpu_operands.rs2(22 downto 00)) when (addsub.exp_comp(0) = '1') else ('1' & fpu_operands.rs1(22 downto 00)); + + -- mantissa check: find smaller number (magnitude-only) -- + addsub.man_s <= (addsub.man_sreg & addsub.man_g_ext & addsub.man_r_ext & addsub.man_s_ext) when (addsub.man_comp = '1') else (addsub.large_man & "000"); + addsub.man_l <= (addsub.large_man & "000") when (addsub.man_comp = '1') else (addsub.man_sreg & addsub.man_g_ext & addsub.man_r_ext & addsub.man_s_ext); + + -- latency -- + addsub.start <= fu_addsub.start; + addsub.done <= addsub.latency(addsub.latency'left); + fu_addsub.done <= addsub.done; + + -- mantissa result -- + addsub.res_sum <= addsub.add_stage(27 downto 0); + + + -- result class -- + adder_subtractor_class_core: process(rstn_i, clk_i) + variable a_pos_norm_v, a_neg_norm_v, b_pos_norm_v, b_neg_norm_v : std_ulogic; + variable a_pos_subn_v, a_neg_subn_v, b_pos_subn_v, b_neg_subn_v : std_ulogic; + variable a_pos_zero_v, a_neg_zero_v, b_pos_zero_v, b_neg_zero_v : std_ulogic; + variable a_pos_inf_v, a_neg_inf_v, b_pos_inf_v, b_neg_inf_v : std_ulogic; + variable a_snan_v, a_qnan_v, b_snan_v, b_qnan_v : std_ulogic; + begin + if (rstn_i = '0') then + addsub.res_class(fp_class_pos_inf_c) <= def_rst_val_c; + addsub.res_class(fp_class_neg_inf_c) <= def_rst_val_c; + addsub.res_class(fp_class_pos_zero_c) <= def_rst_val_c; + addsub.res_class(fp_class_neg_zero_c) <= def_rst_val_c; + addsub.res_class(fp_class_qnan_c) <= def_rst_val_c; + elsif rising_edge(clk_i) then + -- minions -- + a_pos_norm_v := fpu_operands.rs1_class(fp_class_pos_norm_c); b_pos_norm_v := fpu_operands.rs2_class(fp_class_pos_norm_c); + a_neg_norm_v := fpu_operands.rs1_class(fp_class_neg_norm_c); b_neg_norm_v := fpu_operands.rs2_class(fp_class_neg_norm_c); + a_pos_subn_v := fpu_operands.rs1_class(fp_class_pos_denorm_c); b_pos_subn_v := fpu_operands.rs2_class(fp_class_pos_denorm_c); + a_neg_subn_v := fpu_operands.rs1_class(fp_class_neg_denorm_c); b_neg_subn_v := fpu_operands.rs2_class(fp_class_neg_denorm_c); + a_pos_zero_v := fpu_operands.rs1_class(fp_class_pos_zero_c); b_pos_zero_v := fpu_operands.rs2_class(fp_class_pos_zero_c); + a_neg_zero_v := fpu_operands.rs1_class(fp_class_neg_zero_c); b_neg_zero_v := fpu_operands.rs2_class(fp_class_neg_zero_c); + a_pos_inf_v := fpu_operands.rs1_class(fp_class_pos_inf_c); b_pos_inf_v := fpu_operands.rs2_class(fp_class_pos_inf_c); + a_neg_inf_v := fpu_operands.rs1_class(fp_class_neg_inf_c); b_neg_inf_v := fpu_operands.rs2_class(fp_class_neg_inf_c); + a_snan_v := fpu_operands.rs1_class(fp_class_snan_c); b_snan_v := fpu_operands.rs2_class(fp_class_snan_c); + a_qnan_v := fpu_operands.rs1_class(fp_class_qnan_c); b_qnan_v := fpu_operands.rs2_class(fp_class_qnan_c); + + if (ctrl_i(ctrl_ir_funct12_7_c) = '0') then -- addition + -- +infinity -- + addsub.res_class(fp_class_pos_inf_c) <= + (a_pos_inf_v and b_pos_inf_v) or -- +inf + +inf + (a_pos_inf_v and b_pos_zero_v) or -- +inf + +zero + (a_pos_zero_v and b_pos_inf_v) or -- +zero + +inf + (a_pos_inf_v and b_neg_zero_v) or -- +inf + -zero + (a_neg_zero_v and b_pos_inf_v) or -- -zero + +inf + -- + (a_pos_inf_v and b_pos_norm_v) or -- +inf + +norm + (a_pos_norm_v and b_pos_inf_v) or -- +norm + +inf + (a_pos_inf_v and b_pos_subn_v) or -- +inf + +denorm + (a_pos_subn_v and b_pos_inf_v) or -- +denorm + +inf + -- + (a_pos_inf_v and b_neg_norm_v) or -- +inf + -norm + (a_neg_norm_v and b_pos_inf_v) or -- -norm + +inf + (a_pos_inf_v and b_neg_subn_v) or -- +inf + -denorm + (a_neg_subn_v and b_pos_inf_v); -- -denorm + +inf + -- -infinity -- + addsub.res_class(fp_class_neg_inf_c) <= + (a_neg_inf_v and b_neg_inf_v) or -- -inf + -inf + (a_neg_inf_v and b_pos_zero_v) or -- -inf + +zero + (a_pos_zero_v and b_neg_inf_v) or -- +zero + -inf + (a_neg_inf_v and b_neg_zero_v) or -- -inf + -zero + (a_neg_zero_v and b_neg_inf_v) or -- -zero + -inf + -- + (a_neg_inf_v and b_pos_norm_v) or -- -inf + +norm + (a_pos_norm_v and b_neg_inf_v) or -- +norm + -inf + (a_neg_inf_v and b_neg_norm_v) or -- -inf + -norm + (a_neg_norm_v and b_neg_inf_v) or -- -norm + -inf + -- + (a_neg_inf_v and b_pos_subn_v) or -- -inf + +denorm + (a_pos_subn_v and b_neg_inf_v) or -- +denorm + -inf + (a_neg_inf_v and b_neg_subn_v) or -- -inf + -denorm + (a_neg_subn_v and b_neg_inf_v); -- -denorm + -inf + + -- +zero -- + addsub.res_class(fp_class_pos_zero_c) <= + (a_pos_zero_v and b_pos_zero_v) or -- +zero + +zero + (a_pos_zero_v and b_neg_zero_v) or -- +zero + -zero + (a_neg_zero_v and b_pos_zero_v); -- -zero + +zero + -- -zero -- + addsub.res_class(fp_class_neg_zero_c) <= + (a_neg_zero_v and b_neg_zero_v); -- -zero + -zero + + -- qNaN -- + addsub.res_class(fp_class_qnan_c) <= + (a_snan_v or b_snan_v) or -- any input is sNaN + (a_qnan_v or b_qnan_v) or -- any input is qNaN + (a_pos_inf_v and b_neg_inf_v) or -- +inf + -inf + (a_neg_inf_v and b_pos_inf_v); -- -inf + +inf + + else -- subtraction + -- +infinity -- + addsub.res_class(fp_class_pos_inf_c) <= + (a_pos_inf_v and b_neg_inf_v) or -- +inf - -inf + (a_pos_inf_v and b_pos_zero_v) or -- +inf - +zero + (a_pos_inf_v and b_neg_zero_v) or -- +inf - -zero + (a_pos_inf_v and b_pos_norm_v) or -- +inf - +norm + (a_pos_inf_v and b_pos_subn_v) or -- +inf - +denorm + (a_pos_inf_v and b_neg_norm_v) or -- +inf - -norm + (a_pos_inf_v and b_neg_subn_v) or -- +inf - -denorm + -- + (a_pos_zero_v and b_neg_inf_v) or -- +zero - -inf + (a_neg_zero_v and b_neg_inf_v) or -- -zero - -inf + -- + (a_pos_norm_v and b_neg_inf_v) or -- +norm - -inf + (a_pos_subn_v and b_neg_inf_v) or -- +denorm - -inf + (a_neg_norm_v and b_neg_inf_v) or -- -norm - -inf + (a_neg_subn_v and b_neg_inf_v); -- -denorm - -inf + -- -infinity -- + addsub.res_class(fp_class_neg_inf_c) <= + (a_neg_inf_v and b_pos_inf_v) or -- -inf - +inf + (a_neg_inf_v and b_pos_zero_v) or -- -inf - +zero + (a_neg_inf_v and b_neg_zero_v) or -- -inf - -zero + (a_neg_inf_v and b_pos_norm_v) or -- -inf - +norm + (a_neg_inf_v and b_pos_subn_v) or -- -inf - +denorm + (a_neg_inf_v and b_neg_norm_v) or -- -inf - -norm + (a_neg_inf_v and b_neg_subn_v) or -- -inf - -denorm + -- + (a_pos_zero_v and b_pos_inf_v) or -- +zero - +inf + (a_neg_zero_v and b_pos_inf_v) or -- -zero - +inf + -- + (a_pos_norm_v and b_pos_inf_v) or -- +norm - +inf + (a_pos_subn_v and b_pos_inf_v) or -- +denorm - +inf + (a_neg_norm_v and b_pos_inf_v) or -- -norm - +inf + (a_neg_subn_v and b_pos_inf_v); -- -denorm - +inf + + -- +zero -- + addsub.res_class(fp_class_pos_zero_c) <= + (a_pos_zero_v and b_pos_zero_v) or -- +zero - +zero + (a_pos_zero_v and b_neg_zero_v) or -- +zero - -zero + (a_neg_zero_v and b_neg_zero_v); -- -zero - -zero + -- -zero -- + addsub.res_class(fp_class_neg_zero_c) <= + (a_neg_zero_v and b_pos_zero_v); -- -zero - +zero + + -- qNaN -- + addsub.res_class(fp_class_qnan_c) <= + (a_snan_v or b_snan_v) or -- any input is sNaN + (a_qnan_v or b_qnan_v) or -- any input is qNaN + (a_pos_inf_v and b_pos_inf_v) or -- +inf - +inf + (a_neg_inf_v and b_neg_inf_v); -- -inf - -inf + end if; + + -- normal -- + addsub.res_class(fp_class_pos_norm_c) <= (a_pos_norm_v or a_neg_norm_v) and (b_pos_norm_v or b_neg_norm_v); -- +/-norm +/- +-/norm [sign is irrelevant here] + addsub.res_class(fp_class_neg_norm_c) <= (a_pos_norm_v or a_neg_norm_v) and (b_pos_norm_v or b_neg_norm_v); -- +/-norm +/- +-/norm [sign is irrelevant here] + + -- sNaN -- + addsub.res_class(fp_class_snan_c) <= (a_snan_v or b_snan_v); -- any input is sNaN + end if; + end process adder_subtractor_class_core; + + -- subnormal result -- + addsub.res_class(fp_class_pos_denorm_c) <= '0'; -- is evaluated by the normalizer + addsub.res_class(fp_class_neg_denorm_c) <= '0'; -- is evaluated by the normalizer + + -- unused -- + fu_addsub.result <= (others => '0'); + fu_addsub.flags <= (others => '0'); + + +-- **************************************************************************************************************************** +-- FPU Core - Normalize & Round +-- **************************************************************************************************************************** + + -- Normalizer Input ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + normalizer_input_select: process(funct_ff, addsub, multiplier, fu_conv_i2f) + begin + case funct_ff is + when op_addsub_c => -- addition/subtraction + normalizer.mode <= '0'; -- normalization + normalizer.sign <= addsub.res_sign; + normalizer.xexp <= addsub.exp_cnt; + normalizer.xmantissa(47 downto 23) <= addsub.res_sum(27 downto 3); + normalizer.xmantissa(22) <= addsub.res_sum(2); + normalizer.xmantissa(21) <= addsub.res_sum(1); + normalizer.xmantissa(20 downto 01) <= (others => '0'); + normalizer.xmantissa(00) <= addsub.res_sum(0); + normalizer.class <= addsub.res_class; + normalizer.flags_in <= addsub.flags; + normalizer.start <= addsub.done; + when op_mul_c => -- multiplication + normalizer.mode <= '0'; -- normalization + normalizer.sign <= multiplier.sign; + normalizer.xexp <= '0' & multiplier.exp_res(7 downto 0); + normalizer.xmantissa <= multiplier.product; + normalizer.class <= multiplier.res_class; + normalizer.flags_in <= multiplier.flags; + normalizer.start <= multiplier.done; + when others => -- op_i2f_c + normalizer.mode <= '1'; -- int_to_float + normalizer.sign <= fu_conv_i2f.sign; + normalizer.xexp <= "001111111"; -- bias = 127 + normalizer.xmantissa <= (others => '0'); -- don't care + normalizer.class <= (others => '0'); -- don't care + normalizer.flags_in <= (others => '0'); -- no flags yet + normalizer.start <= fu_conv_i2f.done; + end case; + end process normalizer_input_select; + + + -- Normalizer & Rounding Unit ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_cp_fpu_normalizer_inst: neorv32_cpu_cp_fpu_normalizer + port map ( + -- control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + start_i => normalizer.start, -- trigger operation + rmode_i => fpu_operands.frm, -- rounding mode + funct_i => normalizer.mode, -- operation mode + -- input -- + sign_i => normalizer.sign, -- sign + exponent_i => normalizer.xexp, -- extended exponent + mantissa_i => normalizer.xmantissa, -- extended mantissa + integer_i => fu_conv_i2f.result, -- integer input + class_i => normalizer.class, -- input number class + flags_i => normalizer.flags_in, -- exception flags input + -- output -- + result_o => normalizer.result, -- result (float or int) + flags_o => normalizer.flags_out, -- exception flags + done_o => normalizer.done -- operation done + ); + + +-- **************************************************************************************************************************** +-- FPU Core - Result +-- **************************************************************************************************************************** + + -- Result Output to CPU Pipeline ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + output_gate: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + res_o <= (others => def_rst_val_c); + fflags_o <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (ctrl_engine.valid = '1') then + case funct_ff is + when op_class_c => + res_o <= fu_classify.result; + fflags_o <= fu_classify.flags; + when op_comp_c => + res_o <= fu_compare.result; + fflags_o <= fu_compare.flags; + when op_f2i_c => + res_o <= fu_conv_f2i.result; + fflags_o <= fu_conv_f2i.flags; + when op_sgnj_c => + res_o <= fu_sign_inject.result; + fflags_o <= fu_sign_inject.flags; + when op_minmax_c => + res_o <= fu_min_max.result; + fflags_o <= fu_min_max.flags; + when others => -- op_mul_c, op_addsub_c, op_i2f_c, ... + res_o <= normalizer.result; + fflags_o <= normalizer.flags_out; + end case; + else + res_o <= (others => '0'); + fflags_o <= (others => '0'); + end if; + end if; + end process output_gate; + + -- operation done -- + fu_core_done <= fu_compare.done or fu_classify.done or fu_sign_inject.done or fu_min_max.done or normalizer.done or fu_conv_f2i.done; + + +end neorv32_cpu_cp_fpu_rtl; + +-- ########################################################################################################################################### +-- ########################################################################################################################################### + +-- ################################################################################################# +-- # << NEORV32 - Single-Precision Floating-Point Unit: Normalizer and Rounding Unit >> # +-- # ********************************************************************************************* # +-- # This unit also performs integer-to-float conversions. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_fpu_normalizer is + port ( + -- control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + start_i : in std_ulogic; -- trigger operation + rmode_i : in std_ulogic_vector(02 downto 0); -- rounding mode + funct_i : in std_ulogic; -- operating mode (0=norm&round, 1=int-to-float) + -- input -- + sign_i : in std_ulogic; -- sign + exponent_i : in std_ulogic_vector(08 downto 0); -- extended exponent + mantissa_i : in std_ulogic_vector(47 downto 0); -- extended mantissa + integer_i : in std_ulogic_vector(31 downto 0); -- integer input + class_i : in std_ulogic_vector(09 downto 0); -- input number class + flags_i : in std_ulogic_vector(04 downto 0); -- exception flags input + -- output -- + result_o : out std_ulogic_vector(31 downto 0); -- float result + flags_o : out std_ulogic_vector(04 downto 0); -- exception flags output + done_o : out std_ulogic -- operation done + ); +end neorv32_cpu_cp_fpu_normalizer; + +architecture neorv32_cpu_cp_fpu_normalizer_rtl of neorv32_cpu_cp_fpu_normalizer is + + -- controller -- + type ctrl_engine_state_t is (S_IDLE, S_PREPARE_I2F, S_CHECK_I2F, S_PREPARE_NORM, S_PREPARE_SHIFT, S_NORMALIZE_BUSY, S_ROUND, S_CHECK, S_FINALIZE); + type ctrl_t is record + state : ctrl_engine_state_t; -- current state + norm_r : std_ulogic; -- normalization round 0 or 1 + cnt : std_ulogic_vector(08 downto 0); -- interation counter/exponent (incl. overflow) + cnt_pre : std_ulogic_vector(08 downto 0); + cnt_of : std_ulogic; -- counter overflow + cnt_uf : std_ulogic; -- counter underflow + rounded : std_ulogic; -- output is rounded + res_sgn : std_ulogic; + res_exp : std_ulogic_vector(07 downto 0); + res_man : std_ulogic_vector(22 downto 0); + class : std_ulogic_vector(09 downto 0); + flags : std_ulogic_vector(04 downto 0); + end record; + signal ctrl : ctrl_t; + + -- normalization shift register -- + type sreg_t is record + done : std_ulogic; + dir : std_ulogic; -- shift direction: 0=right, 1=left + zero : std_ulogic; + upper : std_ulogic_vector(31 downto 0); + lower : std_ulogic_vector(22 downto 0); + ext_g : std_ulogic; -- guard bit + ext_r : std_ulogic; -- round bit + ext_s : std_ulogic; -- sticky bit + end record; + signal sreg : sreg_t; + + -- rounding unit -- + type round_t is record + en : std_ulogic; -- enable rounding + sub : std_ulogic; -- 0=decrement, 1=increment + output : std_ulogic_vector(24 downto 0); -- mantissa size + hidden one + 1 + end record; + signal round : round_t; + +begin + + -- Control Engine ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ctrl_engine: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.state <= S_IDLE; + ctrl.norm_r <= def_rst_val_c; + ctrl.cnt <= (others => def_rst_val_c); + ctrl.cnt_pre <= (others => def_rst_val_c); + ctrl.cnt_of <= def_rst_val_c; + ctrl.cnt_uf <= def_rst_val_c; + ctrl.rounded <= def_rst_val_c; + ctrl.res_exp <= (others => def_rst_val_c); + ctrl.res_man <= (others => def_rst_val_c); + ctrl.res_sgn <= def_rst_val_c; + ctrl.class <= (others => def_rst_val_c); + ctrl.flags <= (others => def_rst_val_c); + -- + sreg.upper <= (others => def_rst_val_c); + sreg.lower <= (others => def_rst_val_c); + sreg.dir <= def_rst_val_c; + sreg.ext_g <= def_rst_val_c; + sreg.ext_r <= def_rst_val_c; + sreg.ext_s <= def_rst_val_c; + -- + done_o <= '0'; + elsif rising_edge(clk_i) then + -- defaults -- + ctrl.cnt_pre <= ctrl.cnt; + done_o <= '0'; + + -- exponent counter underflow/overflow -- + if ((ctrl.cnt_pre(8 downto 7) = "01") and (ctrl.cnt(8 downto 7) = "10")) then -- overflow + ctrl.cnt_of <= '1'; + elsif (ctrl.cnt_pre(8 downto 7) = "00") and (ctrl.cnt(8 downto 7) = "11") then -- underflow + ctrl.cnt_uf <= '1'; + end if; + + -- fsm -- + case ctrl.state is + + when S_IDLE => -- wait for operation trigger + -- ------------------------------------------------------------ + ctrl.norm_r <= '0'; -- start with first normalization + ctrl.rounded <= '0'; -- not rounded yet + ctrl.cnt_of <= '0'; + ctrl.cnt_uf <= '0'; + -- + if (start_i = '1') then + ctrl.cnt <= exponent_i; + ctrl.res_sgn <= sign_i; + ctrl.class <= class_i; + ctrl.flags <= flags_i; + if (funct_i = '0') then -- float -> float + ctrl.state <= S_PREPARE_NORM; + else -- integer -> float + ctrl.state <= S_PREPARE_I2F; + end if; + end if; + + when S_PREPARE_I2F => -- prepare integer-to-float conversion + -- ------------------------------------------------------------ + sreg.upper <= integer_i; + sreg.lower <= (others => '0'); + sreg.ext_g <= '0'; + sreg.ext_r <= '0'; + sreg.ext_s <= '0'; + sreg.dir <= '0'; -- shift right + ctrl.state <= S_CHECK_I2F; + + when S_CHECK_I2F => -- check if converting zero + -- ------------------------------------------------------------ + if (sreg.zero = '1') then -- all zero + ctrl.class(fp_class_pos_zero_c) <= '1'; + ctrl.state <= S_FINALIZE; + else + ctrl.state <= S_NORMALIZE_BUSY; + end if; + + when S_PREPARE_NORM => -- prepare "normal" normalization & rounding + -- ------------------------------------------------------------ + sreg.upper(31 downto 02) <= (others => '0'); + sreg.upper(01 downto 00) <= mantissa_i(47 downto 46); + sreg.lower <= mantissa_i(45 downto 23); + sreg.ext_g <= mantissa_i(22); + sreg.ext_r <= mantissa_i(21); + sreg.ext_s <= or_reduce_f(mantissa_i(20 downto 0)); + -- check for special cases -- + if ((ctrl.class(fp_class_snan_c) or ctrl.class(fp_class_qnan_c) or -- NaN + ctrl.class(fp_class_neg_zero_c) or ctrl.class(fp_class_pos_zero_c) or -- zero + ctrl.class(fp_class_neg_denorm_c) or ctrl.class(fp_class_pos_denorm_c) or -- subnormal + ctrl.class(fp_class_neg_inf_c) or ctrl.class(fp_class_pos_inf_c) or -- infinity + ctrl.flags(fp_exc_uf_c) or -- underflow + ctrl.flags(fp_exc_of_c) or -- overflow + ctrl.flags(fp_exc_nv_c)) = '1') then -- invalid + ctrl.state <= S_FINALIZE; + else + ctrl.state <= S_PREPARE_SHIFT; + end if; + + when S_PREPARE_SHIFT => -- prepare shift direction (for "normal" normalization only) + -- ------------------------------------------------------------ + if (sreg.zero = '0') then -- number < 1.0 + sreg.dir <= '0'; -- shift right + else -- number >= 1.0 + sreg.dir <= '1'; -- shift left + end if; + ctrl.state <= S_NORMALIZE_BUSY; + + when S_NORMALIZE_BUSY => -- running normalization cycle + -- ------------------------------------------------------------ + -- shift until normalized or exception -- + if (sreg.done = '1') or (ctrl.cnt_uf = '1') or (ctrl.cnt_of = '1') then + -- normalization control -- + ctrl.norm_r <= '1'; + if (ctrl.norm_r = '0') then -- first normalization cycle done + ctrl.state <= S_ROUND; + else -- second normalization cycle done + ctrl.state <= S_CHECK; + end if; + else + if (sreg.dir = '0') then -- shift right + ctrl.cnt <= std_ulogic_vector(unsigned(ctrl.cnt) + 1); + sreg.upper <= '0' & sreg.upper(sreg.upper'left downto 1); + sreg.lower <= sreg.upper(0) & sreg.lower(sreg.lower'left downto 1); + sreg.ext_g <= sreg.lower(0); + sreg.ext_r <= sreg.ext_g; + sreg.ext_s <= sreg.ext_r or sreg.ext_s; -- sticky bit + else -- shift left + ctrl.cnt <= std_ulogic_vector(unsigned(ctrl.cnt) - 1); + sreg.upper <= sreg.upper(sreg.upper'left-1 downto 0) & sreg.lower(sreg.lower'left); + sreg.lower <= sreg.lower(sreg.lower'left-1 downto 0) & sreg.ext_g; + sreg.ext_g <= sreg.ext_r; + sreg.ext_r <= sreg.ext_s; + sreg.ext_s <= sreg.ext_s; -- sticky bit + end if; + end if; + + when S_ROUND => -- rounding cycle (after first normalization) + -- ------------------------------------------------------------ + ctrl.rounded <= ctrl.rounded or round.en; + sreg.upper(31 downto 02) <= (others => '0'); + sreg.upper(01 downto 00) <= round.output(24 downto 23); + sreg.lower <= round.output(22 downto 00); + sreg.ext_g <= '0'; + sreg.ext_r <= '0'; + sreg.ext_s <= '0'; + ctrl.state <= S_PREPARE_SHIFT; + + when S_CHECK => -- check for overflow/underflow + -- ------------------------------------------------------------ + if (ctrl.cnt_uf = '1') then -- underflow + ctrl.flags(fp_exc_uf_c) <= '1'; + elsif (ctrl.cnt_of = '1') then -- overflow + ctrl.flags(fp_exc_of_c) <= '1'; + elsif (ctrl.cnt(7 downto 0) = x"00") then -- subnormal + ctrl.flags(fp_exc_uf_c) <= '1'; + elsif (ctrl.cnt(7 downto 0) = x"FF") then -- infinity + ctrl.flags(fp_exc_of_c) <= '1'; + end if; + ctrl.state <= S_FINALIZE; + + when S_FINALIZE => -- result finalization + -- ------------------------------------------------------------ + -- generate result word (the ORDER of checks is imporatant here!) -- + if (ctrl.class(fp_class_snan_c) = '1') or (ctrl.class(fp_class_qnan_c) = '1') then -- sNaN / qNaN + ctrl.res_sgn <= fp_single_qnan_c(31); + ctrl.res_exp <= fp_single_qnan_c(30 downto 23); + ctrl.res_man <= fp_single_qnan_c(22 downto 00); + elsif (ctrl.class(fp_class_neg_inf_c) = '1') or (ctrl.class(fp_class_pos_inf_c) = '1') or -- infinity + (ctrl.flags(fp_exc_of_c) = '1') then -- overflow + ctrl.res_exp <= fp_single_pos_inf_c(30 downto 23); -- keep original sign + ctrl.res_man <= fp_single_pos_inf_c(22 downto 00); + elsif (ctrl.class(fp_class_neg_zero_c) = '1') or (ctrl.class(fp_class_pos_zero_c) = '1') then -- zero + ctrl.res_sgn <= ctrl.class(fp_class_neg_zero_c); + ctrl.res_exp <= fp_single_pos_zero_c(30 downto 23); + ctrl.res_man <= fp_single_pos_zero_c(22 downto 00); + elsif (ctrl.flags(fp_exc_uf_c) = '1') or -- underflow + (sreg.zero = '1') or (ctrl.class(fp_class_neg_denorm_c) = '1') or (ctrl.class(fp_class_pos_denorm_c) = '1') then -- denormalized (flush-to-zero) + ctrl.res_exp <= fp_single_pos_zero_c(30 downto 23); -- keep original sign + ctrl.res_man <= fp_single_pos_zero_c(22 downto 00); + else -- result is ok + ctrl.res_exp <= ctrl.cnt(7 downto 0); + ctrl.res_man <= sreg.lower; + end if; + -- generate exception flags -- + ctrl.flags(fp_exc_nv_c) <= ctrl.flags(fp_exc_nv_c) or ctrl.class(fp_class_snan_c); -- invalid if input is SIGNALING NaN + ctrl.flags(fp_exc_nx_c) <= ctrl.flags(fp_exc_nx_c) or ctrl.rounded; -- inexcat if result is rounded + -- + done_o <= '1'; + ctrl.state <= S_IDLE; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl.state <= S_IDLE; + + end case; + end if; + end process ctrl_engine; + + -- stop shifting when normalized -- + sreg.done <= (not or_reduce_f(sreg.upper(sreg.upper'left downto 1))) and sreg.upper(0); -- input is zero, hidden one is set + + -- all-zero including hidden bit -- + sreg.zero <= not or_reduce_f(sreg.upper); + + -- result -- + result_o(31) <= ctrl.res_sgn; + result_o(30 downto 23) <= ctrl.res_exp; + result_o(22 downto 0) <= ctrl.res_man; + + -- exception flags -- + flags_o(fp_exc_nv_c) <= ctrl.flags(fp_exc_nv_c); -- invalid operation + flags_o(fp_exc_dz_c) <= ctrl.flags(fp_exc_dz_c); -- divide by zero + flags_o(fp_exc_of_c) <= ctrl.flags(fp_exc_of_c); -- overflow + flags_o(fp_exc_uf_c) <= ctrl.flags(fp_exc_uf_c); -- underflow + flags_o(fp_exc_nx_c) <= ctrl.flags(fp_exc_nx_c); -- inexact + + + -- Rounding ------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rounding_unit_ctrl: process(rmode_i, sreg) + begin + -- defaults -- + round.en <= '0'; + round.sub <= '0'; + -- rounding mode -- + case rmode_i(2 downto 0) is + when "000" => -- round to nearest, ties to even + if (sreg.ext_g = '0') then + round.en <= '0'; -- round down (do nothing) + else + if (sreg.ext_r = '0') and (sreg.ext_s = '0') then -- tie! + round.en <= sreg.lower(0); -- round up if LSB of mantissa is set + else + round.en <= '1'; -- round up + end if; + end if; + round.sub <= '0'; -- increment + when "001" => -- round towards zero + round.en <= '0'; -- no rounding -> just truncate + when "010" => -- round down (towards -infinity) + round.en <= sreg.ext_g or sreg.ext_r or sreg.ext_s; + round.sub <= '1'; -- decrement + when "011" => -- round up (towards +infinity) + round.en <= sreg.ext_g or sreg.ext_r or sreg.ext_s; + round.sub <= '0'; -- increment + when "100" => -- round to nearest, ties to max magnitude + round.en <= '0'; -- FIXME / TODO + when others => -- undefined + round.en <= '0'; + end case; + end process rounding_unit_ctrl; + + + -- incrementer/decrementer -- + rounding_unit_add: process(round, sreg) + variable tmp_v : std_ulogic_vector(24 downto 0); + begin + tmp_v := '0' & sreg.upper(0) & sreg.lower; + if (round.en = '1') then + if (round.sub = '0') then -- increment + round.output <= std_ulogic_vector(unsigned(tmp_v) + 1); + else -- decrement + round.output <= std_ulogic_vector(unsigned(tmp_v) - 1); + end if; + else -- do nothing + round.output <= tmp_v; + end if; + end process rounding_unit_add; + + +end neorv32_cpu_cp_fpu_normalizer_rtl; + +-- ########################################################################################################################################### +-- ########################################################################################################################################### + +-- ################################################################################################# +-- # << NEORV32 - Single-Precision Floating-Point Unit: Float-To-Int Converter >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_fpu_f2i is + port ( + -- control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + start_i : in std_ulogic; -- trigger operation + rmode_i : in std_ulogic_vector(02 downto 0); -- rounding mode + funct_i : in std_ulogic; -- 0=signed, 1=unsigned + -- input -- + sign_i : in std_ulogic; -- sign + exponent_i : in std_ulogic_vector(07 downto 0); -- exponent + mantissa_i : in std_ulogic_vector(22 downto 0); -- mantissa + class_i : in std_ulogic_vector(09 downto 0); -- operand class + -- output -- + result_o : out std_ulogic_vector(31 downto 0); -- integer result + flags_o : out std_ulogic_vector(04 downto 0); -- exception flags + done_o : out std_ulogic -- operation done + ); +end neorv32_cpu_cp_fpu_f2i; + +architecture neorv32_cpu_cp_fpu_f2i_rtl of neorv32_cpu_cp_fpu_f2i is + + -- controller -- + type ctrl_engine_state_t is (S_IDLE, S_PREPARE_F2I, S_NORMALIZE_BUSY, S_ROUND, S_FINALIZE); + type ctrl_t is record + state : ctrl_engine_state_t; -- current state + unsign : std_ulogic; + cnt : std_ulogic_vector(07 downto 0); -- interation counter/exponent + sign : std_ulogic; + class : std_ulogic_vector(09 downto 0); + rounded : std_ulogic; -- output is rounded + over : std_ulogic; -- output is overflowing + under : std_ulogic; -- output in underflowing + result_tmp : std_ulogic_vector(31 downto 0); + result : std_ulogic_vector(31 downto 0); + end record; + signal ctrl : ctrl_t; + + -- conversion shift register -- + type sreg_t is record + int : std_ulogic_vector(31 downto 0); -- including hidden-zero + mant : std_ulogic_vector(22 downto 0); + ext_g : std_ulogic; -- guard bit + ext_r : std_ulogic; -- round bit + ext_s : std_ulogic; -- sticky bit + end record; + signal sreg : sreg_t; + + -- rounding unit -- + type round_t is record + en : std_ulogic; -- enable rounding + sub : std_ulogic; -- 0=decrement, 1=increment + output : std_ulogic_vector(32 downto 0); -- result + overflow + end record; + signal round : round_t; + +begin + + -- Control Engine ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ctrl_engine: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.state <= S_IDLE; + ctrl.cnt <= (others => def_rst_val_c); + ctrl.sign <= def_rst_val_c; + ctrl.class <= (others => def_rst_val_c); + ctrl.rounded <= def_rst_val_c; + ctrl.over <= def_rst_val_c; + ctrl.under <= def_rst_val_c; + ctrl.unsign <= def_rst_val_c; + ctrl.result <= (others => def_rst_val_c); + ctrl.result_tmp <= (others => def_rst_val_c); + sreg.int <= (others => def_rst_val_c); + sreg.mant <= (others => def_rst_val_c); + sreg.ext_s <= def_rst_val_c; + done_o <= '0'; + elsif rising_edge(clk_i) then + -- defaults -- + done_o <= '0'; + + -- fsm -- + case ctrl.state is + + when S_IDLE => -- wait for operation trigger + -- ------------------------------------------------------------ + ctrl.rounded <= '0'; -- not rounded yet + ctrl.over <= '0'; -- not overflowing yet + ctrl.under <= '0'; -- not underflowing yet + ctrl.unsign <= funct_i; + sreg.ext_s <= '0'; -- init + if (start_i = '1') then + ctrl.cnt <= exponent_i; + ctrl.sign <= sign_i; + ctrl.class <= class_i; + sreg.mant <= mantissa_i; + ctrl.state <= S_PREPARE_F2I; + end if; + + when S_PREPARE_F2I => -- prepare float-to-integer conversion + -- ------------------------------------------------------------ + if (unsigned(ctrl.cnt) < 126) then -- less than 0.5 + sreg.int <= (others => '0'); + ctrl.under <= '1'; -- this is an underflow! + ctrl.cnt <= (others => '0'); + elsif (unsigned(ctrl.cnt) = 126) then -- num < 1.0 but num >= 0.5 + sreg.int <= (others => '0'); + sreg.mant <= '1' & sreg.mant(sreg.mant'left downto 1); + ctrl.cnt <= (others => '0'); + else + sreg.int <= (others => '0'); + sreg.int(0) <= '1'; -- hidden one + ctrl.cnt <= std_ulogic_vector(unsigned(ctrl.cnt) - 127); -- remove bias to get raw number of left shifts + end if; + -- check terminal cases -- + if ((ctrl.class(fp_class_neg_inf_c) or ctrl.class(fp_class_pos_inf_c) or + ctrl.class(fp_class_neg_zero_c) or ctrl.class(fp_class_pos_zero_c) or + ctrl.class(fp_class_snan_c) or ctrl.class(fp_class_qnan_c)) = '1') then + ctrl.state <= S_FINALIZE; + else + ctrl.state <= S_NORMALIZE_BUSY; + end if; + + when S_NORMALIZE_BUSY => -- running normalization cycle + -- ------------------------------------------------------------ + sreg.ext_s <= sreg.ext_s or or_reduce_f(sreg.mant(sreg.mant'left-2 downto 0)); -- sticky bit + if (or_reduce_f(ctrl.cnt(ctrl.cnt'left-1 downto 0)) = '0') then + if (ctrl.unsign = '0') then -- signed conversion + ctrl.over <= ctrl.over or sreg.int(sreg.int'left); -- update overrun flag again to check for numerical overflow into sign bit + end if; + ctrl.state <= S_ROUND; + else -- shift left + ctrl.cnt <= std_ulogic_vector(unsigned(ctrl.cnt) - 1); + sreg.int <= sreg.int(sreg.int'left-1 downto 0) & sreg.mant(sreg.mant'left); + sreg.mant <= sreg.mant(sreg.mant'left-1 downto 0) & '0'; + ctrl.over <= ctrl.over or sreg.int(sreg.int'left); + end if; + + when S_ROUND => -- rounding cycle + -- ------------------------------------------------------------ + ctrl.rounded <= ctrl.rounded or round.en; + ctrl.over <= ctrl.over or round.output(round.output'left); -- overflow after rounding + ctrl.result_tmp <= round.output(round.output'left-1 downto 0); + ctrl.state <= S_FINALIZE; + + when S_FINALIZE => -- check for corner cases and finalize result + -- ------------------------------------------------------------ + if (ctrl.unsign = '1') then -- unsigned conversion + if (ctrl.class(fp_class_snan_c) = '1') or (ctrl.class(fp_class_qnan_c) = '1') or (ctrl.class(fp_class_pos_inf_c) = '1') or -- NaN or +inf + ((ctrl.sign = '0') and (ctrl.over = '1')) then -- positive out-of-range + ctrl.result <= x"ffffffff"; + elsif (ctrl.class(fp_class_neg_zero_c) = '1') or (ctrl.class(fp_class_pos_zero_c) = '1') or (ctrl.class(fp_class_neg_inf_c) = '1') or -- subnormal zero or -inf + (ctrl.sign = '1') or (ctrl.under = '1') then -- negative out-of-range or underflow + ctrl.result <= x"00000000"; + else + ctrl.result <= ctrl.result_tmp; + end if; + + else -- signed conversion + if (ctrl.class(fp_class_snan_c) = '1') or (ctrl.class(fp_class_qnan_c) = '1') or (ctrl.class(fp_class_pos_inf_c) = '1') or -- NaN or +inf + ((ctrl.sign = '0') and (ctrl.over = '1')) then -- positive out-of-range + ctrl.result <= x"7fffffff"; + elsif (ctrl.class(fp_class_neg_zero_c) = '1') or (ctrl.class(fp_class_pos_zero_c) = '1') or (ctrl.under = '1') then -- subnormal zero or underflow + ctrl.result <= x"00000000"; + elsif (ctrl.class(fp_class_neg_inf_c) = '1') or ((ctrl.sign = '1') and (ctrl.over = '1')) then -- -inf or negative out-of-range + ctrl.result <= x"80000000"; + else -- result is ok, make sign adaption + if (ctrl.sign = '1') then + ctrl.result <= std_ulogic_vector(0 - unsigned(ctrl.result_tmp)); -- abs() + else + ctrl.result <= ctrl.result_tmp; + end if; + end if; + end if; + done_o <= '1'; + ctrl.state <= S_IDLE; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl.state <= S_IDLE; + + end case; + end if; + end process ctrl_engine; + + -- result -- + result_o <= ctrl.result; + + -- exception flags -- + flags_o(fp_exc_nv_c) <= ctrl.class(fp_class_snan_c) or ctrl.class(fp_class_qnan_c); -- invalid operation + flags_o(fp_exc_dz_c) <= '0'; -- divide by zero - not possible here + flags_o(fp_exc_of_c) <= ctrl.over or ctrl.class(fp_class_pos_inf_c) or ctrl.class(fp_class_neg_inf_c); -- overflow + flags_o(fp_exc_uf_c) <= ctrl.under; -- underflow + flags_o(fp_exc_nx_c) <= ctrl.rounded; -- inexact if result was rounded + + + -- Rounding ------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rounding_unit_ctrl: process(rmode_i, sreg) + begin + -- defaults -- + round.en <= '0'; + round.sub <= '0'; + -- rounding mode -- + case rmode_i(2 downto 0) is + when "000" => -- round to nearest, ties to even + if (sreg.ext_g = '0') then + round.en <= '0'; -- round down (do nothing) + else + if (sreg.ext_r = '0') and (sreg.ext_s = '0') then -- tie! + round.en <= sreg.int(0); -- round up if LSB of integer is set + else + round.en <= '1'; -- round up + end if; + end if; + round.sub <= '0'; -- increment + when "001" => -- round towards zero + round.en <= '0'; -- no rounding -> just truncate + when "010" => -- round down (towards -infinity) + round.en <= sreg.ext_g or sreg.ext_r or sreg.ext_s; + round.sub <= '1'; -- decrement + when "011" => -- round up (towards +infinity) + round.en <= sreg.ext_g or sreg.ext_r or sreg.ext_s; + round.sub <= '0'; -- increment + when "100" => -- round to nearest, ties to max magnitude + round.en <= '0'; -- FIXME / TODO + when others => -- undefined + round.en <= '0'; + end case; + end process rounding_unit_ctrl; + + -- rounding: guard and round bits -- + sreg.ext_g <= sreg.mant(sreg.mant'left); + sreg.ext_r <= sreg.mant(sreg.mant'left-1); + + + -- incrementer/decrementer -- + rounding_unit_add: process(round, sreg) + variable tmp_v : std_ulogic_vector(32 downto 0); -- including overflow + begin + tmp_v := '0' & sreg.int; + if (round.en = '1') then + if (round.sub = '0') then -- increment + round.output <= std_ulogic_vector(unsigned(tmp_v) + 1); + else -- decrement + round.output <= std_ulogic_vector(unsigned(tmp_v) - 1); + end if; + else -- do nothing + round.output <= tmp_v; + end if; + end process rounding_unit_add; + + +end neorv32_cpu_cp_fpu_f2i_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_muldiv.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_muldiv.vhd new file mode 100644 index 0000000..4a3904e --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_muldiv.vhd @@ -0,0 +1,343 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Co-Processor: Integer Multiplier/Divider Unit (RISC-V "M" Extension) >> # +-- # ********************************************************************************************* # +-- # Multiplier and Divider unit. Implements the RISC-V M CPU extension. # +-- # # +-- # Multiplier core (signed/unsigned) uses classical serial algorithm. Unit latency: 31+3 cycles # +-- # Divider core (unsigned) uses classical serial algorithm. Unit latency: 32+4 cycles # +-- # # +-- # Multiplications can be mapped to DSP blocks (faster!) when FAST_MUL_EN = true. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_muldiv is + generic ( + FAST_MUL_EN : boolean; -- use DSPs for faster multiplication + DIVISION_EN : boolean -- implement divider hardware + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); +end neorv32_cpu_cp_muldiv; + +architecture neorv32_cpu_cp_muldiv_rtl of neorv32_cpu_cp_muldiv is + + -- operations -- + constant cp_op_mul_c : std_ulogic_vector(2 downto 0) := "000"; -- mul + constant cp_op_mulh_c : std_ulogic_vector(2 downto 0) := "001"; -- mulh + constant cp_op_mulhsu_c : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu + constant cp_op_mulhu_c : std_ulogic_vector(2 downto 0) := "011"; -- mulhu + constant cp_op_div_c : std_ulogic_vector(2 downto 0) := "100"; -- div + constant cp_op_divu_c : std_ulogic_vector(2 downto 0) := "101"; -- divu + constant cp_op_rem_c : std_ulogic_vector(2 downto 0) := "110"; -- rem + constant cp_op_remu_c : std_ulogic_vector(2 downto 0) := "111"; -- remu + + -- controller -- + type state_t is (IDLE, DIV_PREPROCESS, PROCESSING, FINALIZE); + signal state : state_t; + signal cnt : std_ulogic_vector(4 downto 0); + signal cp_op : std_ulogic_vector(2 downto 0); -- operation to execute + signal cp_op_ff : std_ulogic_vector(2 downto 0); -- operation that was executed + signal start_div : std_ulogic; + signal start_mul : std_ulogic; + signal operation : std_ulogic; + signal div_opy : std_ulogic_vector(data_width_c-1 downto 0); + signal rs1_is_signed : std_ulogic; + signal rs2_is_signed : std_ulogic; + signal opy_is_zero : std_ulogic; + signal div_res_corr : std_ulogic; + signal out_en : std_ulogic; + + -- divider core -- + signal remainder : std_ulogic_vector(data_width_c-1 downto 0); + signal quotient : std_ulogic_vector(data_width_c-1 downto 0); + signal div_sub : std_ulogic_vector(data_width_c downto 0); + signal div_sign_comp_in : std_ulogic_vector(data_width_c-1 downto 0); + signal div_sign_comp : std_ulogic_vector(data_width_c-1 downto 0); + signal div_res : std_ulogic_vector(data_width_c-1 downto 0); + + -- multiplier core -- + signal mul_product : std_ulogic_vector(63 downto 0); + signal mul_do_add : std_ulogic_vector(data_width_c downto 0); + signal mul_sign_cycle : std_ulogic; + signal mul_p_sext : std_ulogic; + signal mul_op_x : signed(32 downto 0); -- for using DSPs + signal mul_op_y : signed(32 downto 0); -- for using DSPs + +begin + + -- Co-Processor Controller ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + coprocessor_ctrl: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + state <= IDLE; + div_opy <= (others => def_rst_val_c); + cnt <= (others => def_rst_val_c); + cp_op_ff <= (others => def_rst_val_c); + start_div <= '0'; + out_en <= '0'; + valid_o <= '0'; + div_res_corr <= def_rst_val_c; + opy_is_zero <= def_rst_val_c; + elsif rising_edge(clk_i) then + -- defaults -- + start_div <= '0'; + out_en <= '0'; + valid_o <= '0'; + + -- FSM -- + case state is + + when IDLE => + cp_op_ff <= cp_op; + cnt <= "11110"; + if (start_i = '1') then + if (operation = '1') and (DIVISION_EN = true) then -- division + start_div <= '1'; + state <= DIV_PREPROCESS; + else -- multiplication + if (FAST_MUL_EN = true) then + valid_o <= '1'; + state <= FINALIZE; + else + state <= PROCESSING; + end if; + end if; + end if; + + when DIV_PREPROCESS => + -- check relevant input signs -- + if (cp_op = cp_op_div_c) then -- result sign compensation for div? + div_res_corr <= rs1_i(rs1_i'left) xor rs2_i(rs2_i'left); + elsif (cp_op = cp_op_rem_c) then -- result sign compensation for rem? + div_res_corr <= rs1_i(rs1_i'left); + else + div_res_corr <= '0'; + end if; + -- divide by zero? -- + opy_is_zero <= not or_reduce_f(rs2_i); -- set if rs2 = 0 + -- abs(rs2) -- + if ((rs2_i(rs2_i'left) and rs2_is_signed) = '1') then -- signed division? + div_opy <= std_ulogic_vector(0 - unsigned(rs2_i)); -- make positive + else + div_opy <= rs2_i; + end if; + -- + state <= PROCESSING; + + when PROCESSING => + cnt <= std_ulogic_vector(unsigned(cnt) - 1); + if (cnt = "00000") then + valid_o <= '1'; + state <= FINALIZE; + end if; + + when FINALIZE => + out_en <= '1'; + state <= IDLE; + + when others => + state <= IDLE; + end case; + end if; + end process coprocessor_ctrl; + + -- co-processor command -- + cp_op <= ctrl_i(ctrl_ir_funct3_2_c downto ctrl_ir_funct3_0_c); + + -- operation: 0=mul, 1=div -- + operation <= '1' when (cp_op(2) = '1') else '0'; + + -- opx (rs1) signed? -- + rs1_is_signed <= '1' when (cp_op = cp_op_mulh_c) or (cp_op = cp_op_mulhsu_c) or (cp_op = cp_op_div_c) or (cp_op = cp_op_rem_c) else '0'; + + -- opy (rs2) signed? -- + rs2_is_signed <= '1' when (cp_op = cp_op_mulh_c) or (cp_op = cp_op_div_c) or (cp_op = cp_op_rem_c) else '0'; + + -- start MUL operation (do it fast!) -- + start_mul <= '1' when (state = IDLE) and (start_i = '1') and (operation = '0') else '0'; + + + -- Multiplier Core (signed/unsigned) ------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- iterative multiplication (bit-serial) -- + multiplier_core_serial: + if (FAST_MUL_EN = false) generate + multiplier_core: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + mul_product <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (start_mul = '1') then -- start new multiplication + mul_product(63 downto 32) <= (others => '0'); + mul_product(31 downto 00) <= rs2_i; + elsif (state = PROCESSING) or (state = FINALIZE) then -- processing step or sign-finalization step + mul_product(63 downto 31) <= mul_do_add(32 downto 0); + mul_product(30 downto 00) <= mul_product(31 downto 1); + end if; + end if; + end process multiplier_core; + end generate; + + -- parallel multiplication (using DSP blocks) -- + multiplier_core_dsp: + if (FAST_MUL_EN = true) generate + multiplier_core: process(clk_i) + variable tmp_v : signed(65 downto 0); + begin + if rising_edge(clk_i) then + if (start_mul = '1') then + mul_op_x <= signed((rs1_i(rs1_i'left) and rs1_is_signed) & rs1_i); + mul_op_y <= signed((rs2_i(rs2_i'left) and rs2_is_signed) & rs2_i); + end if; + tmp_v := mul_op_x * mul_op_y; + mul_product <= std_ulogic_vector(tmp_v(63 downto 0)); + --mul_buf_ff <= mul_op_x * mul_op_y; + --mul_product <= std_ulogic_vector(mul_buf_ff(63 downto 0)); -- let the register balancing do the magic here + end if; + end process multiplier_core; + end generate; + + -- do another addition (bit-serial) -- + mul_update: process(mul_product, mul_sign_cycle, mul_p_sext, rs1_is_signed, rs1_i) + begin + -- current bit of rs2_i to take care of -- + if (mul_product(0) = '1') then -- multiply with 1 + if (mul_sign_cycle = '1') then -- for signed operations only: take care of negative weighted MSB -> multiply with -1 + mul_do_add <= std_ulogic_vector(unsigned(mul_p_sext & mul_product(63 downto 32)) - unsigned((rs1_i(rs1_i'left) and rs1_is_signed) & rs1_i)); + else -- multiply with +1 + mul_do_add <= std_ulogic_vector(unsigned(mul_p_sext & mul_product(63 downto 32)) + unsigned((rs1_i(rs1_i'left) and rs1_is_signed) & rs1_i)); + end if; + else -- multiply with 0 + mul_do_add <= mul_p_sext & mul_product(63 downto 32); + end if; + end process mul_update; + + -- sign control -- + mul_sign_cycle <= rs2_is_signed when (state = FINALIZE) else '0'; + mul_p_sext <= mul_product(mul_product'left) and rs1_is_signed; + + + -- Divider Core (unsigned) ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + divider_core_serial: + if (DIVISION_EN = true) generate + divider_core: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + quotient <= (others => def_rst_val_c); + remainder <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + if (start_div = '1') then -- start new division + if ((rs1_i(rs1_i'left) and rs1_is_signed) = '1') then -- signed division? + quotient <= std_ulogic_vector(0 - unsigned(rs1_i)); -- make positive + else + quotient <= rs1_i; + end if; + remainder <= (others => '0'); + elsif (state = PROCESSING) or (state = FINALIZE) then -- running? + quotient <= quotient(30 downto 0) & (not div_sub(32)); + if (div_sub(32) = '0') then -- still overflowing + remainder <= div_sub(31 downto 0); + else -- underflow + remainder <= remainder(30 downto 0) & quotient(31); + end if; + end if; + end if; + end process divider_core; + + -- try another subtraction -- + div_sub <= std_ulogic_vector(unsigned('0' & remainder(30 downto 0) & quotient(31)) - unsigned('0' & div_opy)); + + -- result sign compensation -- + div_sign_comp_in <= quotient when (cp_op = cp_op_div_c) else remainder; + div_sign_comp <= std_ulogic_vector(0 - unsigned(div_sign_comp_in)); + div_res <= div_sign_comp when (div_res_corr = '1') and (opy_is_zero = '0') else div_sign_comp_in; + end generate; + + -- no divider -- + divider_core_serial_none: + if (DIVISION_EN = false) generate + remainder <= (others => '0'); + quotient <= (others => '0'); + div_res <= (others => '0'); + end generate; + + + -- Data Output ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + operation_result: process(out_en, cp_op_ff, mul_product, div_res, quotient, opy_is_zero, rs1_i, remainder) + begin + if (out_en = '1') then + case cp_op_ff is + when cp_op_mul_c => + res_o <= mul_product(31 downto 00); + when cp_op_mulh_c | cp_op_mulhsu_c | cp_op_mulhu_c => + res_o <= mul_product(63 downto 32); + when cp_op_div_c => + res_o <= div_res; + when cp_op_divu_c => + res_o <= quotient; + when cp_op_rem_c => + if (opy_is_zero = '0') then + res_o <= div_res; + else + res_o <= rs1_i; + end if; + when others => -- cp_op_remu_c + res_o <= remainder; + end case; + else + res_o <= (others => '0'); + end if; + end process operation_result; + + +end neorv32_cpu_cp_muldiv_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_shifter.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_shifter.vhd new file mode 100644 index 0000000..c1f0736 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_cp_shifter.vhd @@ -0,0 +1,181 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU Co-Processor: Shifter (CPU Core ISA) >> # +-- # ********************************************************************************************* # +-- # Bit-shift unit for base ISA. # +-- # FAST_SHIFT_EN = false (default): Use bit-serial shifter architecture (small but slow) # +-- # FAST_SHIFT_EN = true: Use barrel shifter architecture (large but fast) # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_cp_shifter is + generic ( + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + shamt_i : in std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); +end neorv32_cpu_cp_shifter; + +architecture neorv32_cpu_cp_shifter_rtl of neorv32_cpu_cp_shifter is + + -- serial shifter -- + type shifter_t is record + busy : std_ulogic; + busy_ff : std_ulogic; + done : std_ulogic; + cnt : std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); + sreg : std_ulogic_vector(data_width_c-1 downto 0); + res : std_ulogic_vector(data_width_c-1 downto 0); + end record; + signal shifter : shifter_t; + + -- barrel shifter -- + type bs_level_t is array (index_size_f(data_width_c) downto 0) of std_ulogic_vector(data_width_c-1 downto 0); + signal bs_level : bs_level_t; + signal bs_result : std_ulogic_vector(data_width_c-1 downto 0); + +begin + + -- Iterative Shifter Core (small but slow) ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + serial_shifter_sync: + if (FAST_SHIFT_EN = false) generate + shifter_unit_sync: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + shifter.busy <= '0'; + shifter.busy_ff <= def_rst_val_c; + shifter.sreg <= (others => def_rst_val_c); + shifter.cnt <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + shifter.busy_ff <= shifter.busy; + if (start_i = '1') then + shifter.busy <= '1'; + elsif (shifter.done = '1') then + shifter.busy <= '0'; + end if; + -- + if (start_i = '1') then -- trigger new shift + shifter.sreg <= rs1_i; -- shift operand + shifter.cnt <= shamt_i; -- shift amount + elsif (or_reduce_f(shifter.cnt) = '1') then -- running shift (cnt != 0) + shifter.cnt <= std_ulogic_vector(unsigned(shifter.cnt) - 1); + if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then -- SLL: shift left logical + shifter.sreg <= shifter.sreg(shifter.sreg'left-1 downto 0) & '0'; + else -- SRL: shift right logical / SRA: shift right arithmetical + shifter.sreg <= (shifter.sreg(shifter.sreg'left) and ctrl_i(ctrl_alu_shift_ar_c)) & shifter.sreg(shifter.sreg'left downto 1); + end if; + end if; + end if; + end process shifter_unit_sync; + end generate; + + -- shift control/output -- + serial_shifter_ctrl: + if (FAST_SHIFT_EN = false) generate + shifter.done <= not or_reduce_f(shifter.cnt(shifter.cnt'left downto 1)); + valid_o <= shifter.busy and shifter.done; + res_o <= shifter.sreg when (shifter.busy = '0') and (shifter.busy_ff = '1') else (others => '0'); + end generate; + + + -- Barrel Shifter Core (fast but large) --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + barrel_shifter_async: + if (FAST_SHIFT_EN = true) generate + shifter_unit_async: process(rs1_i, shamt_i, ctrl_i, bs_level) + begin + -- input level: convert left shifts to right shifts -- + if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then -- is left shift? + bs_level(index_size_f(data_width_c)) <= bit_rev_f(rs1_i); -- reverse bit order of input operand + else + bs_level(index_size_f(data_width_c)) <= rs1_i; + end if; + + -- shifter array -- + for i in index_size_f(data_width_c)-1 downto 0 loop + if (shamt_i(i) = '1') then + bs_level(i)(data_width_c-1 downto data_width_c-(2**i)) <= (others => (bs_level(i+1)(data_width_c-1) and ctrl_i(ctrl_alu_shift_ar_c))); + bs_level(i)((data_width_c-(2**i))-1 downto 0) <= bs_level(i+1)(data_width_c-1 downto 2**i); + else + bs_level(i) <= bs_level(i+1); + end if; + end loop; + + -- re-convert original left shifts -- + if (ctrl_i(ctrl_alu_shift_dir_c) = '0') then + bs_result <= bit_rev_f(bs_level(0)); + else + bs_result <= bs_level(0); + end if; + end process shifter_unit_async; + end generate; + + -- output register -- + barrel_shifter_sync: + if (FAST_SHIFT_EN = true) generate + shifter_unit_sync: process(clk_i) + begin + if rising_edge(clk_i) then + res_o <= (others => '0'); + if (start_i = '1') then + res_o <= bs_result; + end if; + end if; + end process shifter_unit_sync; + end generate; + + -- shift control/output -- + barrel_shifter_ctrl: + if (FAST_SHIFT_EN = true) generate + valid_o <= start_i; + end generate; + + +end neorv32_cpu_cp_shifter_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_decompressor.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_decompressor.vhd new file mode 100644 index 0000000..3684c86 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_decompressor.vhd @@ -0,0 +1,453 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU: Compressed Instructions Decoder (RISC-V "C" Extension) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_decompressor is + port ( + -- instruction input -- + ci_instr16_i : in std_ulogic_vector(15 downto 0); -- compressed instruction input + -- instruction output -- + ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction + ci_instr32_o : out std_ulogic_vector(31 downto 0) -- 32-bit decompressed instruction + ); +end neorv32_cpu_decompressor; + +architecture neorv32_cpu_decompressor_rtl of neorv32_cpu_decompressor is + + -- compressed instruction layout -- + constant ci_opcode_lsb_c : natural := 0; + constant ci_opcode_msb_c : natural := 1; + constant ci_rd_3_lsb_c : natural := 2; + constant ci_rd_3_msb_c : natural := 4; + constant ci_rd_5_lsb_c : natural := 7; + constant ci_rd_5_msb_c : natural := 11; + constant ci_rs1_3_lsb_c : natural := 7; + constant ci_rs1_3_msb_c : natural := 9; + constant ci_rs1_5_lsb_c : natural := 7; + constant ci_rs1_5_msb_c : natural := 11; + constant ci_rs2_3_lsb_c : natural := 2; + constant ci_rs2_3_msb_c : natural := 4; + constant ci_rs2_5_lsb_c : natural := 2; + constant ci_rs2_5_msb_c : natural := 6; + constant ci_funct3_lsb_c : natural := 13; + constant ci_funct3_msb_c : natural := 15; + +begin + + -- Compressed Instruction Decoder --------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + decompressor: process(ci_instr16_i) + variable imm20_v : std_ulogic_vector(20 downto 0); + variable imm12_v : std_ulogic_vector(12 downto 0); + begin + -- defaults -- + ci_illegal_o <= '0'; + ci_instr32_o <= (others => '0'); + + -- helper: 22-bit sign-extended immediate for J/JAL -- + imm20_v := (others => ci_instr16_i(12)); -- sign extension + imm20_v(00):= '0'; + imm20_v(01):= ci_instr16_i(3); + imm20_v(02):= ci_instr16_i(4); + imm20_v(03):= ci_instr16_i(5); + imm20_v(04):= ci_instr16_i(11); + imm20_v(05):= ci_instr16_i(2); + imm20_v(06):= ci_instr16_i(7); + imm20_v(07):= ci_instr16_i(6); + imm20_v(08):= ci_instr16_i(9); + imm20_v(09):= ci_instr16_i(10); + imm20_v(10):= ci_instr16_i(8); + imm20_v(11):= ci_instr16_i(12); + + -- helper: 12-bit sign-extended immediate for branches -- + imm12_v := (others => ci_instr16_i(12)); -- sign extension + imm12_v(00):= '0'; + imm12_v(01):= ci_instr16_i(3); + imm12_v(02):= ci_instr16_i(4); + imm12_v(03):= ci_instr16_i(10); + imm12_v(04):= ci_instr16_i(11); + imm12_v(05):= ci_instr16_i(2); + imm12_v(06):= ci_instr16_i(5); + imm12_v(07):= ci_instr16_i(6); + imm12_v(08):= ci_instr16_i(12); + + -- actual decoder -- + case ci_instr16_i(ci_opcode_msb_c downto ci_opcode_lsb_c) is + + when "00" => -- C0: Register-Based Loads and Stores + case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is + + when "000" => -- Illegal_instruction, C.ADDI4SPN + -- ---------------------------------------------------------------------------------------------------------- + -- C.ADDI4SPN + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00010"; -- stack pointer + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "01" & ci_instr16_i(ci_rd_3_msb_c downto ci_rd_3_lsb_c); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c; + ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c) <= (others => '0'); -- zero extend + ci_instr32_o(instr_imm12_lsb_c + 0) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 1) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(6); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(11); + ci_instr32_o(instr_imm12_lsb_c + 5) <= ci_instr16_i(12); + ci_instr32_o(instr_imm12_lsb_c + 6) <= ci_instr16_i(7); + ci_instr32_o(instr_imm12_lsb_c + 7) <= ci_instr16_i(8); + ci_instr32_o(instr_imm12_lsb_c + 8) <= ci_instr16_i(9); + ci_instr32_o(instr_imm12_lsb_c + 9) <= ci_instr16_i(10); + -- + ci_illegal_o <= not or_reduce_f(ci_instr16_i(12 downto 2)); -- 12:2 = "00000000000" is official illegal instruction + + when "010" | "011" => -- C.LW / C.FLW + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_load_c; + ci_instr32_o(21 downto 20) <= "00"; + ci_instr32_o(22) <= ci_instr16_i(6); + ci_instr32_o(23) <= ci_instr16_i(10); + ci_instr32_o(24) <= ci_instr16_i(11); + ci_instr32_o(25) <= ci_instr16_i(12); + ci_instr32_o(26) <= ci_instr16_i(5); + ci_instr32_o(31 downto 27) <= (others => '0'); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_lw_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); -- x8 - x15 + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "01" & ci_instr16_i(ci_rd_3_msb_c downto ci_rd_3_lsb_c); -- x8 - x15 + if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FLW + ci_illegal_o <= '1'; + end if; + + when "110" | "111" => -- C.SW / C.FSW + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_store_c; + ci_instr32_o(08 downto 07) <= "00"; + ci_instr32_o(09) <= ci_instr16_i(6); + ci_instr32_o(10) <= ci_instr16_i(10); + ci_instr32_o(11) <= ci_instr16_i(11); + ci_instr32_o(25) <= ci_instr16_i(12); + ci_instr32_o(26) <= ci_instr16_i(5); + ci_instr32_o(31 downto 27) <= (others => '0'); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sw_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); -- x8 - x15 + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= "01" & ci_instr16_i(ci_rs2_3_msb_c downto ci_rs2_3_lsb_c); -- x8 - x15 + if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FSW + ci_illegal_o <= '1'; + end if; + + when others => -- undefined + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o <= (others => '-'); + ci_illegal_o <= '1'; + + end case; + + when "01" => -- C1: Control Transfer Instructions, Integer Constant-Generation Instructions + + case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is + when "101" => -- C.J + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jal_c; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "00000"; -- discard return address + ci_instr32_o(19 downto 12) <= imm20_v(19 downto 12); + ci_instr32_o(20) <= imm20_v(11); + ci_instr32_o(30 downto 21) <= imm20_v(10 downto 01); + ci_instr32_o(31) <= imm20_v(20); + + when "001" => -- C.JAL + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jal_c; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "00001"; -- save return address to link register + ci_instr32_o(19 downto 12) <= imm20_v(19 downto 12); + ci_instr32_o(20) <= imm20_v(11); + ci_instr32_o(30 downto 21) <= imm20_v(10 downto 01); + ci_instr32_o(31) <= imm20_v(20); + + when "110" => -- C.BEQ + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_branch_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_beq_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= "00000"; -- x0 + ci_instr32_o(07) <= imm12_v(11); + ci_instr32_o(11 downto 08) <= imm12_v(04 downto 01); + ci_instr32_o(30 downto 25) <= imm12_v(10 downto 05); + ci_instr32_o(31) <= imm12_v(12); + + when "111" => -- C.BNEZ + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_branch_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_bne_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= "00000"; -- x0 + ci_instr32_o(07) <= imm12_v(11); + ci_instr32_o(11 downto 08) <= imm12_v(04 downto 01); + ci_instr32_o(30 downto 25) <= imm12_v(10 downto 05); + ci_instr32_o(31) <= imm12_v(12); + + when "010" => -- C.LI + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00000"; -- x0 + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c) <= (others => ci_instr16_i(12)); -- sign extend + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_instr32_o(instr_imm12_lsb_c + 5) <= ci_instr16_i(12); + + when "011" => -- C.LUI / C.ADDI16SP + -- ---------------------------------------------------------------------------------------------------------- + if (ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c) = "00010") then -- C.ADDI16SP + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00010"; -- stack pointer + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "00010"; -- stack pointer + ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c) <= (others => ci_instr16_i(12)); -- sign extend + ci_instr32_o(instr_imm12_lsb_c + 0) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 1) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 2) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 3) <= '0'; + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_instr32_o(instr_imm12_lsb_c + 5) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 6) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 7) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 8) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 9) <= ci_instr16_i(12); + + else -- C.LUI + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_lui_c; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_imm20_msb_c downto instr_imm20_lsb_c) <= (others => ci_instr16_i(12)); -- sign extend + ci_instr32_o(instr_imm20_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm20_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm20_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm20_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm20_lsb_c + 4) <= ci_instr16_i(6); + ci_instr32_o(instr_imm20_lsb_c + 5) <= ci_instr16_i(12); + end if; + if (ci_instr16_i(6 downto 2) = "00000") and (ci_instr16_i(12) = '0') then -- reserved + ci_illegal_o <= '1'; + end if; + + when "000" => -- C.NOP (rd=0) / C.ADDI + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c); + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c) <= (others => ci_instr16_i(12)); -- sign extend + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_instr32_o(instr_imm12_lsb_c + 5) <= ci_instr16_i(12); + + when "100" => -- C.SRLI, C.SRAI, C.ANDI, C.SUB, C.XOR, C.OR, C.AND, reserved + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "01" & ci_instr16_i(ci_rs1_3_msb_c downto ci_rs1_3_lsb_c); + if (ci_instr16_i(11 downto 10) = "11") then -- register-register operation + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c; + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= "01" & ci_instr16_i(ci_rs2_3_msb_c downto ci_rs2_3_lsb_c); + case ci_instr16_i(6 downto 5) is + when "00" => -- C.SUB + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_subadd_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0100000"; + when "01" => -- C.XOR + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_xor_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000"; + when "10" => -- C.OR + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_or_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000"; + when others => -- C.AND + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_and_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000"; + end case; + else -- register-immediate operation + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + case ci_instr16_i(11 downto 10) is + when "00" => -- C.SRLI + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sr_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000"; + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_illegal_o <= ci_instr16_i(12); + when "01" => -- C.SRAI + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sr_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0100000"; + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_illegal_o <= ci_instr16_i(12); + when "10" => -- C.ANDI + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_and_c; + ci_instr32_o(instr_imm12_msb_c downto instr_imm12_lsb_c) <= (others => ci_instr16_i(12)); -- sign extend + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_instr32_o(instr_imm12_lsb_c + 5) <= ci_instr16_i(12); + when others => -- register-register operation + NULL; + end case; + end if; + if (ci_instr16_i(12 downto 10) = "111") then -- reserved / undefined + ci_illegal_o <= '1'; + end if; + + when others => -- undefined + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o <= (others => '-'); + ci_illegal_o <= '1'; + + end case; + + when "10" => -- C2: Stack-Pointer-Based Loads and Stores, Control Transfer Instructions + case ci_instr16_i(ci_funct3_msb_c downto ci_funct3_lsb_c) is + + when "000" => -- C.SLLI + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alui_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c); + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sll_c; + ci_instr32_o(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000"; + ci_instr32_o(instr_imm12_lsb_c + 0) <= ci_instr16_i(2); + ci_instr32_o(instr_imm12_lsb_c + 1) <= ci_instr16_i(3); + ci_instr32_o(instr_imm12_lsb_c + 2) <= ci_instr16_i(4); + ci_instr32_o(instr_imm12_lsb_c + 3) <= ci_instr16_i(5); + ci_instr32_o(instr_imm12_lsb_c + 4) <= ci_instr16_i(6); + ci_illegal_o <= ci_instr16_i(12); + + when "010" | "011" => -- C.LWSP / C.FLWSP + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_load_c; + ci_instr32_o(21 downto 20) <= "00"; + ci_instr32_o(22) <= ci_instr16_i(4); + ci_instr32_o(23) <= ci_instr16_i(5); + ci_instr32_o(24) <= ci_instr16_i(6); + ci_instr32_o(25) <= ci_instr16_i(12); + ci_instr32_o(26) <= ci_instr16_i(2); + ci_instr32_o(27) <= ci_instr16_i(3); + ci_instr32_o(31 downto 28) <= (others => '0'); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_lw_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00010"; -- stack pointer + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FLWSP + ci_illegal_o <= '1'; + end if; + + when "110" | "111" => -- C.SWSP / C.FSWSP + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_store_c; + ci_instr32_o(08 downto 07) <= "00"; + ci_instr32_o(09) <= ci_instr16_i(9); + ci_instr32_o(10) <= ci_instr16_i(10); + ci_instr32_o(11) <= ci_instr16_i(11); + ci_instr32_o(25) <= ci_instr16_i(12); + ci_instr32_o(26) <= ci_instr16_i(7); + ci_instr32_o(27) <= ci_instr16_i(8); + ci_instr32_o(31 downto 28) <= (others => '0'); + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_sw_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00010"; -- stack pointer + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c); + if (ci_instr16_i(ci_funct3_lsb_c) = '1') then -- C.FSWSP + ci_illegal_o <= '1'; + end if; + + when "100" => -- C.JR, C.JALR, C.MV, C.EBREAK, C.ADD + -- ---------------------------------------------------------------------------------------------------------- + if (ci_instr16_i(12) = '0') then -- C.JR, C.MV + if (ci_instr16_i(6 downto 2) = "00000") then -- C.JR + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jalr_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c); + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "00000"; -- discard return address + else -- C.MV + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= "000"; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= "00000"; -- x0 + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c); + end if; + else -- C.EBREAK, C.JALR, C.ADD + if (ci_instr16_i(6 downto 2) = "00000") then -- C.EBREAK, C.JALR + if (ci_instr16_i(11 downto 7) = "00000") then -- C.EBREAK + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_syscsr_c; + ci_instr32_o(instr_funct12_msb_c downto instr_funct12_lsb_c) <= "000000000001"; + else -- C.JALR + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_jalr_c; + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= ci_instr16_i(ci_rs1_5_msb_c downto ci_rs1_5_lsb_c); + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= "00001"; -- save return address to link register + end if; + else -- C.ADD + ci_instr32_o(instr_opcode_msb_c downto instr_opcode_lsb_c) <= opcode_alu_c; + ci_instr32_o(instr_funct3_msb_c downto instr_funct3_lsb_c) <= "000"; + ci_instr32_o(instr_rd_msb_c downto instr_rd_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_rs1_msb_c downto instr_rs1_lsb_c) <= ci_instr16_i(ci_rd_5_msb_c downto ci_rd_5_lsb_c); + ci_instr32_o(instr_rs2_msb_c downto instr_rs2_lsb_c) <= ci_instr16_i(ci_rs2_5_msb_c downto ci_rs2_5_lsb_c); + end if; + end if; + + when others => -- undefined + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o <= (others => '-'); + ci_illegal_o <= '1'; + + end case; + + when others => -- not a compressed instruction + -- ---------------------------------------------------------------------------------------------------------- + ci_instr32_o <= (others => '-'); + ci_illegal_o <= '0'; + + end case; + end process decompressor; + + +end neorv32_cpu_decompressor_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_regfile.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_regfile.vhd new file mode 100644 index 0000000..c205033 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_cpu_regfile.vhd @@ -0,0 +1,135 @@ +-- ################################################################################################# +-- # << NEORV32 - CPU General Purpose Data Register File >> # +-- # ********************************************************************************************* # +-- # General purpose data register file. 32 entries (= 1024 bit) for normal mode (RV32I), # +-- # 16 entries (= 512 bit) for embedded mode (RV32E) when RISC-V "E" extension is enabled. # +-- # # +-- # Register zero (r0/x0) is a "normal" physical register that has to be initialized to zero by # +-- # the early boot code. Register zero is always set to zero when written. # +-- # # +-- # The register file uses synchronous read accesses and a *single* (multiplexed) address port # +-- # for writing and reading rd/rs1 and a single read-only port for rs2. Therefore, the whole # +-- # register file can be mapped to a single true-dual-port block RAM. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_cpu_regfile is + generic ( + CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension? + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- data input -- + mem_i : in std_ulogic_vector(data_width_c-1 downto 0); -- memory read data + alu_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU result + -- data output -- + rs1_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1 + rs2_o : out std_ulogic_vector(data_width_c-1 downto 0) -- operand 2 + ); +end neorv32_cpu_regfile; + +architecture neorv32_cpu_regfile_rtl of neorv32_cpu_regfile is + + -- register file -- + type reg_file_t is array (31 downto 0) of std_ulogic_vector(data_width_c-1 downto 0); + type reg_file_emb_t is array (15 downto 0) of std_ulogic_vector(data_width_c-1 downto 0); + signal reg_file : reg_file_t; + signal reg_file_emb : reg_file_emb_t; + signal rf_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- actual write-back data + signal rd_is_r0 : std_ulogic; -- writing to r0? + signal dst_addr : std_ulogic_vector(4 downto 0); -- destination address + signal opa_addr : std_ulogic_vector(4 downto 0); -- rs1/dst address + signal opb_addr : std_ulogic_vector(4 downto 0); -- rs2 address + signal rs1, rs2 : std_ulogic_vector(data_width_c-1 downto 0); -- read data + +begin + + -- Data Input Mux ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + input_mux: process(rd_is_r0, ctrl_i, alu_i, mem_i) + begin + if (rd_is_r0 = '1') then -- write zero if accessing x0 to "emulate" it is hardwired to zero + rf_wdata <= (others => '0'); + else + if (ctrl_i(ctrl_rf_in_mux_c) = '0') then + rf_wdata <= alu_i; + else + rf_wdata <= mem_i; + end if; + end if; + end process input_mux; + + -- check if we are writing to x0 -- + rd_is_r0 <= (not or_reduce_f(dst_addr(4 downto 0))) when (CPU_EXTENSION_RISCV_E = false) else (not or_reduce_f(dst_addr(3 downto 0))); + + + -- Register File Access ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rf_access: process(clk_i) + begin + if rising_edge(clk_i) then -- sync read and write + if (CPU_EXTENSION_RISCV_E = false) then -- normal register file with 32 entries + if (ctrl_i(ctrl_rf_wb_en_c) = '1') then + reg_file(to_integer(unsigned(opa_addr(4 downto 0)))) <= rf_wdata; + end if; + rs1 <= reg_file(to_integer(unsigned(opa_addr(4 downto 0)))); + rs2 <= reg_file(to_integer(unsigned(opb_addr(4 downto 0)))); + else -- embedded register file with 16 entries + if (ctrl_i(ctrl_rf_wb_en_c) = '1') then + reg_file_emb(to_integer(unsigned(opa_addr(3 downto 0)))) <= rf_wdata; + end if; + rs1 <= reg_file_emb(to_integer(unsigned(opa_addr(3 downto 0)))); + rs2 <= reg_file_emb(to_integer(unsigned(opb_addr(3 downto 0)))); + end if; + end if; + end process rf_access; + + -- access addresses -- + dst_addr <= ctrl_i(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c); + opa_addr <= dst_addr when (ctrl_i(ctrl_rf_wb_en_c) = '1') else ctrl_i(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c); -- rd/rs1 + opb_addr <= ctrl_i(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c); -- rs2 + + -- data output -- + rs1_o <= rs1; + rs2_o <= rs2; + + +end neorv32_cpu_regfile_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dm.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dm.vhd new file mode 100644 index 0000000..9ebbb9f --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dm.vhd @@ -0,0 +1,728 @@ +-- ################################################################################################# +-- # << NEORV32 - RISC-V-Compatible Debug Module (DM) >> # +-- # ********************************************************************************************* # +-- # Compatible to the "Minimal RISC-V External Debug Spec. Version 0.13.2" # +-- # -> "Execution-based" debugging scheme # +-- # ********************************************************************************************* # +-- # Key features: # +-- # * register access commands only # +-- # * auto-execution commands # +-- # * for a single hart only # +-- # * 2 general purpose program buffer entries # +-- # * 1 general purpose data buffer entry # +-- # # +-- # CPU access: # +-- # * ROM for "park loop" code # +-- # * program buffer # +-- # * data buffer # +-- # * control and status register # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_debug_dm is + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- debug module interface (DMI) -- + dmi_rstn_i : in std_ulogic; + dmi_req_valid_i : in std_ulogic; + dmi_req_ready_o : out std_ulogic; -- DMI is allowed to make new requests when set + dmi_req_addr_i : in std_ulogic_vector(06 downto 0); + dmi_req_op_i : in std_ulogic; -- 0=read, 1=write + dmi_req_data_i : in std_ulogic_vector(31 downto 0); + dmi_resp_valid_o : out std_ulogic; -- response valid when set + dmi_resp_ready_i : in std_ulogic; -- ready to receive respond + dmi_resp_data_o : out std_ulogic_vector(31 downto 0); + dmi_resp_err_o : out std_ulogic; -- 0=ok, 1=error + -- CPU bus access -- + cpu_addr_i : in std_ulogic_vector(31 downto 0); -- address + cpu_rden_i : in std_ulogic; -- read enable + cpu_wren_i : in std_ulogic; -- write enable + cpu_data_i : in std_ulogic_vector(31 downto 0); -- data in + cpu_data_o : out std_ulogic_vector(31 downto 0); -- data out + cpu_ack_o : out std_ulogic; -- transfer acknowledge + -- CPU control -- + cpu_ndmrstn_o : out std_ulogic; -- soc reset + cpu_halt_req_o : out std_ulogic -- request hart to halt (enter debug mode) + ); +end neorv32_debug_dm; + +architecture neorv32_debug_dm_rtl of neorv32_debug_dm is + + -- DM configuration -- + constant nscratch_c : std_ulogic_vector(03 downto 0) := "0001"; -- number of dscratch* registers in CPU = 1 + constant dataaccess_c : std_ulogic := '1'; -- 1: abstract data is memory-mapped, 0: abstract data is CSR-mapped + constant datasize_c : std_ulogic_vector(03 downto 0) := "0001"; -- number of data registers in memory/CSR space = 1 + constant dataaddr_c : std_ulogic_vector(11 downto 0) := dm_data_base_c(11 downto 0); -- signed base address of data registers in memory/CSR space + + -- available DMI registers -- + constant addr_data0_c : std_ulogic_vector(6 downto 0) := "000" & x"4"; + constant addr_dmcontrol_c : std_ulogic_vector(6 downto 0) := "001" & x"0"; + constant addr_dmstatus_c : std_ulogic_vector(6 downto 0) := "001" & x"1"; + constant addr_hartinfo_c : std_ulogic_vector(6 downto 0) := "001" & x"2"; + constant addr_abstractcs_c : std_ulogic_vector(6 downto 0) := "001" & x"6"; + constant addr_command_c : std_ulogic_vector(6 downto 0) := "001" & x"7"; + constant addr_abstractauto_c : std_ulogic_vector(6 downto 0) := "001" & x"8"; + constant addr_nextdm_c : std_ulogic_vector(6 downto 0) := "001" & x"d"; + constant addr_progbuf0_c : std_ulogic_vector(6 downto 0) := "010" & x"0"; + constant addr_progbuf1_c : std_ulogic_vector(6 downto 0) := "010" & x"1"; + constant addr_sbcs_c : std_ulogic_vector(6 downto 0) := "011" & x"8"; + constant addr_haltsum0_c : std_ulogic_vector(6 downto 0) := "100" & x"0"; + + -- RISC-V 32-bit instruction prototypes -- + constant instr_nop_c : std_ulogic_vector(31 downto 0) := x"00000013"; -- nop + constant instr_lw_c : std_ulogic_vector(31 downto 0) := x"00002003"; -- lw zero, 0(zero) + constant instr_sw_c : std_ulogic_vector(31 downto 0) := x"00002023"; -- sw zero, 0(zero) + constant instr_ebreak_c : std_ulogic_vector(31 downto 0) := x"00100073"; -- ebreak + + -- debug module controller -- + type dm_ctrl_state_t is (CMD_IDLE, CMD_EXE_CHECK, CMD_EXE_PREPARE, CMD_EXE_TRIGGER, CMD_EXE_BUSY, CMD_EXE_ERROR); + type dm_ctrl_t is record + -- fsm -- + state : dm_ctrl_state_t; + busy : std_ulogic; + ldsw_progbuf : std_ulogic_vector(31 downto 0); + pbuf_en : std_ulogic; + -- error flags -- + illegal_state : std_ulogic; + illegal_cmd : std_ulogic; + cmderr : std_ulogic_vector(02 downto 0); + -- hart status -- + hart_halted : std_ulogic; + hart_resume_req : std_ulogic; + hart_resume_ack : std_ulogic; + hart_reset : std_ulogic; + end record; + signal dm_ctrl : dm_ctrl_t; + + -- debug module DMI registers / access -- + type progbuf_t is array (0 to 1) of std_ulogic_vector(31 downto 0); + type dm_reg_t is record + dmcontrol_ndmreset : std_ulogic; + dmcontrol_dmactive : std_ulogic; + abstractauto_autoexecdata : std_ulogic; + abstractauto_autoexecprogbuf : std_ulogic_vector(01 downto 0); + progbuf : progbuf_t; + command : std_ulogic_vector(31 downto 0); + -- + halt_req : std_ulogic; + resume_req : std_ulogic; + reset_ack : std_ulogic; + wr_acc_err : std_ulogic; + rd_acc_err : std_ulogic; + clr_acc_err : std_ulogic; + autoexec_wr : std_ulogic; + autoexec_rd : std_ulogic; + end record; + signal dm_reg : dm_reg_t; + + -- cpu program buffer -- + type cpu_progbuf_t is array (0 to 4) of std_ulogic_vector(31 downto 0); + signal cpu_progbuf : cpu_progbuf_t; + + -- ********************************************************** + -- CPU Bus Interface + -- ********************************************************** + + -- Debug Core Interface + type dci_t is record + halt_ack : std_ulogic; -- CPU (re-)entered HALT state (single-shot) + resume_req : std_ulogic; -- DM wants the CPU to resume when set + resume_ack : std_ulogic; -- CPU starts resuming when set (single-shot) + execute_req : std_ulogic; -- DM wants CPU to execute program buffer when set + execute_ack : std_ulogic; -- CPU starts executing program buffer when set (single-shot) + exception_ack : std_ulogic; -- CPU has detected an exception (single-shot) + progbuf : std_ulogic_vector(255 downto 0); -- program buffer, 4 32-bit entries + data_we : std_ulogic; -- write abstract data + wdata : std_ulogic_vector(31 downto 0); -- abstract write data + rdata : std_ulogic_vector(31 downto 0); -- abstract read data + end record; + signal dci : dci_t; + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(dm_size_c); -- low address boundary bit + + -- status and control register - bits -- + constant sreg_halt_ack_c : natural := 0; -- -/w: CPU is halted in debug mode and waits in park loop + constant sreg_resume_req_c : natural := 1; -- r/-: DM requests CPU to resume + constant sreg_resume_ack_c : natural := 2; -- -/w: CPU starts resuming + constant sreg_execute_req_c : natural := 3; -- r/-: DM requests to execute program buffer + constant sreg_execute_ack_c : natural := 4; -- -/w: CPU starts to execute program buffer + constant sreg_exception_ack_c : natural := 5; -- -/w: CPU has detected an exception + + -- code ROM containing "park loop" -- + type code_rom_file_t is array (0 to 31) of std_ulogic_vector(31 downto 0); + constant code_rom_file : code_rom_file_t := ( + 00000000 => x"0180006f", + 00000001 => x"7b241073", + 00000002 => x"02000413", + 00000003 => x"98802023", + 00000004 => x"7b202473", + 00000005 => x"00100073", + 00000006 => x"7b241073", + 00000007 => x"00100413", + 00000008 => x"98802023", + 00000009 => x"98002403", + 00000010 => x"00847413", + 00000011 => x"02041263", + 00000012 => x"98002403", + 00000013 => x"00247413", + 00000014 => x"00041463", + 00000015 => x"fe9ff06f", + 00000016 => x"00400413", + 00000017 => x"98802023", + 00000018 => x"7b202473", + 00000019 => x"7b200073", + 00000020 => x"01000413", + 00000021 => x"98802023", + 00000022 => x"7b202473", + 00000023 => x"0000100f", + 00000024 => x"88000067", + others => x"00100073" -- ebreak + ); + + -- global access control -- + signal acc_en : std_ulogic; + signal rden : std_ulogic; + signal wren : std_ulogic; + signal maddr : std_ulogic_vector(01 downto 0); + + -- data buffer -- + signal data_buf : std_ulogic_vector(31 downto 0); + + -- program buffer access -- + type prog_buf_t is array (0 to 3) of std_ulogic_vector(31 downto 0); + signal prog_buf : prog_buf_t; + +begin + + -- Debug Module Command Controller -------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + dm_controller: process(clk_i) + begin + if rising_edge(clk_i) then + if (dm_reg.dmcontrol_dmactive = '0') or (dmi_rstn_i = '0') then -- DM reset / DM disabled + dm_ctrl.state <= CMD_IDLE; + dm_ctrl.ldsw_progbuf <= (others => '-'); + dci.execute_req <= '0'; + dm_ctrl.pbuf_en <= '-'; + -- + dm_ctrl.illegal_cmd <= '-'; + dm_ctrl.illegal_state <= '-'; + dm_ctrl.cmderr <= "000"; + -- + dm_ctrl.hart_reset <= '0'; + dm_ctrl.hart_halted <= '0'; + dm_ctrl.hart_resume_req <= '0'; + dm_ctrl.hart_resume_ack <= '0'; + else -- DM active + + -- defaults -- + dci.execute_req <= '0'; + dm_ctrl.illegal_cmd <= '0'; + dm_ctrl.illegal_state <= '0'; + + -- command execution fsm -- + case dm_ctrl.state is + + when CMD_IDLE => -- wait for new abstract command + -- ------------------------------------------------------------ + if (dmi_req_valid_i = '1') and (dmi_req_op_i = '1') then -- valid DM write access + if (dmi_req_addr_i = addr_command_c) then + if (dm_ctrl.cmderr = "000") then -- only execute if no error + dm_ctrl.state <= CMD_EXE_CHECK; + end if; + end if; + elsif (dm_reg.autoexec_rd = '1') or (dm_reg.autoexec_wr = '1') then -- auto execution trigger + dm_ctrl.state <= CMD_EXE_CHECK; + end if; + + when CMD_EXE_CHECK => -- check if command is valid / supported + -- ------------------------------------------------------------ + if (dm_reg.command(31 downto 24) = x"00") and -- cmdtype: register access + (dm_reg.command(23) = '0') and -- reserved + (dm_reg.command(22 downto 20) = "010") and -- aarsize: has to be 32-bit + (dm_reg.command(19) = '0') and -- aarpostincrement: not supported + ((dm_reg.command(17) = '0') or (dm_reg.command(15 downto 05) = "00010000000")) then -- regno: only GPRs are supported: 0x1000..0x101f if transfer is set + if (dm_ctrl.hart_halted = '1') then -- CPU is halted + dm_ctrl.state <= CMD_EXE_PREPARE; + else -- error! CPU is still running + dm_ctrl.illegal_state <= '1'; + dm_ctrl.state <= CMD_EXE_ERROR; + end if; + else -- invalid command + dm_ctrl.illegal_cmd <= '1'; + dm_ctrl.state <= CMD_EXE_ERROR; + end if; + + when CMD_EXE_PREPARE => -- setup program buffer + -- ------------------------------------------------------------ + if (dm_reg.command(17) = '1') then -- "transfer" + if (dm_reg.command(16) = '0') then -- "write" = 0 -> read from GPR + dm_ctrl.ldsw_progbuf <= instr_sw_c; + dm_ctrl.ldsw_progbuf(31 downto 25) <= dataaddr_c(11 downto 05); -- destination address + dm_ctrl.ldsw_progbuf(24 downto 20) <= dm_reg.command(4 downto 0); -- "regno" = source register + dm_ctrl.ldsw_progbuf(11 downto 07) <= dataaddr_c(04 downto 00); -- destination address + else -- "write" = 0 -> write to GPR + dm_ctrl.ldsw_progbuf <= instr_lw_c; + dm_ctrl.ldsw_progbuf(31 downto 20) <= dataaddr_c; -- source address + dm_ctrl.ldsw_progbuf(11 downto 07) <= dm_reg.command(4 downto 0); -- "regno" = destination register + end if; + else + dm_ctrl.ldsw_progbuf <= instr_nop_c; -- NOP - do nothing + end if; + -- + if (dm_reg.command(18) = '1') then -- "postexec" - execute program buffer + dm_ctrl.pbuf_en <= '1'; + else -- execute all program buffer entries as NOPs + dm_ctrl.pbuf_en <= '0'; + end if; + -- + dm_ctrl.state <= CMD_EXE_TRIGGER; + + when CMD_EXE_TRIGGER => -- request CPU to execute command + -- ------------------------------------------------------------ + dci.execute_req <= '1'; -- request execution + if (dci.execute_ack = '1') then -- CPU starts execution + dm_ctrl.state <= CMD_EXE_BUSY; + end if; + + when CMD_EXE_BUSY => -- wait for CPU to finish + -- ------------------------------------------------------------ + if (dci.halt_ack = '1') then -- CPU is parked (halted) again -> execution done + dm_ctrl.state <= CMD_IDLE; + end if; + + when CMD_EXE_ERROR => -- delay cycle for error to arrive abstracts.cmderr + -- ------------------------------------------------------------ + dm_ctrl.state <= CMD_IDLE; + + when others => -- undefined + -- ------------------------------------------------------------ + dm_ctrl.state <= CMD_IDLE; + + end case; + + + -- error flags -- + -- ------------------------------------------------------------ + if (dm_ctrl.cmderr = "000") then -- set new error + if (dm_ctrl.illegal_state = '1') then -- cannot execute since hart is not in expected state + dm_ctrl.cmderr <= "100"; + elsif (dci.exception_ack = '1') then -- exception during execution + dm_ctrl.cmderr <= "011"; + elsif (dm_ctrl.illegal_cmd = '1') then -- unsupported command + dm_ctrl.cmderr <= "010"; + elsif (dm_reg.rd_acc_err = '1') or (dm_reg.wr_acc_err = '1') then -- invalid read/write while command is executing + dm_ctrl.cmderr <= "001"; + end if; + elsif (dm_reg.clr_acc_err = '1') then -- acknowledge/clear error flags + dm_ctrl.cmderr <= "000"; + end if; + + + -- hart status -- + -- ------------------------------------------------------------ + + -- HALTED -- + if (dm_reg.dmcontrol_ndmreset = '1') then + dm_ctrl.hart_halted <= '0'; + elsif (dci.halt_ack = '1') then + dm_ctrl.hart_halted <= '1'; + elsif (dci.resume_ack = '1') then + dm_ctrl.hart_halted <= '0'; + end if; + + -- RESUME REQ -- + if (dm_reg.dmcontrol_ndmreset = '1') then + dm_ctrl.hart_resume_req <= '0'; + elsif (dm_reg.resume_req = '1') then + dm_ctrl.hart_resume_req <= '1'; + elsif (dci.resume_ack = '1') then + dm_ctrl.hart_resume_req <= '0'; + end if; + + -- RESUME ACK -- + if (dm_reg.dmcontrol_ndmreset = '1') then + dm_ctrl.hart_resume_ack <= '0'; + elsif (dci.resume_ack = '1') then + dm_ctrl.hart_resume_ack <= '1'; + elsif (dm_reg.resume_req = '1') then + dm_ctrl.hart_resume_ack <= '0'; + end if; + + -- hart has been RESET -- + if (dm_reg.dmcontrol_ndmreset = '1') then + dm_ctrl.hart_reset <= '1'; + elsif (dm_reg.reset_ack = '1') then + dm_ctrl.hart_reset <= '0'; + end if; + + end if; + end if; + end process dm_controller; + + -- controller busy flag -- + dm_ctrl.busy <= '0' when (dm_ctrl.state = CMD_IDLE) else '1'; + + + -- Debug Module Interface - Write Access -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + dmi_write_access: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + dm_reg.dmcontrol_ndmreset <= '0'; + dm_reg.dmcontrol_dmactive <= '0'; -- DM is in reset state after hardware reset + -- + dm_reg.abstractauto_autoexecdata <= '0'; + dm_reg.abstractauto_autoexecprogbuf <= "00"; + -- + dm_reg.command <= (others => '0'); + dm_reg.progbuf <= (others => instr_nop_c); + -- + dm_reg.halt_req <= '0'; + dm_reg.resume_req <= '0'; + dm_reg.reset_ack <= '0'; + dm_reg.wr_acc_err <= '0'; + dm_reg.clr_acc_err <= '0'; + dm_reg.autoexec_wr <= '0'; + elsif rising_edge(clk_i) then + + -- default -- + dm_reg.resume_req <= '0'; + dm_reg.reset_ack <= '0'; + dm_reg.wr_acc_err <= '0'; + dm_reg.clr_acc_err <= '0'; + dm_reg.autoexec_wr <= '0'; + + -- DMI access -- + if (dmi_req_valid_i = '1') and (dmi_req_op_i = '1') then -- valid DMI write request + + -- debug module control -- + if (dmi_req_addr_i = addr_dmcontrol_c) then + dm_reg.halt_req <= dmi_req_data_i(31); -- haltreq (-/w): write 1 to request halt; has to be cleared again by debugger + dm_reg.resume_req <= dmi_req_data_i(30); -- resumereq (-/w1): write 1 to request resume + dm_reg.reset_ack <= dmi_req_data_i(28); -- ackhavereset (-/w1) + dm_reg.dmcontrol_ndmreset <= dmi_req_data_i(01); -- ndmreset (r/w): soc reset + dm_reg.dmcontrol_dmactive <= dmi_req_data_i(00); -- dmactive (r/w): DM reset + end if; + + -- write abstract command -- + if (dmi_req_addr_i = addr_command_c) then + if (dm_ctrl.busy = '0') and (dm_ctrl.cmderr = "000") then -- idle and no errors yet + dm_reg.command <= dmi_req_data_i; + end if; + end if; + + -- write abstract command autoexec -- + if (dmi_req_addr_i = addr_abstractauto_c) then + if (dm_ctrl.busy = '0') then -- idle and no errors yet + dm_reg.abstractauto_autoexecdata <= dmi_req_data_i(00); + dm_reg.abstractauto_autoexecprogbuf(0) <= dmi_req_data_i(16); + dm_reg.abstractauto_autoexecprogbuf(1) <= dmi_req_data_i(17); + end if; + end if; + + -- auto execution trigger -- + if ((dmi_req_addr_i = addr_data0_c) and (dm_reg.abstractauto_autoexecdata = '1')) or + ((dmi_req_addr_i = addr_progbuf0_c) and (dm_reg.abstractauto_autoexecprogbuf(0) = '1')) or + ((dmi_req_addr_i = addr_progbuf1_c) and (dm_reg.abstractauto_autoexecprogbuf(1) = '1')) then + dm_reg.autoexec_wr <= '1'; + end if; + + -- acknowledge command error -- + if (dmi_req_addr_i = addr_abstractcs_c) then + if (dmi_req_data_i(10 downto 8) = "111") then + dm_reg.clr_acc_err <= '1'; + end if; + end if; + + -- write program buffer -- + if (dmi_req_addr_i(dmi_req_addr_i'left downto 1) = addr_progbuf0_c(dmi_req_addr_i'left downto 1)) then + if (dm_ctrl.busy = '0') then -- idle + if (dmi_req_addr_i(0) = addr_progbuf0_c(0)) then + dm_reg.progbuf(0) <= dmi_req_data_i; + else + dm_reg.progbuf(1) <= dmi_req_data_i; + end if; + end if; + end if; + + -- invalid access (while command is executing) -- + if (dm_ctrl.busy = '1') then -- busy + if (dmi_req_addr_i = addr_abstractcs_c) or + (dmi_req_addr_i = addr_command_c) or + (dmi_req_addr_i = addr_abstractauto_c) or + (dmi_req_addr_i = addr_data0_c) or + (dmi_req_addr_i = addr_progbuf0_c) or + (dmi_req_addr_i = addr_progbuf1_c) then + dm_reg.wr_acc_err <= '1'; + end if; + end if; + + end if; + end if; + end process dmi_write_access; + + + -- Direct Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- write to abstract data register -- + dci.data_we <= '1' when (dmi_req_valid_i = '1') and (dmi_req_op_i = '1') and (dmi_req_addr_i = addr_data0_c) and (dm_ctrl.busy = '0') else '0'; + dci.wdata <= dmi_req_data_i; + + -- CPU halt/resume request -- + cpu_halt_req_o <= dm_reg.halt_req and dm_reg.dmcontrol_dmactive; -- single shot + dci.resume_req <= dm_ctrl.hart_resume_req; -- permanent + + -- SoC reset -- + cpu_ndmrstn_o <= not (dm_reg.dmcontrol_ndmreset and dm_reg.dmcontrol_dmactive); + + -- build program buffer array for cpu access -- + cpu_progbuf(0) <= dm_ctrl.ldsw_progbuf; -- pseudo program buffer for GPR access + cpu_progbuf(1) <= instr_nop_c when (dm_ctrl.pbuf_en = '0') else dm_reg.progbuf(0); + cpu_progbuf(2) <= instr_nop_c when (dm_ctrl.pbuf_en = '0') else dm_reg.progbuf(1); + cpu_progbuf(3) <= instr_ebreak_c; -- implicit ebreak instruction + + -- DMI status -- + dmi_resp_err_o <= '0'; -- what can go wrong? + dmi_req_ready_o <= '1'; -- always ready for new read/write accesses + + + -- Debug Module Interface - Read Access --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + dmi_read_access: process(clk_i) + begin + if rising_edge(clk_i) then + dmi_resp_valid_o <= dmi_req_valid_i; -- DMI transfer ack + dmi_resp_data_o <= (others => '0'); -- default + dm_reg.rd_acc_err <= '0'; + dm_reg.autoexec_rd <= '0'; + + case dmi_req_addr_i is + + -- debug module status register -- + when addr_dmstatus_c => + dmi_resp_data_o(31 downto 23) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(22) <= '1'; -- impebreak (r/-): there is an implicit ebreak instruction after the visible program buffer + dmi_resp_data_o(21 downto 20) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(19) <= dm_ctrl.hart_reset; -- allhavereset (r/-): there is only one hart that can be reset + dmi_resp_data_o(18) <= dm_ctrl.hart_reset; -- anyhavereset (r/-): there is only one hart that can be reset + dmi_resp_data_o(17) <= dm_ctrl.hart_resume_ack; -- allresumeack (r/-): there is only one hart that can acknowledge resume request + dmi_resp_data_o(16) <= dm_ctrl.hart_resume_ack; -- anyresumeack (r/-): there is only one hart that can acknowledge resume request + dmi_resp_data_o(15) <= '0'; -- allnonexistent (r/-): there is only one hart that is always existent + dmi_resp_data_o(14) <= '0'; -- anynonexistent (r/-): there is only one hart that is always existent + dmi_resp_data_o(13) <= dm_reg.dmcontrol_ndmreset; -- allunavail (r/-): there is only one hart that is unavailable during reset + dmi_resp_data_o(12) <= dm_reg.dmcontrol_ndmreset; -- anyunavail (r/-): there is only one hart that is unavailable during reset + dmi_resp_data_o(11) <= not dm_ctrl.hart_halted; -- allrunning (r/-): there is only one hart that can be RUNNING or HALTED + dmi_resp_data_o(10) <= not dm_ctrl.hart_halted; -- anyrunning (r/-): there is only one hart that can be RUNNING or HALTED + dmi_resp_data_o(09) <= dm_ctrl.hart_halted; -- allhalted (r/-): there is only one hart that can be RUNNING or HALTED + dmi_resp_data_o(08) <= dm_ctrl.hart_halted; -- anyhalted (r/-): there is only one hart that can be RUNNING or HALTED + dmi_resp_data_o(07) <= '1'; -- authenticated (r/-): authentication passed since there is no authentication + dmi_resp_data_o(06) <= '0'; -- authbusy (r/-): always ready since there is no authentication + dmi_resp_data_o(05) <= '0'; -- hasresethaltreq (r/-): halt-on-reset not implemented + dmi_resp_data_o(04) <= '0'; -- confstrptrvalid (r/-): no configuration string available + dmi_resp_data_o(03 downto 00) <= "0010"; -- version (r/-): compatible to version 0.13 + + -- debug module control -- + when addr_dmcontrol_c => + dmi_resp_data_o(31) <= '0'; -- haltreq (-/w): write-only + dmi_resp_data_o(30) <= '0'; -- resumereq (-/w1): write-only + dmi_resp_data_o(29) <= '0'; -- hartreset (r/w): not supported + dmi_resp_data_o(28) <= '0'; -- ackhavereset (-/w1): write-only + dmi_resp_data_o(27) <= '0'; -- reserved (r/-) + dmi_resp_data_o(26) <= '0'; -- hasel (r/-) - there is a single currently selected hart + dmi_resp_data_o(25 downto 16) <= (others => '0'); -- hartsello (r/-) - there is only one hart + dmi_resp_data_o(15 downto 06) <= (others => '0'); -- hartselhi (r/-) - there is only one hart + dmi_resp_data_o(05 downto 04) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(03) <= '0'; -- setresethaltreq (-/w1): halt-on-reset request - halt-on-reset not implemented + dmi_resp_data_o(02) <= '0'; -- clrresethaltreq (-/w1): halt-on-reset ack - halt-on-reset not implemented + dmi_resp_data_o(01) <= dm_reg.dmcontrol_ndmreset; -- ndmreset (r/w): soc reset + dmi_resp_data_o(00) <= dm_reg.dmcontrol_dmactive; -- dmactive (r/w): DM reset + + -- hart info -- + when addr_hartinfo_c => + dmi_resp_data_o(31 downto 24) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(23 downto 20) <= nscratch_c; -- nscratch (r/-): number of dscratch CSRs + dmi_resp_data_o(19 downto 17) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(16) <= dataaccess_c; -- dataaccess (r/-): 1: data registers are memory-mapped, 0: data reisters are CSR-mapped + dmi_resp_data_o(15 downto 12) <= datasize_c; -- datasize (r/-): number data registers in memory/CSR space + dmi_resp_data_o(11 downto 00) <= dataaddr_c; -- dataaddr (r/-): data registers base address (memory/CSR) + + -- abstract control and status -- + when addr_abstractcs_c => + dmi_resp_data_o(31 downto 24) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(28 downto 24) <= "00010"; -- progbufsize (r/-): number of words in program buffer = 2 + dmi_resp_data_o(12) <= dm_ctrl.busy; -- busy (r/-): abstract command in progress (1) / idle (0) + dmi_resp_data_o(11) <= '0'; -- reserved (r/-) + dmi_resp_data_o(10 downto 08) <= dm_ctrl.cmderr; -- cmderr (r/w1c): any error during execution? + dmi_resp_data_o(07 downto 04) <= (others => '0'); -- reserved (r/-) + dmi_resp_data_o(03 downto 00) <= "0001"; -- datacount (r/-): number of implemented data registers = 1 + +-- -- abstract command (-/w) -- +-- when addr_command_c => +-- dmi_resp_data_o <= (others => '0'); -- register is write-only + + -- abstract command autoexec (r/w) -- + when addr_abstractauto_c => + dmi_resp_data_o(00) <= dm_reg.abstractauto_autoexecdata; -- autoexecdata(0): read/write access to data0 triggers execution of program buffer + dmi_resp_data_o(16) <= dm_reg.abstractauto_autoexecprogbuf(0); -- autoexecprogbuf(0): read/write access to progbuf0 triggers execution of program buffer + dmi_resp_data_o(17) <= dm_reg.abstractauto_autoexecprogbuf(1); -- autoexecprogbuf(1): read/write access to progbuf1 triggers execution of program buffer + +-- -- next debug module (r/-) -- +-- when addr_nextdm_c => +-- dmi_resp_data_o <= (others => '0'); -- this is the only DM + + -- abstract data 0 (r/w) -- + when addr_data0_c => + dmi_resp_data_o <= dci.rdata; + + -- program buffer (r/w) -- + when addr_progbuf0_c => + dmi_resp_data_o <= dm_reg.progbuf(0); -- program buffer 0 + when addr_progbuf1_c => + dmi_resp_data_o <= dm_reg.progbuf(1); -- program buffer 1 + +-- -- system bus access control and status (r/-) -- +-- when addr_sbcs_c => +-- dmi_resp_data_o <= (others => '0'); -- bus access not implemented + + -- halt summary 0 (r/-) -- + when addr_haltsum0_c => + dmi_resp_data_o(0) <= dm_ctrl.hart_halted; -- hart is halted + + -- not implemented (r/-) -- + when others => + dmi_resp_data_o <= (others => '0'); + end case; + + -- invalid read access (while command is executing) + -- ------------------------------------------------------------ + if (dmi_req_valid_i = '1') and (dmi_req_op_i = '0') then -- valid DMI read request + if (dm_ctrl.busy = '1') then -- busy + if (dmi_req_addr_i = addr_data0_c) or + (dmi_req_addr_i = addr_progbuf0_c) or + (dmi_req_addr_i = addr_progbuf1_c) then + dm_reg.rd_acc_err <= '1'; + end if; + end if; + end if; + + -- auto execution trigger -- + -- ------------------------------------------------------------ + if (dmi_req_valid_i = '1') and (dmi_req_op_i = '0') then -- valid DMI read request + if ((dmi_req_addr_i = addr_data0_c) and (dm_reg.abstractauto_autoexecdata = '1')) or + ((dmi_req_addr_i = addr_progbuf0_c) and (dm_reg.abstractauto_autoexecprogbuf(0) = '1')) or + ((dmi_req_addr_i = addr_progbuf1_c) and (dm_reg.abstractauto_autoexecprogbuf(1) = '1')) then + dm_reg.autoexec_rd <= '1'; + end if; + end if; + + end if; + end process dmi_read_access; + + + -- ************************************************************************************************************************** + -- CPU Bus Interface + -- ************************************************************************************************************************** + + -- Access Control ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (cpu_addr_i(hi_abb_c downto lo_abb_c) = dm_base_c(hi_abb_c downto lo_abb_c)) else '0'; + maddr <= cpu_addr_i(lo_abb_c-1 downto lo_abb_c-2); -- (sub-)module select address + rden <= acc_en and cpu_rden_i; + wren <= acc_en and cpu_wren_i; + + + -- Write Access --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + write_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- Data buffer -- + if (dci.data_we = '1') then -- DM write access + data_buf <= dci.wdata; + elsif (acc_en = '1') and (maddr = "10") and (wren = '1') then -- BUS write access + data_buf <= cpu_data_i; + end if; + -- Control and Status Register -- + dci.halt_ack <= '0'; -- all writable flags auto-clear + dci.resume_ack <= '0'; + dci.execute_ack <= '0'; + dci.exception_ack <= '0'; + if (acc_en = '1') and (maddr = "11") and (wren = '1') then + dci.halt_ack <= cpu_data_i(sreg_halt_ack_c); + dci.resume_ack <= cpu_data_i(sreg_resume_ack_c); + dci.execute_ack <= cpu_data_i(sreg_execute_ack_c); + dci.exception_ack <= cpu_data_i(sreg_exception_ack_c); + end if; + end if; + end process write_access; + + -- DM data buffer read access -- + dci.rdata <= data_buf; + + + -- Read Access ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + read_access: process(clk_i) + begin + if rising_edge(clk_i) then + cpu_ack_o <= rden or wren; + cpu_data_o <= (others => '0'); + if (rden = '1') then -- output gate + case maddr is -- module select + when "00" => -- code ROM + cpu_data_o <= code_rom_file(to_integer(unsigned(cpu_addr_i(6 downto 2)))); + when "01" => -- program buffer + cpu_data_o <= cpu_progbuf(to_integer(unsigned(cpu_addr_i(3 downto 2)))); + when "10" => -- data buffer + cpu_data_o <= data_buf; + when others => -- status/control register + cpu_data_o(sreg_resume_req_c) <= dci.resume_req; + cpu_data_o(sreg_execute_req_c) <= dci.execute_req; + end case; + end if; + end if; + end process read_access; + + +end neorv32_debug_dm_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dtm.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dtm.vhd new file mode 100644 index 0000000..fe86d43 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_debug_dtm.vhd @@ -0,0 +1,353 @@ +-- ################################################################################################# +-- # << NEORV32 - RISC-V Debug Transport Module (DTM) >> # +-- # ********************************************************************************************* # +-- # Provides a JTAG-compatible TAP to access the DMI register interface. # +-- # Compatible to the RISC-V debug specification. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # https://github.com/stnolting/riscv-debug-dtm (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; + +entity neorv32_debug_dtm is + generic ( + IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version + IDCODE_PARTID : std_ulogic_vector(15 downto 0); -- part number + IDCODE_MANID : std_ulogic_vector(10 downto 0) -- manufacturer id + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- jtag connection -- + jtag_trst_i : in std_ulogic; + jtag_tck_i : in std_ulogic; + jtag_tdi_i : in std_ulogic; + jtag_tdo_o : out std_ulogic; + jtag_tms_i : in std_ulogic; + -- debug module interface (DMI) -- + dmi_rstn_o : out std_ulogic; + dmi_req_valid_o : out std_ulogic; + dmi_req_ready_i : in std_ulogic; -- DMI is allowed to make new requests when set + dmi_req_addr_o : out std_ulogic_vector(06 downto 0); + dmi_req_op_o : out std_ulogic; -- 0=read, 1=write + dmi_req_data_o : out std_ulogic_vector(31 downto 0); + dmi_resp_valid_i : in std_ulogic; -- response valid when set + dmi_resp_ready_o : out std_ulogic; -- ready to receive respond + dmi_resp_data_i : in std_ulogic_vector(31 downto 0); + dmi_resp_err_i : in std_ulogic -- 0=ok, 1=error + ); +end neorv32_debug_dtm; + +architecture neorv32_debug_dtm_rtl of neorv32_debug_dtm is + + -- DMI Configuration (fixed!) -- + constant dmi_idle_c : std_ulogic_vector(02 downto 0) := "000"; -- no idle cycles required + constant dmi_version_c : std_ulogic_vector(03 downto 0) := "0001"; -- version (0.13) + constant dmi_abits_c : std_ulogic_vector(05 downto 0) := "000111"; -- number of DMI address bits (7) + + -- tap JTAG signal synchronizer -- + type tap_sync_t is record + -- internal -- + trst_ff : std_ulogic_vector(2 downto 0); + tck_ff : std_ulogic_vector(2 downto 0); + tdi_ff : std_ulogic_vector(2 downto 0); + tms_ff : std_ulogic_vector(2 downto 0); + -- external -- + trst : std_ulogic; + tck_rising : std_ulogic; + tck_falling : std_ulogic; + tdi : std_ulogic; + tdo : std_ulogic; + tms : std_ulogic; + end record; + signal tap_sync : tap_sync_t; + + -- tap controller - fsm -- + type tap_ctrl_state_t is (LOGIC_RESET, DR_SCAN, DR_CAPTURE, DR_SHIFT, DR_EXIT1, DR_PAUSE, DR_EXIT2, DR_UPDATE, + RUN_IDLE, IR_SCAN, IR_CAPTURE, IR_SHIFT, IR_EXIT1, IR_PAUSE, IR_EXIT2, IR_UPDATE); + type tap_ctrl_t is record + state : tap_ctrl_state_t; + state_prev : tap_ctrl_state_t; + end record; + signal tap_ctrl : tap_ctrl_t; + + -- tap registers -- + type tap_reg_t is record + ireg : std_ulogic_vector(04 downto 0); + bypass : std_ulogic; + idcode : std_ulogic_vector(31 downto 0); + dtmcs, dtmcs_nxt : std_ulogic_vector(31 downto 0); + dmi, dmi_nxt : std_ulogic_vector((7+32+2)-1 downto 0); -- 7-bit address + 32-bit data + 2-bit operation + end record; + signal tap_reg : tap_reg_t; + + -- debug module interface -- + type dmi_ctrl_state_t is (DMI_IDLE, DMI_READ_WAIT, DMI_READ, DMI_READ_BUSY, + DMI_WRITE_WAIT, DMI_WRITE, DMI_WRITE_BUSY); + type dmi_ctrl_t is record + state : dmi_ctrl_state_t; + -- + dmihardreset : std_ulogic; + dmireset : std_ulogic; + -- + err : std_ulogic; -- sticky error + rdata : std_ulogic_vector(31 downto 0); + wdata : std_ulogic_vector(31 downto 0); + addr : std_ulogic_vector(06 downto 0); + end record; + signal dmi_ctrl : dmi_ctrl_t; + +begin + + -- JTAG Signal Synchronizer --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + tap_synchronizer: process(rstn_i, clk_i) + begin + if rising_edge(clk_i) then + tap_sync.trst_ff <= tap_sync.trst_ff(1 downto 0) & jtag_trst_i; + tap_sync.tck_ff <= tap_sync.tck_ff( 1 downto 0) & jtag_tck_i; + tap_sync.tdi_ff <= tap_sync.tdi_ff( 1 downto 0) & jtag_tdi_i; + tap_sync.tms_ff <= tap_sync.tms_ff( 1 downto 0) & jtag_tms_i; + if (tap_sync.tck_falling = '1') then -- update output data TDO on falling edge of TCK + jtag_tdo_o <= tap_sync.tdo; + end if; + end if; + end process tap_synchronizer; + + -- JTAG reset -- + tap_sync.trst <= '0' when (tap_sync.trst_ff(2 downto 1) = "00") else '1'; + + -- JTAG clock edge -- + tap_sync.tck_rising <= '1' when (tap_sync.tck_ff(2 downto 1) = "01") else '0'; + tap_sync.tck_falling <= '1' when (tap_sync.tck_ff(2 downto 1) = "10") else '0'; + + -- JTAG test mode select -- + tap_sync.tms <= tap_sync.tms_ff(2); + + -- JTAG serial data input -- + tap_sync.tdi <= tap_sync.tdi_ff(2); + + + -- Tap Control FSM ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + tap_control: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + tap_ctrl.state <= LOGIC_RESET; + tap_ctrl.state_prev <= LOGIC_RESET; + elsif rising_edge(clk_i) then + tap_ctrl.state_prev <= tap_ctrl.state; + if (tap_sync.trst = '0') then -- reset + tap_ctrl.state <= LOGIC_RESET; + elsif (tap_sync.tck_rising = '1') then -- clock pulse (evaluate TMS on the rising edge of TCK) + case tap_ctrl.state is -- JTAG state machine + when LOGIC_RESET => if (tap_sync.tms = '0') then tap_ctrl.state <= RUN_IDLE; else tap_ctrl.state <= LOGIC_RESET; end if; + when RUN_IDLE => if (tap_sync.tms = '0') then tap_ctrl.state <= RUN_IDLE; else tap_ctrl.state <= DR_SCAN; end if; + when DR_SCAN => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_CAPTURE; else tap_ctrl.state <= IR_SCAN; end if; + when DR_CAPTURE => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_SHIFT; else tap_ctrl.state <= DR_EXIT1; end if; + when DR_SHIFT => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_SHIFT; else tap_ctrl.state <= DR_EXIT1; end if; + when DR_EXIT1 => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_PAUSE; else tap_ctrl.state <= DR_UPDATE; end if; + when DR_PAUSE => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_PAUSE; else tap_ctrl.state <= DR_EXIT2; end if; + when DR_EXIT2 => if (tap_sync.tms = '0') then tap_ctrl.state <= DR_SHIFT; else tap_ctrl.state <= DR_UPDATE; end if; + when DR_UPDATE => if (tap_sync.tms = '0') then tap_ctrl.state <= RUN_IDLE; else tap_ctrl.state <= DR_SCAN; end if; + when IR_SCAN => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_CAPTURE; else tap_ctrl.state <= LOGIC_RESET; end if; + when IR_CAPTURE => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_SHIFT; else tap_ctrl.state <= IR_EXIT1; end if; + when IR_SHIFT => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_SHIFT; else tap_ctrl.state <= IR_EXIT1; end if; + when IR_EXIT1 => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_PAUSE; else tap_ctrl.state <= IR_UPDATE; end if; + when IR_PAUSE => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_PAUSE; else tap_ctrl.state <= IR_EXIT2; end if; + when IR_EXIT2 => if (tap_sync.tms = '0') then tap_ctrl.state <= IR_SHIFT; else tap_ctrl.state <= IR_UPDATE; end if; + when IR_UPDATE => if (tap_sync.tms = '0') then tap_ctrl.state <= RUN_IDLE; else tap_ctrl.state <= DR_SCAN; end if; + when others => tap_ctrl.state <= LOGIC_RESET; + end case; + end if; + end if; + end process tap_control; + + + -- Tap Register Access -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + reg_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- serial data input -- + if (tap_sync.tck_rising = '1') then -- clock pulse (evaluate TDI on rising edge of TCK) + + -- instruction register -- + if (tap_ctrl.state = LOGIC_RESET) or (tap_ctrl.state = IR_CAPTURE) then -- reset or preload phase + tap_reg.ireg <= "00001"; -- IDCODE + elsif (tap_ctrl.state = IR_SHIFT) then -- access phase + tap_reg.ireg <= tap_sync.tdi & tap_reg.ireg(tap_reg.ireg'left downto 1); + end if; + + -- data register -- + if (tap_ctrl.state = DR_CAPTURE) then -- preload phase + case tap_reg.ireg is + when "00001" => tap_reg.idcode <= IDCODE_VERSION & IDCODE_PARTID & IDCODE_MANID & '1'; -- IDCODE (LSB has to be always set!) + when "10000" => tap_reg.dtmcs <= tap_reg.dtmcs_nxt;-- dtmcs + when "10001" => tap_reg.dmi <= tap_reg.dmi_nxt; -- dmi + when others => tap_reg.bypass <= '0'; -- BYPASS + end case; + elsif (tap_ctrl.state = DR_SHIFT) then -- access phase + case tap_reg.ireg is + when "00001" => tap_reg.idcode <= tap_sync.tdi & tap_reg.idcode(tap_reg.idcode'left downto 1); -- IDCODE + when "10000" => tap_reg.dtmcs <= tap_sync.tdi & tap_reg.dtmcs(tap_reg.dtmcs'left downto 1); -- dtmcs + when "10001" => tap_reg.dmi <= tap_sync.tdi & tap_reg.dmi(tap_reg.dmi'left downto 1); -- dmi + when others => tap_reg.bypass <= tap_sync.tdi; -- BYPASS + end case; + end if; + end if; + + -- serial data output -- + if (tap_ctrl.state = IR_SHIFT) then + tap_sync.tdo <= tap_reg.ireg(0); + else + case tap_reg.ireg is + when "00001" => tap_sync.tdo <= tap_reg.idcode(0); -- IDCODE + when "10000" => tap_sync.tdo <= tap_reg.dtmcs(0); -- dtmcs + when "10001" => tap_sync.tdo <= tap_reg.dmi(0); -- dmi + when others => tap_sync.tdo <= tap_reg.bypass; -- BYPASS + end case; + end if; + end if; + end process reg_access; + + + -- Debug Module Interface ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + dmi_controller: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + dmi_ctrl.state <= DMI_IDLE; + dmi_ctrl.dmihardreset <= '1'; + dmi_ctrl.dmireset <= '1'; + dmi_ctrl.err <= '0'; + dmi_ctrl.rdata <= (others => '-'); + dmi_ctrl.wdata <= (others => '-'); + dmi_ctrl.addr <= (others => '-'); + elsif rising_edge(clk_i) then + + -- DMI status and control -- + dmi_ctrl.dmihardreset <= '0'; -- default + dmi_ctrl.dmireset <= '0'; -- default + if (tap_ctrl.state = DR_UPDATE) and (tap_ctrl.state_prev /= DR_UPDATE) and (tap_reg.ireg = "10000") then + dmi_ctrl.dmireset <= tap_reg.dtmcs(16); + dmi_ctrl.dmihardreset <= tap_reg.dtmcs(17); + end if; + + -- DMI interface arbiter -- + if (dmi_ctrl.dmihardreset = '1') then -- DMI hard reset + dmi_ctrl.state <= DMI_IDLE; + dmi_ctrl.err <= '0'; + else + case dmi_ctrl.state is + + when DMI_IDLE => -- waiting for new request + if (tap_ctrl.state = DR_UPDATE) and (tap_ctrl.state_prev /= DR_UPDATE) and (tap_reg.ireg = "10001") then -- update + if (tap_reg.dmi(1 downto 0) = "01") then -- read + dmi_ctrl.state <= DMI_READ_WAIT; + elsif (tap_reg.dmi(1 downto 0) = "10") then -- write + dmi_ctrl.state <= DMI_WRITE_WAIT; + end if; + dmi_ctrl.addr <= tap_reg.dmi(40 downto 34); + dmi_ctrl.wdata <= tap_reg.dmi(33 downto 02); + end if; + + + when DMI_READ_WAIT => -- wait for DMI to become ready + if (dmi_req_ready_i = '1') then + dmi_ctrl.state <= DMI_READ; + end if; + + when DMI_READ => -- start read access + dmi_ctrl.state <= DMI_READ_BUSY; + + when DMI_READ_BUSY => -- pending read access + if (dmi_resp_valid_i = '1') then + dmi_ctrl.rdata <= dmi_resp_data_i; + dmi_ctrl.err <= dmi_ctrl.err or dmi_resp_err_i; -- sticky error + dmi_ctrl.state <= DMI_IDLE; + end if; + + + when DMI_WRITE_WAIT => -- wait for DMI to become ready + if (dmi_req_ready_i = '1') then + dmi_ctrl.state <= DMI_WRITE; + end if; + + when DMI_WRITE => -- start write access + dmi_ctrl.state <= DMI_WRITE_BUSY; + + when DMI_WRITE_BUSY => -- pending write access + if (dmi_resp_valid_i = '1') then + dmi_ctrl.err <= dmi_ctrl.err or dmi_resp_err_i; -- sticky error + dmi_ctrl.state <= DMI_IDLE; + end if; + + + when others => -- undefined + dmi_ctrl.state <= DMI_IDLE; + + end case; + -- clear sticky error flag -- + if (dmi_ctrl.dmireset = '1') then + dmi_ctrl.err <= '0'; + end if; + end if; + end if; + end process dmi_controller; + + -- DTM Control and Status Register (dtmcs) -- + tap_reg.dtmcs_nxt(31 downto 18) <= (others => '0'); -- unused + tap_reg.dtmcs_nxt(17) <= '0'; -- dmihardreset, always reads as zero + tap_reg.dtmcs_nxt(16) <= '0'; -- dmireset, always reads as zero + tap_reg.dtmcs_nxt(15) <= '0'; -- unused + tap_reg.dtmcs_nxt(14 downto 12) <= dmi_idle_c; -- minimum number of idle cycles + tap_reg.dtmcs_nxt(11 downto 10) <= tap_reg.dmi_nxt(1 downto 0); -- dmistat + tap_reg.dtmcs_nxt(09 downto 04) <= dmi_abits_c; -- number of DMI address bits + tap_reg.dtmcs_nxt(03 downto 00) <= dmi_version_c; -- version + + -- DMI register read access -- + tap_reg.dmi_nxt(40 downto 34) <= dmi_ctrl.addr; -- address + tap_reg.dmi_nxt(33 downto 02) <= dmi_ctrl.rdata; -- read data + tap_reg.dmi_nxt(01 downto 00) <= "11" when (dmi_ctrl.state /= DMI_IDLE) else (dmi_ctrl.err & '0'); -- status + + -- direct DMI output -- + dmi_rstn_o <= '0' when (dmi_ctrl.dmihardreset = '1') else '1'; + dmi_req_valid_o <= '1' when (dmi_ctrl.state = DMI_READ) or (dmi_ctrl.state = DMI_WRITE) else '0'; + dmi_req_op_o <= '1' when (dmi_ctrl.state = DMI_WRITE) or (dmi_ctrl.state = DMI_WRITE_BUSY) else '0'; + dmi_resp_ready_o <= '1' when (dmi_ctrl.state = DMI_READ_BUSY) or (dmi_ctrl.state = DMI_WRITE_BUSY) else '0'; + dmi_req_addr_o <= dmi_ctrl.addr; + dmi_req_data_o <= dmi_ctrl.wdata; + + +end neorv32_debug_dtm_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_dmem.entity.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_dmem.entity.vhd new file mode 100644 index 0000000..ec0fd83 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_dmem.entity.vhd @@ -0,0 +1,54 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal data memory (DMEM) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity neorv32_dmem is + generic ( + DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address + DMEM_SIZE : natural -- processor-internal instruction memory size in bytes + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); +end neorv32_dmem; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_fifo.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_fifo.vhd new file mode 100644 index 0000000..dd8d794 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_fifo.vhd @@ -0,0 +1,188 @@ +-- ################################################################################################# +-- # << NEORV32 - General Purpose FIFO Component >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_fifo is + generic ( + FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH : natural; -- size of data elements in fifo + FIFO_RSYNC : boolean; -- false = async read; true = sync read + FIFO_SAFE : boolean -- true = allow read/write only if entry available + ); + port ( + -- control -- + clk_i : in std_ulogic; -- clock, rising edge + rstn_i : in std_ulogic; -- async reset, low-active + clear_i : in std_ulogic; -- sync reset, high-active + level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level + half_o : out std_ulogic; -- FIFO is at least half full + -- write port -- + wdata_i : in std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data + we_i : in std_ulogic; -- write enable + free_o : out std_ulogic; -- at least one entry is free when set + -- read port -- + re_i : in std_ulogic; -- read enable + rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data + avail_o : out std_ulogic -- data available when set + ); +end neorv32_fifo; + +architecture neorv32_fifo_rtl of neorv32_fifo is + + -- FIFO -- + type fifo_data_t is array (0 to FIFO_DEPTH-1) of std_ulogic_vector(FIFO_WIDTH-1 downto 0); + type fifo_t is record + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + w_pnt : std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- write pointer + r_pnt : std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- read pointer + level : std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill count + data : fifo_data_t; -- fifo memory + datas : std_ulogic_vector(FIFO_WIDTH-1 downto 0); + match : std_ulogic; + empty : std_ulogic; + full : std_ulogic; + free : std_ulogic; + avail : std_ulogic; + end record; + signal fifo : fifo_t; + + signal level_diff : std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (FIFO_DEPTH = 0) report "NEORV32 CONFIG ERROR: FIFO depth has to be > 0." severity error; + assert not (is_power_of_two_f(FIFO_DEPTH) = false) report "NEORV32 CONFIG ERROR: FIFO depth has to be a power of two." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + fifo.re <= re_i when (FIFO_SAFE = false) else (re_i and fifo.avail); -- read only if data available + fifo.we <= we_i when (FIFO_SAFE = false) else (we_i and fifo.free); -- write only if space left + + + -- FIFO Control --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + fifo_control: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + fifo.w_pnt <= (others => '0'); + fifo.r_pnt <= (others => '0'); + elsif rising_edge(clk_i) then + -- write port -- + if (clear_i = '1') then + fifo.w_pnt <= (others => '0'); + elsif (fifo.we = '1') then + fifo.w_pnt <= std_ulogic_vector(unsigned(fifo.w_pnt) + 1); + end if; + -- read port -- + if (clear_i = '1') then + fifo.r_pnt <= (others => '0'); + elsif (fifo.re = '1') then + fifo.r_pnt <= std_ulogic_vector(unsigned(fifo.r_pnt) + 1); + end if; + end if; + end process fifo_control; + + -- status -- + fifo.match <= '1' when (fifo.r_pnt(fifo.r_pnt'left-1 downto 0) = fifo.w_pnt(fifo.w_pnt'left-1 downto 0)) or (FIFO_DEPTH = 1) else '0'; + fifo.full <= '1' when (fifo.r_pnt(fifo.r_pnt'left) /= fifo.w_pnt(fifo.w_pnt'left)) and (fifo.match = '1') else '0'; + fifo.empty <= '1' when (fifo.r_pnt(fifo.r_pnt'left) = fifo.w_pnt(fifo.w_pnt'left)) and (fifo.match = '1') else '0'; + fifo.free <= not fifo.full; + fifo.avail <= not fifo.empty; + level_diff <= std_ulogic_vector(unsigned(fifo.w_pnt) - unsigned(fifo.r_pnt)); + fifo.level <= std_ulogic_vector(to_unsigned(FIFO_DEPTH, fifo.level'length)) when (fifo.full = '1') else level_diff; + + -- status output -- + level_o <= fifo.level; + free_o <= fifo.free; + avail_o <= fifo.avail; + + fifo_half_level: + if (FIFO_DEPTH > 1) generate + half_o <= level_diff(level_diff'left-1) or fifo.full; + end generate; + + fifo_half_level_simple: + if (FIFO_DEPTH = 1) generate + half_o <= fifo.full; + end generate; + + + -- FIFO Memory ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + fifo_memory_write: process(clk_i) + begin + if rising_edge(clk_i) then + if (fifo.we = '1') then + if (FIFO_DEPTH = 1) then + fifo.datas <= wdata_i; + else + fifo.data(to_integer(unsigned(fifo.w_pnt(fifo.w_pnt'left-1 downto 0)))) <= wdata_i; + end if; + end if; + end if; + end process fifo_memory_write; + + -- asynchronous read -- + fifo_read_async: + if (FIFO_RSYNC = false) generate + rdata_o <= fifo.datas when (FIFO_DEPTH = 1) else fifo.data(to_integer(unsigned(fifo.r_pnt(fifo.r_pnt'left-1 downto 0)))); + end generate; + + -- synchronous read -- + fifo_read_sync: + if (FIFO_RSYNC = true) generate + fifo_memory_read: process(clk_i) + begin + if rising_edge(clk_i) then + if (FIFO_DEPTH = 1) then + rdata_o <= fifo.datas; + else + rdata_o <= fifo.data(to_integer(unsigned(fifo.r_pnt(fifo.r_pnt'left-1 downto 0)))); + end if; + end if; + end process fifo_memory_read; + end generate; + + +end neorv32_fifo_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_gpio.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_gpio.vhd new file mode 100644 index 0000000..db6dbe3 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_gpio.vhd @@ -0,0 +1,126 @@ +-- ################################################################################################# +-- # << NEORV32 - General Purpose Parallel Input/Output Port (GPIO) >> # +-- # ********************************************************************************************* # +-- # 64-bit general purpose parallel input & output port unit. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_gpio is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- parallel io -- + gpio_o : out std_ulogic_vector(63 downto 0); + gpio_i : in std_ulogic_vector(63 downto 0) + ); +end neorv32_gpio; + +architecture neorv32_gpio_rtl of neorv32_gpio is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(gpio_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- accessible regs -- + signal din_lo, din_hi : std_ulogic_vector(31 downto 0); -- r/- + signal dout_lo, dout_hi : std_ulogic_vector(31 downto 0); -- r/w + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = gpio_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= gpio_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus handshake -- + ack_o <= wren or rden; + + -- write access -- + if (wren = '1') then + if (addr = gpio_out_lo_addr_c) then + dout_lo <= data_i; + end if; + if (addr = gpio_out_hi_addr_c) then + dout_hi <= data_i; + end if; + end if; + + -- input buffer -- + din_lo <= gpio_i(31 downto 00); + din_hi <= gpio_i(63 downto 32); + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + case addr(3 downto 2) is + when "00" => data_o <= din_lo; + when "01" => data_o <= din_hi; + when "10" => data_o <= dout_lo; + when others => data_o <= dout_hi; + end case; + end if; + + end if; + end process rw_access; + + -- output -- + gpio_o <= dout_hi & dout_lo; + + +end neorv32_gpio_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_gptmr.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_gptmr.vhd new file mode 100644 index 0000000..5827932 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_gptmr.vhd @@ -0,0 +1,203 @@ +-- ################################################################################################# +-- # << NEORV32 - General Purpose Timer (GPTMR) >> # +-- # ********************************************************************************************* # +-- # 32-bit timer with configurable clock prescaler. The timer fires an interrupt whenever the # +-- # counter register value reaches the programmed threshold value. The timer can operate in # +-- # single-shot mode (count until it reaches THRESHOLD and stop) or in continuous mode (count # +-- # until it reaches THRESHOLD and auto-reset). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_gptmr is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- interrupt -- + irq_o : out std_ulogic -- transmission done interrupt + ); +end neorv32_gptmr; + +architecture neorv32_gptmr_rtl of neorv32_gptmr is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(gptmr_size_c); -- low address boundary bit + + -- control register -- + constant ctrl_en_c : natural := 0; -- r/w: timer enable + constant ctrl_prsc0_c : natural := 1; -- r/w: clock prescaler select bit 0 + constant ctrl_prsc1_c : natural := 2; -- r/w: clock prescaler select bit 1 + constant ctrl_prsc2_c : natural := 3; -- r/w: clock prescaler select bit 2 + constant ctrl_mode_c : natural := 4; -- r/w: mode (0=single-shot, 1=continuous) + -- + signal ctrl : std_ulogic_vector(4 downto 0); + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- clock generator -- + signal gptmr_clk_en : std_ulogic; + + -- timer core -- + type timer_t is record + count : std_ulogic_vector(31 downto 0); -- counter register + thres : std_ulogic_vector(31 downto 0); -- threshold value + match : std_ulogic; -- count == thres + cnt_we : std_ulogic; -- write access to count + end record; + signal timer : timer_t; + + -- interrupt detector -- + signal irq_detect : std_ulogic_vector(1 downto 0); + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = gptmr_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= gptmr_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus access acknowledge -- + ack_o <= rden or wren; + + -- write access -- + timer.cnt_we <= '0'; + if (wren = '1') then + if (addr = gptmr_ctrl_addr_c) then -- control register + ctrl(ctrl_en_c) <= data_i(ctrl_en_c); + ctrl(ctrl_prsc0_c) <= data_i(ctrl_prsc0_c); + ctrl(ctrl_prsc1_c) <= data_i(ctrl_prsc1_c); + ctrl(ctrl_prsc2_c) <= data_i(ctrl_prsc2_c); + ctrl(ctrl_mode_c) <= data_i(ctrl_mode_c); + end if; + if (addr = gptmr_thres_addr_c) then -- threshold register + timer.thres <= data_i; + end if; + if (addr = gptmr_count_addr_c) then -- counter register + timer.cnt_we <= '1'; + end if; + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + case addr(3 downto 2) is + when "00" => -- control register + data_o(ctrl_en_c) <= ctrl(ctrl_en_c); + data_o(ctrl_prsc0_c) <= ctrl(ctrl_prsc0_c); + data_o(ctrl_prsc1_c) <= ctrl(ctrl_prsc1_c); + data_o(ctrl_prsc2_c) <= ctrl(ctrl_prsc2_c); + data_o(ctrl_mode_c) <= ctrl(ctrl_mode_c); + when "01" => -- threshold register + data_o <= timer.thres; + when others => -- counter register + data_o <= timer.count; + end case; + end if; + end if; + end process rw_access; + + -- clock generator enable -- + clkgen_en_o <= ctrl(ctrl_en_c); + + -- clock select -- + gptmr_clk_en <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c)))); + + + -- Timer Core ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + timer_core: process(clk_i) + begin + if rising_edge(clk_i) then + if (timer.cnt_we = '1') then -- write access + timer.count <= data_i; + elsif (ctrl(ctrl_en_c) = '1') and (gptmr_clk_en = '1') then -- enabled and clock tick + if (timer.match = '1') then + if (ctrl(ctrl_mode_c) = '1') then -- reset counter if continuous mode + timer.count <= (others => '0'); + end if; + else + timer.count <= std_ulogic_vector(unsigned(timer.count) + 1); + end if; + end if; + end if; + end process timer_core; + + -- counter = threshold? -- + timer.match <= '1' when (timer.count = timer.thres) else '0'; + + + -- Interrupt Generator -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + irq_generator: process(clk_i) + begin + if rising_edge(clk_i) then + if (ctrl(ctrl_en_c) = '0') then + irq_detect <= "00"; + else + irq_detect <= irq_detect(0) & timer.match; + end if; + end if; + end process irq_generator; + + -- IRQ request to CPU -- + irq_o <= '1' when (irq_detect = "01") else '0'; + + +end neorv32_gptmr_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_icache.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_icache.vhd new file mode 100644 index 0000000..61b9284 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_icache.vhd @@ -0,0 +1,589 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-Internal Instruction Cache >> # +-- # ********************************************************************************************* # +-- # Direct mapped (ICACHE_NUM_SETS = 1) or 2-way set-associative (ICACHE_NUM_SETS = 2). # +-- # Least recently used replacement policy (if ICACHE_NUM_SETS > 1). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_icache is + generic ( + ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS : natural -- associativity / number of sets (1=direct_mapped), has to be a power of 2 + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + clear_i : in std_ulogic; -- cache clear + -- host controller interface -- + host_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + host_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + host_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + host_we_i : in std_ulogic; -- write enable + host_re_i : in std_ulogic; -- read enable + host_ack_o : out std_ulogic; -- bus transfer acknowledge + host_err_o : out std_ulogic; -- bus transfer error + -- peripheral bus interface -- + bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + bus_we_o : out std_ulogic; -- write enable + bus_re_o : out std_ulogic; -- read enable + bus_ack_i : in std_ulogic; -- bus transfer acknowledge + bus_err_i : in std_ulogic -- bus transfer error + ); +end neorv32_icache; + +architecture neorv32_icache_rtl of neorv32_icache is + + -- cache layout -- + constant cache_offset_size_c : natural := index_size_f(ICACHE_BLOCK_SIZE/4); -- offset addresses full 32-bit words + constant cache_index_size_c : natural := index_size_f(ICACHE_NUM_BLOCKS); + constant cache_tag_size_c : natural := 32 - (cache_offset_size_c + cache_index_size_c + 2); -- 2 additonal bits for byte offset + + -- cache memory -- + component neorv32_icache_memory + generic ( + ICACHE_NUM_BLOCKS : natural := 4; -- number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 16; -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS : natural := 1 -- associativity; 0=direct-mapped, 1=2-way set-associative + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + invalidate_i : in std_ulogic; -- invalidate whole cache + -- host cache access (read-only) -- + host_addr_i : in std_ulogic_vector(31 downto 0); -- access address + host_re_i : in std_ulogic; -- read enable + host_rdata_o : out std_ulogic_vector(31 downto 0); -- read data + -- access status (1 cycle delay to access) -- + hit_o : out std_ulogic; -- hit access + -- ctrl cache access (write-only) -- + ctrl_en_i : in std_ulogic; -- control interface enable + ctrl_addr_i : in std_ulogic_vector(31 downto 0); -- access address + ctrl_we_i : in std_ulogic; -- write enable (full-word) + ctrl_wdata_i : in std_ulogic_vector(31 downto 0); -- write data + ctrl_tag_we_i : in std_ulogic; -- write tag to selected block + ctrl_valid_i : in std_ulogic; -- make selected block valid + ctrl_invalid_i : in std_ulogic -- make selected block invalid + ); + end component; + + -- cache interface -- + type cache_if_t is record + clear : std_ulogic; -- cache clear + -- + host_addr : std_ulogic_vector(31 downto 0); -- cpu access address + host_rdata : std_ulogic_vector(31 downto 0); -- cpu read data + -- + hit : std_ulogic; -- hit access + -- + ctrl_en : std_ulogic; -- control access enable + ctrl_addr : std_ulogic_vector(31 downto 0); -- control access address + ctrl_we : std_ulogic; -- control write enable + ctrl_wdata : std_ulogic_vector(31 downto 0); -- control write data + ctrl_tag_we : std_ulogic; -- control tag write enabled + ctrl_valid_we : std_ulogic; -- control valid flag set + ctrl_invalid_we : std_ulogic; -- control valid flag clear + end record; + signal cache : cache_if_t; + + -- control engine -- + type ctrl_engine_state_t is (S_IDLE, S_CACHE_CLEAR, S_CACHE_CHECK, S_CACHE_MISS, S_BUS_DOWNLOAD_REQ, S_BUS_DOWNLOAD_GET, + S_CACHE_RESYNC_0, S_CACHE_RESYNC_1, S_BUS_ERROR); + type ctrl_t is record + state : ctrl_engine_state_t; -- current state + state_nxt : ctrl_engine_state_t; -- next state + addr_reg : std_ulogic_vector(31 downto 0); -- address register for block download + addr_reg_nxt : std_ulogic_vector(31 downto 0); + -- + re_buf : std_ulogic; -- read request buffer + re_buf_nxt : std_ulogic; + -- + clear_buf : std_ulogic; -- clear request buffer + clear_buf_nxt : std_ulogic; + end record; + signal ctrl : ctrl_t; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- configuration -- + assert not (is_power_of_two_f(ICACHE_NUM_BLOCKS) = false) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache number of blocks has to be a power of 2." severity error; + assert not (is_power_of_two_f(ICACHE_BLOCK_SIZE) = false) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache block size has to be a power of 2." severity error; + assert not ((is_power_of_two_f(ICACHE_NUM_SETS) = false)) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache associativity has to be a power of 2." severity error; + assert not (ICACHE_NUM_BLOCKS < 1) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache number of blocks has to be >= 1." severity error; + assert not (ICACHE_BLOCK_SIZE < 4) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache block size has to be >= 4." severity error; + assert not ((ICACHE_NUM_SETS = 0) or (ICACHE_NUM_SETS > 2)) report "NEORV32 PROCESSOR CONFIG ERROR! i-cache associativity has to be 1 (direct-mapped) or 2 (2-way set-associative)." severity error; + + + -- Control Engine FSM Sync ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- registers that REQUIRE a specific reset state -- + ctrl_engine_fsm_sync_rst: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.state <= S_CACHE_CLEAR; + ctrl.re_buf <= '0'; + ctrl.clear_buf <= '0'; + elsif rising_edge(clk_i) then + ctrl.state <= ctrl.state_nxt; + ctrl.re_buf <= ctrl.re_buf_nxt; + ctrl.clear_buf <= ctrl.clear_buf_nxt; + end if; + end process ctrl_engine_fsm_sync_rst; + + -- registers that do not require a specific reset state -- + ctrl_engine_fsm_sync: process(clk_i) + begin + if rising_edge(clk_i) then + ctrl.addr_reg <= ctrl.addr_reg_nxt; + end if; + end process ctrl_engine_fsm_sync; + + + -- Control Engine FSM Comb ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ctrl_engine_fsm_comb: process(ctrl, cache, clear_i, host_addr_i, host_re_i, bus_rdata_i, bus_ack_i, bus_err_i) + begin + -- control defaults -- + ctrl.state_nxt <= ctrl.state; + ctrl.addr_reg_nxt <= ctrl.addr_reg; + ctrl.re_buf_nxt <= ctrl.re_buf or host_re_i; + ctrl.clear_buf_nxt <= ctrl.clear_buf or clear_i; -- buffer clear request from CPU + + -- cache defaults -- + cache.clear <= '0'; + cache.host_addr <= host_addr_i; + cache.ctrl_en <= '0'; + cache.ctrl_addr <= ctrl.addr_reg; + cache.ctrl_we <= '0'; + cache.ctrl_wdata <= bus_rdata_i; + cache.ctrl_tag_we <= '0'; + cache.ctrl_valid_we <= '0'; + cache.ctrl_invalid_we <= '0'; + + -- host interface defaults -- + host_ack_o <= '0'; + host_err_o <= '0'; + host_rdata_o <= cache.host_rdata; + + -- peripheral bus interface defaults -- + bus_addr_o <= ctrl.addr_reg; + bus_wdata_o <= (others => '0'); -- cache is read-only + bus_ben_o <= (others => '0'); -- cache is read-only + bus_we_o <= '0'; -- cache is read-only + bus_re_o <= '0'; + + -- fsm -- + case ctrl.state is + + when S_IDLE => -- wait for host access request or cache control operation + -- ------------------------------------------------------------ + if (ctrl.clear_buf = '1') then -- cache control operation? + ctrl.state_nxt <= S_CACHE_CLEAR; + elsif (host_re_i = '1') or (ctrl.re_buf = '1') then -- cache access + ctrl.re_buf_nxt <= '0'; + ctrl.state_nxt <= S_CACHE_CHECK; + end if; + + when S_CACHE_CLEAR => -- invalidate all cache entries + -- ------------------------------------------------------------ + ctrl.clear_buf_nxt <= '0'; + cache.clear <= '1'; + ctrl.state_nxt <= S_IDLE; + + when S_CACHE_CHECK => -- finalize host access if cache hit + -- ------------------------------------------------------------ + if (cache.hit = '1') then -- cache HIT + host_ack_o <= '1'; + ctrl.state_nxt <= S_IDLE; + else -- cache MISS + ctrl.state_nxt <= S_CACHE_MISS; + end if; + + when S_CACHE_MISS => -- + -- ------------------------------------------------------------ + -- compute block base address -- + ctrl.addr_reg_nxt <= host_addr_i; + ctrl.addr_reg_nxt((2+cache_offset_size_c)-1 downto 2) <= (others => '0'); -- block-aligned + ctrl.addr_reg_nxt(1 downto 0) <= "00"; -- word-aligned + -- + ctrl.state_nxt <= S_BUS_DOWNLOAD_REQ; + + when S_BUS_DOWNLOAD_REQ => -- download new cache block: request new word + -- ------------------------------------------------------------ + cache.ctrl_en <= '1'; -- we are in cache control mode + bus_re_o <= '1'; -- request new read transfer + ctrl.state_nxt <= S_BUS_DOWNLOAD_GET; + + when S_BUS_DOWNLOAD_GET => -- download new cache block: wait for bus response + -- ------------------------------------------------------------ + cache.ctrl_en <= '1'; -- we are in cache control mode + -- + if (bus_err_i = '1') then -- bus error + ctrl.state_nxt <= S_BUS_ERROR; + elsif (bus_ack_i = '1') then -- ACK = write to cache and get next word + cache.ctrl_we <= '1'; -- write to cache + if (and_reduce_f(ctrl.addr_reg((2+cache_offset_size_c)-1 downto 2)) = '1') then -- block complete? + cache.ctrl_tag_we <= '1'; -- current block is valid now + cache.ctrl_valid_we <= '1'; -- write tag of current address + ctrl.state_nxt <= S_CACHE_RESYNC_0; + else -- get next word + ctrl.addr_reg_nxt <= std_ulogic_vector(unsigned(ctrl.addr_reg) + 4); + ctrl.state_nxt <= S_BUS_DOWNLOAD_REQ; + end if; + end if; + + when S_CACHE_RESYNC_0 => -- re-sync host/cache access: cache read-latency + -- ------------------------------------------------------------ + ctrl.state_nxt <= S_CACHE_RESYNC_1; + + when S_CACHE_RESYNC_1 => -- re-sync host/cache access: finalize CPU request + -- ------------------------------------------------------------ + host_ack_o <= '1'; + ctrl.state_nxt <= S_IDLE; + + when S_BUS_ERROR => -- bus error during download + -- ------------------------------------------------------------ + host_err_o <= '1'; + ctrl.state_nxt <= S_IDLE; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl.state_nxt <= S_IDLE; + + end case; + end process ctrl_engine_fsm_comb; + + + -- Cache Memory --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_icache_memory_inst: neorv32_icache_memory + generic map ( + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS => ICACHE_NUM_SETS -- associativity; 0=direct-mapped, 1=2-way set-associative + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + invalidate_i => cache.clear, -- invalidate whole cache + -- host cache access (read-only) -- + host_addr_i => cache.host_addr, -- access address + host_re_i => host_re_i, -- read enable + host_rdata_o => cache.host_rdata, -- read data + -- access status (1 cycle delay to access) -- + hit_o => cache.hit, -- hit access + -- ctrl cache access (write-only) -- + ctrl_en_i => cache.ctrl_en, -- control interface enable + ctrl_addr_i => cache.ctrl_addr, -- access address + ctrl_we_i => cache.ctrl_we, -- write enable (full-word) + ctrl_wdata_i => cache.ctrl_wdata, -- write data + ctrl_tag_we_i => cache.ctrl_tag_we, -- write tag to selected block + ctrl_valid_i => cache.ctrl_valid_we, -- make selected block valid + ctrl_invalid_i => cache.ctrl_invalid_we -- make selected block invalid + ); + +end neorv32_icache_rtl; + + +-- ########################################################################################################################################### +-- ########################################################################################################################################### + + +-- ################################################################################################# +-- # << NEORV32 - Cache Memory >> # +-- # ********************************************************************************************* # +-- # Direct mapped (ICACHE_NUM_SETS = 1) or 2-way set-associative (ICACHE_NUM_SETS = 2). # +-- # Least recently used replacement policy (if ICACHE_NUM_SETS > 1). # +-- # Read-only for host, write-only for control. All output signals have one cycle latency. # +-- # # +-- # Cache sets are mapped to individual memory components - no multi-dimensional memory arrays # +-- # are used as some synthesis tools have problems to map these to actual BRAM primitives. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_icache_memory is + generic ( + ICACHE_NUM_BLOCKS : natural := 4; -- number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 16; -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS : natural := 1 -- associativity; 1=direct-mapped, 2=2-way set-associative + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + invalidate_i : in std_ulogic; -- invalidate whole cache + -- host cache access (read-only) -- + host_addr_i : in std_ulogic_vector(31 downto 0); -- access address + host_re_i : in std_ulogic; -- read enable + host_rdata_o : out std_ulogic_vector(31 downto 0); -- read data + -- access status (1 cycle delay to access) -- + hit_o : out std_ulogic; -- hit access + -- ctrl cache access (write-only) -- + ctrl_en_i : in std_ulogic; -- control interface enable + ctrl_addr_i : in std_ulogic_vector(31 downto 0); -- access address + ctrl_we_i : in std_ulogic; -- write enable (full-word) + ctrl_wdata_i : in std_ulogic_vector(31 downto 0); -- write data + ctrl_tag_we_i : in std_ulogic; -- write tag to selected block + ctrl_valid_i : in std_ulogic; -- make selected block valid + ctrl_invalid_i : in std_ulogic -- make selected block invalid + ); +end neorv32_icache_memory; + +architecture neorv32_icache_memory_rtl of neorv32_icache_memory is + + -- cache layout -- + constant cache_offset_size_c : natural := index_size_f(ICACHE_BLOCK_SIZE/4); -- offset addresses full 32-bit words + constant cache_index_size_c : natural := index_size_f(ICACHE_NUM_BLOCKS); + constant cache_tag_size_c : natural := 32 - (cache_offset_size_c + cache_index_size_c + 2); -- 2 additonal bits for byte offset + constant cache_entries_c : natural := ICACHE_NUM_BLOCKS * (ICACHE_BLOCK_SIZE/4); -- number of 32-bit entries (per set) + + -- status flag memory -- + signal valid_flag_s0 : std_ulogic_vector(ICACHE_NUM_BLOCKS-1 downto 0); + signal valid_flag_s1 : std_ulogic_vector(ICACHE_NUM_BLOCKS-1 downto 0); + signal valid : std_ulogic_vector(1 downto 0); -- valid flag read data + + -- tag memory -- + type tag_mem_t is array (0 to ICACHE_NUM_BLOCKS-1) of std_ulogic_vector(cache_tag_size_c-1 downto 0); + signal tag_mem_s0 : tag_mem_t; + signal tag_mem_s1 : tag_mem_t; + type tag_rd_t is array (0 to 1) of std_ulogic_vector(cache_tag_size_c-1 downto 0); + signal tag : tag_rd_t; -- tag read data + + -- access status -- + signal hit : std_ulogic_vector(1 downto 0); + + -- access address decomposition -- + type acc_addr_t is record + tag : std_ulogic_vector(cache_tag_size_c-1 downto 0); + index : std_ulogic_vector(cache_index_size_c-1 downto 0); + offset : std_ulogic_vector(cache_offset_size_c-1 downto 0); + end record; + signal host_acc_addr, ctrl_acc_addr : acc_addr_t; + + -- cache data memory -- + type cache_mem_t is array (0 to cache_entries_c-1) of std_ulogic_vector(31 downto 0); + signal cache_data_memory_s0 : cache_mem_t; -- set 0 + signal cache_data_memory_s1 : cache_mem_t; -- set 1 + + -- cache data memory access -- + type cache_rdata_t is array (0 to 1) of std_ulogic_vector(31 downto 0); + signal cache_rdata : cache_rdata_t; + signal cache_index : std_ulogic_vector(cache_index_size_c-1 downto 0); + signal cache_offset : std_ulogic_vector(cache_offset_size_c-1 downto 0); + signal cache_addr : std_ulogic_vector((cache_index_size_c+cache_offset_size_c)-1 downto 0); -- index & offset + signal cache_we : std_ulogic; -- write enable (full-word) + signal set_select : std_ulogic; + + -- access history -- + type history_t is record + re_ff : std_ulogic; + last_used_set : std_ulogic_vector(ICACHE_NUM_BLOCKS-1 downto 0); + to_be_replaced : std_ulogic; + end record; + signal history : history_t; + +begin + + -- Access Address Decomposition ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + host_acc_addr.tag <= host_addr_i(31 downto 31-(cache_tag_size_c-1)); + host_acc_addr.index <= host_addr_i(31-cache_tag_size_c downto 2+cache_offset_size_c); + host_acc_addr.offset <= host_addr_i(2+(cache_offset_size_c-1) downto 2); -- discard byte offset + + ctrl_acc_addr.tag <= ctrl_addr_i(31 downto 31-(cache_tag_size_c-1)); + ctrl_acc_addr.index <= ctrl_addr_i(31-cache_tag_size_c downto 2+cache_offset_size_c); + ctrl_acc_addr.offset <= ctrl_addr_i(2+(cache_offset_size_c-1) downto 2); -- discard byte offset + + + -- Cache Access History ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + access_history: process(clk_i) + begin + if rising_edge(clk_i) then + history.re_ff <= host_re_i; + if (invalidate_i = '1') then -- invalidate whole cache + history.last_used_set <= (others => '1'); + elsif (history.re_ff = '1') and (or_reduce_f(hit) = '1') and (ctrl_en_i = '0') then -- store last accessed set that caused a hit + history.last_used_set(to_integer(unsigned(cache_index))) <= not hit(0); + end if; + history.to_be_replaced <= history.last_used_set(to_integer(unsigned(cache_index))); + end if; + end process access_history; + + -- which set is going to be replaced? -> opposite of last used set = least recently used set -- + set_select <= '0' when (ICACHE_NUM_SETS = 1) else (not history.to_be_replaced); + + + -- Status flag memory --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + status_memory: process(clk_i) + begin + if rising_edge(clk_i) then + -- write access -- + if (invalidate_i = '1') then -- invalidate whole cache + valid_flag_s0 <= (others => '0'); + valid_flag_s1 <= (others => '0'); + elsif (ctrl_en_i = '1') then + if (ctrl_invalid_i = '1') then -- make current block invalid + if (set_select = '0') then + valid_flag_s0(to_integer(unsigned(cache_index))) <= '0'; + else + valid_flag_s1(to_integer(unsigned(cache_index))) <= '0'; + end if; + elsif (ctrl_valid_i = '1') then -- make current block valid + if (set_select = '0') then + valid_flag_s0(to_integer(unsigned(cache_index))) <= '1'; + else + valid_flag_s1(to_integer(unsigned(cache_index))) <= '1'; + end if; + end if; + end if; + -- read access (sync) -- + valid(0) <= valid_flag_s0(to_integer(unsigned(cache_index))); + valid(1) <= valid_flag_s1(to_integer(unsigned(cache_index))); + end if; + end process status_memory; + + + -- Tag memory ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + tag_memory: process(clk_i) + begin + if rising_edge(clk_i) then + if (ctrl_en_i = '1') and (ctrl_tag_we_i = '1') then -- write access + if (set_select = '0') then + tag_mem_s0(to_integer(unsigned(cache_index))) <= ctrl_acc_addr.tag; + else + tag_mem_s1(to_integer(unsigned(cache_index))) <= ctrl_acc_addr.tag; + end if; + end if; + tag(0) <= tag_mem_s0(to_integer(unsigned(cache_index))); + tag(1) <= tag_mem_s1(to_integer(unsigned(cache_index))); + end if; + end process tag_memory; + + -- comparator -- + comparator: process(host_acc_addr, tag, valid) + begin + hit <= (others => '0'); + for i in 0 to ICACHE_NUM_SETS-1 loop + if (host_acc_addr.tag = tag(i)) and (valid(i) = '1') then + hit(i) <= '1'; + end if; + end loop; -- i + end process comparator; + + -- global hit -- + hit_o <= or_reduce_f(hit); + + + -- Cache Data Memory ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + cache_mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + if (cache_we = '1') then -- write access from control (full-word) + if (set_select = '0') or (ICACHE_NUM_SETS = 1) then + cache_data_memory_s0(to_integer(unsigned(cache_addr))) <= ctrl_wdata_i; + else + cache_data_memory_s1(to_integer(unsigned(cache_addr))) <= ctrl_wdata_i; + end if; + end if; + -- read access from host (full-word) -- + cache_rdata(0) <= cache_data_memory_s0(to_integer(unsigned(cache_addr))); + cache_rdata(1) <= cache_data_memory_s1(to_integer(unsigned(cache_addr))); + end if; + end process cache_mem_access; + + -- data output -- + host_rdata_o <= cache_rdata(0) when (hit(0) = '1') or (ICACHE_NUM_SETS = 1) else cache_rdata(1); + + -- cache block ram access address -- + cache_addr <= cache_index & cache_offset; + + -- cache access select -- + cache_index <= host_acc_addr.index when (ctrl_en_i = '0') else ctrl_acc_addr.index; + cache_offset <= host_acc_addr.offset when (ctrl_en_i = '0') else ctrl_acc_addr.offset; + cache_we <= '0' when (ctrl_en_i = '0') else ctrl_we_i; + + +end neorv32_icache_memory_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_imem.entity.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_imem.entity.vhd new file mode 100644 index 0000000..533a317 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_imem.entity.vhd @@ -0,0 +1,58 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal instruction memory (IMEM) >> # +-- # ********************************************************************************************* # +-- # This memory optionally includes the in-place executable image of the application. See the # +-- # processor's documentary to get more information. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity neorv32_imem is + generic ( + IMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address + IMEM_SIZE : natural; -- processor-internal instruction memory size in bytes + IMEM_AS_IROM : boolean -- implement IMEM as pre-initialized read-only memory? + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); +end neorv32_imem; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_mtime.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_mtime.vhd new file mode 100644 index 0000000..e503e7f --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_mtime.vhd @@ -0,0 +1,181 @@ +-- ################################################################################################# +-- # << NEORV32 - Machine System Timer (MTIME) >> # +-- # ********************************************************************************************* # +-- # Compatible to RISC-V spec's 64-bit MACHINE system timer including "mtime[h]" & "mtimecmp[h]". # +-- # Note: The 64-bit counter and compare systems are de-coupled into two 32-bit systems. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_mtime is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- time output for CPU -- + time_o : out std_ulogic_vector(63 downto 0); -- current system time + -- interrupt -- + irq_o : out std_ulogic -- interrupt request + ); +end neorv32_mtime; + +architecture neorv32_mtime_rtl of neorv32_mtime is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(mtime_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- module access enable + signal rden : std_ulogic; -- read enable + + -- time write access buffer -- + signal mtime_lo_we : std_ulogic; + signal mtime_hi_we : std_ulogic; + + -- accessible regs -- + signal mtimecmp_lo : std_ulogic_vector(31 downto 0); + signal mtimecmp_hi : std_ulogic_vector(31 downto 0); + signal mtime_lo : std_ulogic_vector(31 downto 0); + signal mtime_lo_nxt : std_ulogic_vector(32 downto 0); + signal mtime_lo_ovfl : std_ulogic_vector(00 downto 0); + signal mtime_hi : std_ulogic_vector(31 downto 0); + + -- comparators -- + signal cmp_lo_ge : std_ulogic; + signal cmp_lo_ge_ff : std_ulogic; + signal cmp_hi_eq : std_ulogic; + signal cmp_hi_gt : std_ulogic; + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = mtime_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= mtime_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Write Access --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + wr_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- mtimecmp -- + if (wren = '1') then + if (addr = mtime_cmp_lo_addr_c) then + mtimecmp_lo <= data_i; + end if; + if (addr = mtime_cmp_hi_addr_c) then + mtimecmp_hi <= data_i; + end if; + end if; + + -- mtime access buffer -- +-- wdata_buf <= data_i; -- not required, CPU wdata (=data_i) is stable until transfer is acknowledged + mtime_lo_we <= wren and bool_to_ulogic_f(boolean(addr = mtime_time_lo_addr_c)); + mtime_hi_we <= wren and bool_to_ulogic_f(boolean(addr = mtime_time_hi_addr_c)); + + -- mtime low -- + if (mtime_lo_we = '1') then -- write access + mtime_lo <= data_i; + else -- auto increment + mtime_lo <= mtime_lo_nxt(31 downto 0); + end if; + mtime_lo_ovfl(0) <= mtime_lo_nxt(32); -- overflow (carry) + + -- mtime high -- + if (mtime_hi_we = '1') then -- write access + mtime_hi <= data_i; + else -- auto increment (if mtime.low overflows) + mtime_hi <= std_ulogic_vector(unsigned(mtime_hi) + unsigned(mtime_lo_ovfl)); + end if; + end if; + end process wr_access; + + -- mtime.time_LO increment -- + mtime_lo_nxt <= std_ulogic_vector(unsigned('0' & mtime_lo) + 1); + + + -- Read Access ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rd_access: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= rden or wren; + data_o <= (others => '0'); -- default + if (rden = '1') then + case addr(3 downto 2) is + when "00" => data_o <= mtime_lo; -- mtime LOW + when "01" => data_o <= mtime_hi; -- mtime HIGH + when "10" => data_o <= mtimecmp_lo; -- mtimecmp LOW + when others => data_o <= mtimecmp_hi; -- mtimecmp HIGH + end case; + end if; + end if; + end process rd_access; + + -- system time output for cpu -- + time_o <= mtime_hi & mtime_lo; -- NOTE: low and high words are not synchronized here! + + + -- Comparator ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + cmp_sync: process(clk_i) + begin + if rising_edge(clk_i) then + cmp_lo_ge_ff <= cmp_lo_ge; -- there is one cycle delay between low (earlier) and high (later) word + irq_o <= cmp_hi_gt or (cmp_hi_eq and cmp_lo_ge_ff); + end if; + end process cmp_sync; + + -- sub-word comparators -- + cmp_lo_ge <= '1' when (unsigned(mtime_lo) >= unsigned(mtimecmp_lo)) else '0'; -- low-word: greater than or equal + cmp_hi_eq <= '1' when (unsigned(mtime_hi) = unsigned(mtimecmp_hi)) else '0'; -- high-word: equal + cmp_hi_gt <= '1' when (unsigned(mtime_hi) > unsigned(mtimecmp_hi)) else '0'; -- high-word: greater than + + +end neorv32_mtime_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_neoled.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_neoled.vhd new file mode 100644 index 0000000..7dbd016 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_neoled.vhd @@ -0,0 +1,420 @@ +-- ################################################################################################# +-- # << NEORV32 - Smart LED (WS2811/WS2812) Interface (NEOLED) >> # +-- # ********************************************************************************************* # +-- # Hardware interface for direct control of "smart LEDs" using an asynchronous serial data # +-- # line. Compatible with the WS2811 and WS2812 LEDs. # +-- # # +-- # NeoPixel-compatible, RGB (24-bit) and RGBW (32-bit) modes supported (in "parallel") # +-- # (TM) "NeoPixel" is a trademark of Adafruit Industries. # +-- # # +-- # The interface uses a programmable carrier frequency (800 KHz for the WS2812 LEDs) # +-- # configurable via the control register's clock prescaler bits (ctrl_clksel*_c) and the period # +-- # length configuration bits (ctrl_t_tot_*_c). "high-times" for sending a ZERO or a ONE bit are # +-- # configured using the ctrl_t_0h_*_c and ctrl_t_1h_*_c bits, respectively. 32-bit transfers # +-- # (for RGBW modules) and 24-bit transfers (for RGB modules) are supported via ctrl_mode__c. # +-- # # +-- # The device features a TX buffer (FIFO) with entries with configurable interrupt. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_neoled is + generic ( + FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two) + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- interrupt -- + irq_o : out std_ulogic; -- interrupt request + -- NEOLED output -- + neoled_o : out std_ulogic -- serial async data line + ); +end neorv32_neoled; + +architecture neorv32_neoled_rtl of neorv32_neoled is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(neoled_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- Control register bits -- + constant ctrl_en_c : natural := 0; -- r/w: module enable + constant ctrl_mode_c : natural := 1; -- r/w: 0 = 24-bit RGB mode, 1 = 32-bit RGBW mode + constant ctrl_strobe_c : natural := 2; -- r/w: 0 = send normal data, 1 = send LED strobe command (RESET) on data write + -- + constant ctrl_clksel0_c : natural := 3; -- r/w: prescaler select bit 0 + constant ctrl_clksel1_c : natural := 4; -- r/w: prescaler select bit 1 + constant ctrl_clksel2_c : natural := 5; -- r/w: prescaler select bit 2 + -- + constant ctrl_bufs_0_c : natural := 6; -- r/-: log2(FIFO_DEPTH) bit 0 + constant ctrl_bufs_1_c : natural := 7; -- r/-: log2(FIFO_DEPTH) bit 1 + constant ctrl_bufs_2_c : natural := 8; -- r/-: log2(FIFO_DEPTH) bit 2 + constant ctrl_bufs_3_c : natural := 9; -- r/-: log2(FIFO_DEPTH) bit 3 + -- + constant ctrl_t_tot_0_c : natural := 10; -- r/w: pulse-clock ticks per total period bit 0 + constant ctrl_t_tot_1_c : natural := 11; -- r/w: pulse-clock ticks per total period bit 1 + constant ctrl_t_tot_2_c : natural := 12; -- r/w: pulse-clock ticks per total period bit 2 + constant ctrl_t_tot_3_c : natural := 13; -- r/w: pulse-clock ticks per total period bit 3 + constant ctrl_t_tot_4_c : natural := 14; -- r/w: pulse-clock ticks per total period bit 4 + -- + constant ctrl_t_0h_0_c : natural := 15; -- r/w: pulse-clock ticks per ZERO high-time bit 0 + constant ctrl_t_0h_1_c : natural := 16; -- r/w: pulse-clock ticks per ZERO high-time bit 1 + constant ctrl_t_0h_2_c : natural := 17; -- r/w: pulse-clock ticks per ZERO high-time bit 2 + constant ctrl_t_0h_3_c : natural := 18; -- r/w: pulse-clock ticks per ZERO high-time bit 3 + constant ctrl_t_0h_4_c : natural := 19; -- r/w: pulse-clock ticks per ZERO high-time bit 4 + -- + constant ctrl_t_1h_0_c : natural := 20; -- r/w: pulse-clock ticks per ONE high-time bit 0 + constant ctrl_t_1h_1_c : natural := 21; -- r/w: pulse-clock ticks per ONE high-time bit 1 + constant ctrl_t_1h_2_c : natural := 22; -- r/w: pulse-clock ticks per ONE high-time bit 2 + constant ctrl_t_1h_3_c : natural := 23; -- r/w: pulse-clock ticks per ONE high-time bit 3 + constant ctrl_t_1h_4_c : natural := 24; -- r/w: pulse-clock ticks per ONE high-time bit 4 + -- + constant ctrl_irq_conf_c : natural := 27; -- r/w: interrupt config: 1=IRQ when buffer is empty, 0=IRQ when buffer is half-empty + constant ctrl_tx_empty_c : natural := 28; -- r/-: TX FIFO is empty + constant ctrl_tx_half_c : natural := 29; -- r/-: TX FIFO is at least half-full + constant ctrl_tx_full_c : natural := 30; -- r/-: TX FIFO is full + constant ctrl_tx_busy_c : natural := 31; -- r/-: serial TX engine busy when set + + -- control register -- + type ctrl_t is record + enable : std_ulogic; + mode : std_ulogic; + strobe : std_ulogic; + clk_prsc : std_ulogic_vector(2 downto 0); + irq_conf : std_ulogic; + -- pulse config -- + t_total : std_ulogic_vector(4 downto 0); + t0_high : std_ulogic_vector(4 downto 0); + t1_high : std_ulogic_vector(4 downto 0); + end record; + signal ctrl : ctrl_t; + + -- transmission buffer -- + type tx_buffer_t is record + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + clear : std_ulogic; -- sync reset, high-active + wdata : std_ulogic_vector(31+2 downto 0); -- write data (excluding mode) + rdata : std_ulogic_vector(31+2 downto 0); -- read data (including mode) + avail : std_ulogic; -- data available? + free : std_ulogic; -- free entry available? + half : std_ulogic; -- half full + end record; + signal tx_buffer : tx_buffer_t; + + -- interrupt generator -- + type irq_t is record + set : std_ulogic; + buf : std_ulogic_vector(1 downto 0); + end record; + signal irq : irq_t; + + -- serial transmission engine -- + type serial_state_t is (S_IDLE, S_INIT, S_GETBIT, S_PULSE, S_STROBE); + type serial_t is record + -- state control -- + state : serial_state_t; + mode : std_ulogic; + done : std_ulogic; + busy : std_ulogic; + bit_cnt : std_ulogic_vector(5 downto 0); + -- shift register -- + sreg : std_ulogic_vector(31 downto 0); + next_bit : std_ulogic; -- next bit to send + -- pulse generator -- + pulse_clk : std_ulogic; -- pulse cycle "clock" + pulse_cnt : std_ulogic_vector(4 downto 0); + t_high : std_ulogic_vector(4 downto 0); + strobe_cnt : std_ulogic_vector(6 downto 0); + tx_out : std_ulogic; + end record; + signal serial : serial_t; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not ((is_power_of_two_f(FIFO_DEPTH) = false) or (FIFO_DEPTH < 1) or (FIFO_DEPTH > 32768)) report + "NEORV32 PROCESSOR CONFIG ERROR! Invalid buffer size configuration (1..32k)!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = neoled_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= neoled_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- access acknowledge -- + ack_o <= wren or rden; + + -- write access: control register -- + if (wren = '1') and (addr = neoled_ctrl_addr_c) then + ctrl.enable <= data_i(ctrl_en_c); + ctrl.mode <= data_i(ctrl_mode_c); + ctrl.strobe <= data_i(ctrl_strobe_c); + ctrl.clk_prsc <= data_i(ctrl_clksel2_c downto ctrl_clksel0_c); + ctrl.irq_conf <= data_i(ctrl_irq_conf_c); + ctrl.t_total <= data_i(ctrl_t_tot_4_c downto ctrl_t_tot_0_c); + ctrl.t0_high <= data_i(ctrl_t_0h_4_c downto ctrl_t_0h_0_c); + ctrl.t1_high <= data_i(ctrl_t_1h_4_c downto ctrl_t_1h_0_c); + end if; + + -- read access: control register -- + data_o <= (others => '0'); + if (rden = '1') then -- and (addr = neoled_ctrl_addr_c) then + data_o(ctrl_en_c) <= ctrl.enable; + data_o(ctrl_mode_c) <= ctrl.mode; + data_o(ctrl_strobe_c) <= ctrl.strobe; + data_o(ctrl_clksel2_c downto ctrl_clksel0_c) <= ctrl.clk_prsc; + data_o(ctrl_irq_conf_c) <= ctrl.irq_conf or bool_to_ulogic_f(boolean(FIFO_DEPTH = 1)); -- tie to one if FIFO_DEPTH is 1 + data_o(ctrl_bufs_3_c downto ctrl_bufs_0_c) <= std_ulogic_vector(to_unsigned(index_size_f(FIFO_DEPTH), 4)); + data_o(ctrl_t_tot_4_c downto ctrl_t_tot_0_c) <= ctrl.t_total; + data_o(ctrl_t_0h_4_c downto ctrl_t_0h_0_c) <= ctrl.t0_high; + data_o(ctrl_t_1h_4_c downto ctrl_t_1h_0_c) <= ctrl.t1_high; + -- + data_o(ctrl_tx_empty_c) <= not tx_buffer.avail; + data_o(ctrl_tx_half_c) <= tx_buffer.half; + data_o(ctrl_tx_full_c) <= not tx_buffer.free; + data_o(ctrl_tx_busy_c) <= serial.busy; + end if; + end if; + end process rw_access; + + -- enable external clock generator -- + clkgen_en_o <= ctrl.enable; + + -- FIFO write access -- + tx_buffer.we <= '1' when (wren = '1') and (addr = neoled_data_addr_c) else '0'; + tx_buffer.wdata <= ctrl.strobe & ctrl.mode & data_i; + tx_buffer.clear <= not ctrl.enable; + + + -- IRQ Generator -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + irq_select: process(ctrl, tx_buffer, serial.done) + begin + if (FIFO_DEPTH = 1) or (ctrl.irq_conf = '1') then + irq.set <= tx_buffer.free and serial.done; -- fire IRQ if FIFO is empty + else + irq.set <= not tx_buffer.half; -- fire IRQ if FIFO is less than half-full + end if; + end process irq_select; + + -- Interrupt Edge Detector -- + irq_detect: process(clk_i) + begin + if rising_edge(clk_i) then + if (ctrl.enable = '0') then + irq.buf <= "00"; + else + irq.buf <= irq.buf(0) & irq.set; + end if; + end if; + end process irq_detect; + + -- IRQ request to CPU -- + irq_o <= '1' when (irq.buf = "01") else '0'; + + + -- TX Buffer (FIFO) ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + tx_data_fifo: neorv32_fifo + generic map ( + FIFO_DEPTH => FIFO_DEPTH, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => 32+2, -- size of data elements in fifo + FIFO_RSYNC => true, -- sync read + FIFO_SAFE => true -- safe access + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => tx_buffer.clear, -- sync reset, high-active + level_o => open, -- fill level + half_o => tx_buffer.half, -- FIFO is at least half full + -- write port -- + wdata_i => tx_buffer.wdata, -- write data + we_i => tx_buffer.we, -- write enable + free_o => tx_buffer.free, -- at least one entry is free when set + -- read port -- + re_i => tx_buffer.re, -- read enable + rdata_o => tx_buffer.rdata, -- read data + avail_o => tx_buffer.avail -- data available when set + ); + + -- try to get new TX data -- + tx_buffer.re <= '1' when (serial.state = S_IDLE) else '0'; + + + -- Serial TX Engine ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + serial_engine: process(clk_i) + begin + if rising_edge(clk_i) then + -- clock generator -- + serial.pulse_clk <= clkgen_i(to_integer(unsigned(ctrl.clk_prsc))); + + -- defaults -- + serial.done <= '0'; + + -- FSM -- + if (ctrl.enable = '0') then -- disabled + serial.state <= S_IDLE; + else + case serial.state is + + when S_IDLE => -- waiting for new TX data + -- ------------------------------------------------------------ + serial.tx_out <= '0'; + serial.pulse_cnt <= (others => '0'); + serial.strobe_cnt <= (others => '0'); + if (tx_buffer.avail = '1') then + serial.state <= S_INIT; + end if; + + when S_INIT => -- initialize TX shift engine + -- ------------------------------------------------------------ + if (tx_buffer.rdata(33) = '0') then -- send data + if (tx_buffer.rdata(32) = '0') then -- mode = "RGB" + serial.mode <= '0'; + serial.bit_cnt <= "011000"; -- total number of bits to send: 3x8=24 + else -- mode = "RGBW" + serial.mode <= '1'; + serial.bit_cnt <= "100000"; -- total number of bits to send: 4x8=32 + end if; + serial.sreg <= tx_buffer.rdata(31 downto 00); + serial.state <= S_GETBIT; + else -- send RESET command + serial.state <= S_STROBE; + end if; + + when S_GETBIT => -- get next TX bit + -- ------------------------------------------------------------ + serial.sreg <= serial.sreg(serial.sreg'left-1 downto 0) & '0'; -- shift left by one position (MSB-first) + serial.bit_cnt <= std_ulogic_vector(unsigned(serial.bit_cnt) - 1); + serial.pulse_cnt <= (others => '0'); + if (serial.next_bit = '0') then -- send zero-bit + serial.t_high <= ctrl.t0_high; + else -- send one-bit + serial.t_high <= ctrl.t1_high; + end if; + if (serial.bit_cnt = "000000") then -- all done? + serial.tx_out <= '0'; + serial.done <= '1'; -- done sending data + serial.state <= S_IDLE; + else -- send current data MSB + serial.tx_out <= '1'; + serial.state <= S_PULSE; -- transmit single pulse + end if; + + when S_PULSE => -- send pulse with specific duty cycle + -- ------------------------------------------------------------ + -- total pulse length = ctrl.t_total + -- pulse high time = serial.t_high + if (serial.pulse_clk = '1') then + serial.pulse_cnt <= std_ulogic_vector(unsigned(serial.pulse_cnt) + 1); + -- T_high reached? -- + if (serial.pulse_cnt = serial.t_high) then + serial.tx_out <= '0'; + end if; + -- T_total reached? -- + if (serial.pulse_cnt = ctrl.t_total) then + serial.state <= S_GETBIT; -- get next bit to send + end if; + end if; + + when S_STROBE => -- strobe LED data ("RESET" command) + -- ------------------------------------------------------------ + -- wait for 127 * ctrl.t_total to _ensure_ RESET + if (serial.pulse_clk = '1') then + -- T_total reached? -- + if (serial.pulse_cnt = ctrl.t_total) then + serial.pulse_cnt <= (others => '0'); + serial.strobe_cnt <= std_ulogic_vector(unsigned(serial.strobe_cnt) + 1); + else + serial.pulse_cnt <= std_ulogic_vector(unsigned(serial.pulse_cnt) + 1); + end if; + end if; + -- number of LOW periods reached for RESET? -- + if (and_reduce_f(serial.strobe_cnt) = '1') then + serial.done <= '1'; -- done sending RESET + serial.state <= S_IDLE; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + serial.state <= S_IDLE; + + end case; + end if; + -- serial data tx_out -- + neoled_o <= serial.tx_out and ctrl.enable; + end if; + end process serial_engine; + + -- SREG's TX data: bit 23 for RGB mode (24-bit), bit 31 for RGBW mode (32-bit) -- + serial.next_bit <= serial.sreg(23) when (serial.mode = '0') else serial.sreg(31); + + -- TX engine status -- + serial.busy <= '0' when (serial.state = S_IDLE) else '1'; + + +end neorv32_neoled_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_package.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_package.vhd new file mode 100644 index 0000000..176d099 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_package.vhd @@ -0,0 +1,2426 @@ +-- ################################################################################################# +-- # << NEORV32 - Main VHDL package file >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package neorv32_package is + + -- Architecture Configuration ------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- address space -- + constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address + constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address + + -- CPU core -- + constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value) + constant cp_timeout_en_c : boolean := false; -- auto-terminate pending co-processor operations after 256 cycles (for debugging only), default = false + + -- "critical" number of implemented PMP regions -- + -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces + -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length + constant pmp_num_regions_critical_c : natural := 8; -- default=8 + + -- "response time window" for processor-internal modules -- + constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2) + + -- jtag tap - identifier -- + constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version + constant jtag_tap_idcode_partid_c : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number + constant jtag_tap_idcode_manid_c : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id + + -- Architecture Constants (do not modify!) ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + constant data_width_c : natural := 32; -- native data path width - do not change! + constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060409"; -- no touchy! + constant archid_c : natural := 19; -- official NEORV32 architecture ID - hands off! + + -- Check if we're inside the Matrix ------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant is_simulation_c : boolean := false -- seems like we're on real hardware +-- pragma translate_off +-- synthesis translate_off +-- synthesis synthesis_off +-- RTL_SYNTHESIS OFF + or true -- this MIGHT be a simulation +-- RTL_SYNTHESIS ON +-- synthesis synthesis_on +-- synthesis translate_on +-- pragma translate_on + ; + + -- External Interface Types --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + type sdata_8x32_t is array (0 to 7) of std_ulogic_vector(31 downto 0); + type sdata_8x32r_t is array (0 to 7) of std_logic_vector(31 downto 0); -- resolved type + + -- Internal Interface Types --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0); + type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0); + type cp_data_if_t is array (0 to 3) of std_ulogic_vector(data_width_c-1 downto 0); + + -- Internal Memory Types Configuration Types ---------------------------------------------- + -- ------------------------------------------------------------------------------------------- + type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries + type mem8_t is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries + + -- Helper Functions ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function index_size_f(input : natural) return natural; + function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural; + function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer; + function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector; + function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic; + function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string; + function bool_to_ulogic_f(cond : boolean) return std_ulogic; + function or_reduce_f(a : std_ulogic_vector) return std_ulogic; + function and_reduce_f(a : std_ulogic_vector) return std_ulogic; + function xor_reduce_f(a : std_ulogic_vector) return std_ulogic; + function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character; + function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector; + function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector; + function is_power_of_two_f(input : natural) return boolean; + function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector; + function char_to_lower_f(ch : character) return character; + function str_equal_f(str0 : string; str1 : string) return boolean; + function popcount_f(input : std_ulogic_vector) return natural; + function leading_zeros_f(input : std_ulogic_vector) return natural; + impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t; + + -- Internal (auto-generated) Configurations ----------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-'); + + -- Processor-Internal Address Space Layout ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) -- + constant imem_base_c : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address + constant dmem_base_c : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address + --> internal data/instruction memory sizes are configured via top's generics + + -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!! + -- !!! total size of the module's occupied address space. The occupied address space !!! + -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap. !!! + + -- Internal Bootloader ROM -- + -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image + constant boot_rom_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed! + constant boot_rom_max_size_c : natural := 32*1024; -- max module's address space size in bytes, fixed! + + -- On-Chip Debugger: Debug Module -- + constant dm_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed! + constant dm_size_c : natural := 4*32*4; -- debug ROM address space size in bytes, fixed + constant dm_code_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; + constant dm_pbuf_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880"; + constant dm_data_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900"; + constant dm_sreg_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980"; + + -- IO: Peripheral Devices ("IO") Area -- + -- Control register(s) (including the device-enable) should be located at the base address of each device + constant io_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; + constant io_size_c : natural := 512; -- IO address space size in bytes, fixed! + + -- Custom Functions Subsystem (CFS) -- + constant cfs_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address + constant cfs_size_c : natural := 32*4; -- module's address space in bytes + constant cfs_reg0_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; + constant cfs_reg1_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04"; + constant cfs_reg2_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08"; + constant cfs_reg3_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c"; + constant cfs_reg4_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10"; + constant cfs_reg5_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14"; + constant cfs_reg6_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18"; + constant cfs_reg7_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c"; + constant cfs_reg8_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20"; + constant cfs_reg9_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24"; + constant cfs_reg10_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28"; + constant cfs_reg11_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c"; + constant cfs_reg12_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30"; + constant cfs_reg13_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34"; + constant cfs_reg14_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38"; + constant cfs_reg15_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c"; + constant cfs_reg16_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40"; + constant cfs_reg17_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44"; + constant cfs_reg18_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48"; + constant cfs_reg19_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c"; + constant cfs_reg20_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50"; + constant cfs_reg21_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54"; + constant cfs_reg22_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58"; + constant cfs_reg23_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c"; + constant cfs_reg24_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60"; + constant cfs_reg25_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64"; + constant cfs_reg26_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68"; + constant cfs_reg27_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c"; + constant cfs_reg28_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70"; + constant cfs_reg29_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74"; + constant cfs_reg30_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78"; + constant cfs_reg31_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c"; + + -- Pulse-Width Modulation Controller (PWM) -- + constant pwm_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address + constant pwm_size_c : natural := 16*4; -- module's address space size in bytes + constant pwm_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; + constant pwm_duty0_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84"; + constant pwm_duty1_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88"; + constant pwm_duty2_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c"; + constant pwm_duty3_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90"; + constant pwm_duty4_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94"; + constant pwm_duty5_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98"; + constant pwm_duty6_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c"; + constant pwm_duty7_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0"; + constant pwm_duty8_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4"; + constant pwm_duty9_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8"; + constant pwm_duty10_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac"; + constant pwm_duty11_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0"; + constant pwm_duty12_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4"; + constant pwm_duty13_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8"; + constant pwm_duty14_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc"; + + -- Stream Link Interface (SLINK) -- + constant slink_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address + constant slink_size_c : natural := 16*4; -- module's address space size in bytes + + -- reserved -- +--constant reserved_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address +--constant reserved_size_c : natural := 16*4; -- module's address space size in bytes + + -- reserved -- +--constant reserved_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address +--constant reserved_size_c : natural := 8*4; -- module's address space size in bytes + + -- General Purpose Timer (GPTMR) -- + constant gptmr_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address + constant gptmr_size_c : natural := 4*4; -- module's address space size in bytes + constant gptmr_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; + constant gptmr_thres_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64"; + constant gptmr_count_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68"; +--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c"; + + -- reserved -- +--constant reserved_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address +--constant reserved_size_c : natural := 2*4; -- module's address space size in bytes + + -- reserved -- +--constant reserved_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address +--constant reserved_size_c : natural := 1*4; -- module's address space size in bytes + + -- Bus Access Monitor (BUSKEEPER) -- + constant buskeeper_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address + constant buskeeper_size_c : natural := 1*4; -- module's address space size in bytes + + -- External Interrupt Controller (XIRQ) -- + constant xirq_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address + constant xirq_size_c : natural := 4*4; -- module's address space size in bytes + constant xirq_enable_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; + constant xirq_pending_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84"; + constant xirq_source_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88"; +--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c"; + + -- Machine System Timer (MTIME) -- + constant mtime_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address + constant mtime_size_c : natural := 4*4; -- module's address space size in bytes + constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; + constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94"; + constant mtime_cmp_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98"; + constant mtime_cmp_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c"; + + -- Primary Universal Asynchronous Receiver/Transmitter (UART0) -- + constant uart0_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address + constant uart0_size_c : natural := 2*4; -- module's address space size in bytes + constant uart0_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; + constant uart0_rtx_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4"; + + -- Serial Peripheral Interface (SPI) -- + constant spi_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address + constant spi_size_c : natural := 2*4; -- module's address space size in bytes + constant spi_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; + constant spi_rtx_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac"; + + -- Two Wire Interface (TWI) -- + constant twi_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address + constant twi_size_c : natural := 2*4; -- module's address space size in bytes + constant twi_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; + constant twi_rtx_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4"; + + -- True Random Number Generator (TRNG) -- + constant trng_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address + constant trng_size_c : natural := 1*4; -- module's address space size in bytes + constant trng_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; + + -- Watch Dog Timer (WDT) -- + constant wdt_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address + constant wdt_size_c : natural := 1*4; -- module's address space size in bytes + constant wdt_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; + + -- General Purpose Input/Output Controller (GPIO) -- + constant gpio_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address + constant gpio_size_c : natural := 4*4; -- module's address space size in bytes + constant gpio_in_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; + constant gpio_in_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4"; + constant gpio_out_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8"; + constant gpio_out_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc"; + + -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) -- + constant uart1_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address + constant uart1_size_c : natural := 2*4; -- module's address space size in bytes + constant uart1_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; + constant uart1_rtx_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4"; + + -- Smart LED (WS2811/WS2812) Interface (NEOLED) -- + constant neoled_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address + constant neoled_size_c : natural := 2*4; -- module's address space size in bytes + constant neoled_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; + constant neoled_data_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc"; + + -- System Information Memory (SYSINFO) -- + constant sysinfo_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address + constant sysinfo_size_c : natural := 8*4; -- module's address space size in bytes + + -- Main CPU Control Bus ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- register file -- + constant ctrl_rf_in_mux_c : natural := 0; -- input source select lsb (0=MEM, 1=ALU) + constant ctrl_rf_rs1_adr0_c : natural := 1; -- source register 1 address bit 0 + constant ctrl_rf_rs1_adr1_c : natural := 2; -- source register 1 address bit 1 + constant ctrl_rf_rs1_adr2_c : natural := 3; -- source register 1 address bit 2 + constant ctrl_rf_rs1_adr3_c : natural := 4; -- source register 1 address bit 3 + constant ctrl_rf_rs1_adr4_c : natural := 5; -- source register 1 address bit 4 + constant ctrl_rf_rs2_adr0_c : natural := 6; -- source register 2 address bit 0 + constant ctrl_rf_rs2_adr1_c : natural := 7; -- source register 2 address bit 1 + constant ctrl_rf_rs2_adr2_c : natural := 8; -- source register 2 address bit 2 + constant ctrl_rf_rs2_adr3_c : natural := 9; -- source register 2 address bit 3 + constant ctrl_rf_rs2_adr4_c : natural := 10; -- source register 2 address bit 4 + constant ctrl_rf_rd_adr0_c : natural := 11; -- destination register address bit 0 + constant ctrl_rf_rd_adr1_c : natural := 12; -- destination register address bit 1 + constant ctrl_rf_rd_adr2_c : natural := 13; -- destination register address bit 2 + constant ctrl_rf_rd_adr3_c : natural := 14; -- destination register address bit 3 + constant ctrl_rf_rd_adr4_c : natural := 15; -- destination register address bit 4 + constant ctrl_rf_wb_en_c : natural := 16; -- write back enable + -- alu -- + constant ctrl_alu_op0_c : natural := 17; -- ALU operation select bit 0 + constant ctrl_alu_op1_c : natural := 18; -- ALU operation select bit 1 + constant ctrl_alu_op2_c : natural := 19; -- ALU operation select bit 2 + constant ctrl_alu_func0_c : natural := 20; -- ALU function select command bit 0 + constant ctrl_alu_func1_c : natural := 21; -- ALU function select command bit 1 + constant ctrl_alu_opa_mux_c : natural := 22; -- operand A select (0=rs1, 1=PC) + constant ctrl_alu_opb_mux_c : natural := 23; -- operand B select (0=rs2, 1=IMM) + constant ctrl_alu_unsigned_c : natural := 24; -- is unsigned ALU operation + constant ctrl_alu_shift_dir_c : natural := 25; -- shift direction (0=left, 1=right) + constant ctrl_alu_shift_ar_c : natural := 26; -- is arithmetic shift + constant ctrl_alu_frm0_c : natural := 27; -- FPU rounding mode bit 0 + constant ctrl_alu_frm1_c : natural := 28; -- FPU rounding mode bit 1 + constant ctrl_alu_frm2_c : natural := 29; -- FPU rounding mode bit 2 + -- bus interface -- + constant ctrl_bus_size_lsb_c : natural := 30; -- transfer size lsb (00=byte, 01=half-word) + constant ctrl_bus_size_msb_c : natural := 31; -- transfer size msb (10=word, 11=?) + constant ctrl_bus_rd_c : natural := 32; -- read data request + constant ctrl_bus_wr_c : natural := 33; -- write data request + constant ctrl_bus_if_c : natural := 34; -- instruction fetch request + constant ctrl_bus_mo_we_c : natural := 35; -- memory address and data output register write enable + constant ctrl_bus_mi_we_c : natural := 36; -- memory data input register write enable + constant ctrl_bus_unsigned_c : natural := 37; -- is unsigned load + constant ctrl_bus_ierr_ack_c : natural := 38; -- acknowledge instruction fetch bus exceptions + constant ctrl_bus_derr_ack_c : natural := 39; -- acknowledge data access bus exceptions + constant ctrl_bus_fence_c : natural := 40; -- executed fence operation + constant ctrl_bus_fencei_c : natural := 41; -- executed fencei operation + constant ctrl_bus_lock_c : natural := 42; -- make atomic/exclusive access lock + constant ctrl_bus_de_lock_c : natural := 43; -- remove atomic/exclusive access + constant ctrl_bus_ch_lock_c : natural := 44; -- evaluate atomic/exclusive lock (SC operation) + -- co-processors -- + constant ctrl_cp_id_lsb_c : natural := 45; -- cp select ID lsb + constant ctrl_cp_id_msb_c : natural := 46; -- cp select ID msb + -- instruction's control blocks (used by cpu co-processors) -- + constant ctrl_ir_funct3_0_c : natural := 47; -- funct3 bit 0 + constant ctrl_ir_funct3_1_c : natural := 48; -- funct3 bit 1 + constant ctrl_ir_funct3_2_c : natural := 49; -- funct3 bit 2 + constant ctrl_ir_funct12_0_c : natural := 50; -- funct12 bit 0 + constant ctrl_ir_funct12_1_c : natural := 51; -- funct12 bit 1 + constant ctrl_ir_funct12_2_c : natural := 52; -- funct12 bit 2 + constant ctrl_ir_funct12_3_c : natural := 53; -- funct12 bit 3 + constant ctrl_ir_funct12_4_c : natural := 54; -- funct12 bit 4 + constant ctrl_ir_funct12_5_c : natural := 55; -- funct12 bit 5 + constant ctrl_ir_funct12_6_c : natural := 56; -- funct12 bit 6 + constant ctrl_ir_funct12_7_c : natural := 57; -- funct12 bit 7 + constant ctrl_ir_funct12_8_c : natural := 58; -- funct12 bit 8 + constant ctrl_ir_funct12_9_c : natural := 59; -- funct12 bit 9 + constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10 + constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11 + constant ctrl_ir_opcode7_0_c : natural := 62; -- opcode7 bit 0 + constant ctrl_ir_opcode7_1_c : natural := 63; -- opcode7 bit 1 + constant ctrl_ir_opcode7_2_c : natural := 64; -- opcode7 bit 2 + constant ctrl_ir_opcode7_3_c : natural := 65; -- opcode7 bit 3 + constant ctrl_ir_opcode7_4_c : natural := 66; -- opcode7 bit 4 + constant ctrl_ir_opcode7_5_c : natural := 67; -- opcode7 bit 5 + constant ctrl_ir_opcode7_6_c : natural := 68; -- opcode7 bit 6 + -- CPU status -- + constant ctrl_priv_lvl_lsb_c : natural := 69; -- privilege level lsb + constant ctrl_priv_lvl_msb_c : natural := 70; -- privilege level msb + constant ctrl_sleep_c : natural := 71; -- set when CPU is in sleep mode + constant ctrl_trap_c : natural := 72; -- set when CPU is entering trap execution + constant ctrl_debug_running_c : natural := 73; -- CPU is in debug mode when set + -- control bus size -- + constant ctrl_width_c : natural := 74; -- control bus size + + -- Comparator Bus ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant cmp_equal_c : natural := 0; + constant cmp_less_c : natural := 1; -- for signed and unsigned comparisons + + -- RISC-V Opcode Layout ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant instr_opcode_lsb_c : natural := 0; -- opcode bit 0 + constant instr_opcode_msb_c : natural := 6; -- opcode bit 6 + constant instr_rd_lsb_c : natural := 7; -- destination register address bit 0 + constant instr_rd_msb_c : natural := 11; -- destination register address bit 4 + constant instr_funct3_lsb_c : natural := 12; -- funct3 bit 0 + constant instr_funct3_msb_c : natural := 14; -- funct3 bit 2 + constant instr_rs1_lsb_c : natural := 15; -- source register 1 address bit 0 + constant instr_rs1_msb_c : natural := 19; -- source register 1 address bit 4 + constant instr_rs2_lsb_c : natural := 20; -- source register 2 address bit 0 + constant instr_rs2_msb_c : natural := 24; -- source register 2 address bit 4 + constant instr_funct7_lsb_c : natural := 25; -- funct7 bit 0 + constant instr_funct7_msb_c : natural := 31; -- funct7 bit 6 + constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0 + constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11 + constant instr_imm12_lsb_c : natural := 20; -- immediate12 bit 0 + constant instr_imm12_msb_c : natural := 31; -- immediate12 bit 11 + constant instr_imm20_lsb_c : natural := 12; -- immediate20 bit 0 + constant instr_imm20_msb_c : natural := 31; -- immediate20 bit 21 + constant instr_csr_id_lsb_c : natural := 20; -- csr select bit 0 + constant instr_csr_id_msb_c : natural := 31; -- csr select bit 11 + constant instr_funct5_lsb_c : natural := 27; -- funct5 select bit 0 + constant instr_funct5_msb_c : natural := 31; -- funct5 select bit 4 + + -- RISC-V Opcodes ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- alu -- + constant opcode_lui_c : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate + constant opcode_auipc_c : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC + constant opcode_alui_c : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7) + constant opcode_alu_c : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7) + -- control flow -- + constant opcode_jal_c : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link + constant opcode_jalr_c : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register + constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3) + -- memory access -- + constant opcode_load_c : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3) + constant opcode_store_c : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3) + -- system/csr -- + constant opcode_fence_c : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i + constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3) + -- atomic memory access (A) -- + constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension) + -- floating point operations (Zfinx-only) (F/D/H/Q) -- + constant opcode_fop_c : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction + + -- RISC-V Funct3 -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- control flow -- + constant funct3_beq_c : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal + constant funct3_bne_c : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal + constant funct3_blt_c : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than + constant funct3_bge_c : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal + constant funct3_bltu_c : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned) + constant funct3_bgeu_c : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned) + -- memory access -- + constant funct3_lb_c : std_ulogic_vector(2 downto 0) := "000"; -- load byte + constant funct3_lh_c : std_ulogic_vector(2 downto 0) := "001"; -- load half word + constant funct3_lw_c : std_ulogic_vector(2 downto 0) := "010"; -- load word + constant funct3_lbu_c : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned) + constant funct3_lhu_c : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned) + constant funct3_sb_c : std_ulogic_vector(2 downto 0) := "000"; -- store byte + constant funct3_sh_c : std_ulogic_vector(2 downto 0) := "001"; -- store half word + constant funct3_sw_c : std_ulogic_vector(2 downto 0) := "010"; -- store word + -- alu -- + constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7 + constant funct3_sll_c : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left + constant funct3_slt_c : std_ulogic_vector(2 downto 0) := "010"; -- set on less + constant funct3_sltu_c : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned + constant funct3_xor_c : std_ulogic_vector(2 downto 0) := "100"; -- xor + constant funct3_sr_c : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7 + constant funct3_or_c : std_ulogic_vector(2 downto 0) := "110"; -- or + constant funct3_and_c : std_ulogic_vector(2 downto 0) := "111"; -- and + -- system/csr -- + constant funct3_env_c : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ... + constant funct3_csrrw_c : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w + constant funct3_csrrs_c : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit + constant funct3_csrrc_c : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit + constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate + constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate + constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate + -- fence -- + constant funct3_fence_c : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP) + constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync + + -- RISC-V Funct12 ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- system -- + constant funct12_ecall_c : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL + constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK + constant funct12_mret_c : std_ulogic_vector(11 downto 0) := x"302"; -- MRET + constant funct12_wfi_c : std_ulogic_vector(11 downto 0) := x"105"; -- WFI + constant funct12_dret_c : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET + + -- RISC-V Funct5 -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- atomic operations -- + constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR + constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC + + -- RISC-V Floating-Point Stuff ------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- formats -- + constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit) + constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit) + constant float_half_c : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit) + constant float_quad_c : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit) + + -- number class flags -- + constant fp_class_neg_inf_c : natural := 0; -- negative infinity + constant fp_class_neg_norm_c : natural := 1; -- negative normal number + constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number + constant fp_class_neg_zero_c : natural := 3; -- negative zero + constant fp_class_pos_zero_c : natural := 4; -- positive zero + constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number + constant fp_class_pos_norm_c : natural := 6; -- positive normal number + constant fp_class_pos_inf_c : natural := 7; -- positive infinity + constant fp_class_snan_c : natural := 8; -- signaling NaN (sNaN) + constant fp_class_qnan_c : natural := 9; -- quiet NaN (qNaN) + + -- exception flags -- + constant fp_exc_nv_c : natural := 0; -- invalid operation + constant fp_exc_dz_c : natural := 1; -- divide by zero + constant fp_exc_of_c : natural := 2; -- overflow + constant fp_exc_uf_c : natural := 3; -- underflow + constant fp_exc_nx_c : natural := 4; -- inexact + + -- special values (single-precision) -- + constant fp_single_qnan_c : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN + constant fp_single_snan_c : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN + constant fp_single_pos_inf_c : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity + constant fp_single_neg_inf_c : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity + constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero + constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero + + -- RISC-V CSR Addresses ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- <<< standard read/write CSRs >>> -- + -- user floating-point CSRs -- + constant csr_class_float_c : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point + constant csr_fflags_c : std_ulogic_vector(11 downto 0) := x"001"; + constant csr_frm_c : std_ulogic_vector(11 downto 0) := x"002"; + constant csr_fcsr_c : std_ulogic_vector(11 downto 0) := x"003"; + -- machine trap setup -- + constant csr_class_setup_c : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup + constant csr_mstatus_c : std_ulogic_vector(11 downto 0) := x"300"; + constant csr_misa_c : std_ulogic_vector(11 downto 0) := x"301"; + constant csr_mie_c : std_ulogic_vector(11 downto 0) := x"304"; + constant csr_mtvec_c : std_ulogic_vector(11 downto 0) := x"305"; + constant csr_mcounteren_c : std_ulogic_vector(11 downto 0) := x"306"; + -- + constant csr_mstatush_c : std_ulogic_vector(11 downto 0) := x"310"; + -- machine configuration -- + constant csr_class_envcfg_c : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration + constant csr_menvcfg_c : std_ulogic_vector(11 downto 0) := x"30a"; + constant csr_menvcfgh_c : std_ulogic_vector(11 downto 0) := x"31a"; + -- machine counter setup -- + constant csr_cnt_setup_c : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup + constant csr_mcountinhibit_c : std_ulogic_vector(11 downto 0) := x"320"; + constant csr_mhpmevent3_c : std_ulogic_vector(11 downto 0) := x"323"; + constant csr_mhpmevent4_c : std_ulogic_vector(11 downto 0) := x"324"; + constant csr_mhpmevent5_c : std_ulogic_vector(11 downto 0) := x"325"; + constant csr_mhpmevent6_c : std_ulogic_vector(11 downto 0) := x"326"; + constant csr_mhpmevent7_c : std_ulogic_vector(11 downto 0) := x"327"; + constant csr_mhpmevent8_c : std_ulogic_vector(11 downto 0) := x"328"; + constant csr_mhpmevent9_c : std_ulogic_vector(11 downto 0) := x"329"; + constant csr_mhpmevent10_c : std_ulogic_vector(11 downto 0) := x"32a"; + constant csr_mhpmevent11_c : std_ulogic_vector(11 downto 0) := x"32b"; + constant csr_mhpmevent12_c : std_ulogic_vector(11 downto 0) := x"32c"; + constant csr_mhpmevent13_c : std_ulogic_vector(11 downto 0) := x"32d"; + constant csr_mhpmevent14_c : std_ulogic_vector(11 downto 0) := x"32e"; + constant csr_mhpmevent15_c : std_ulogic_vector(11 downto 0) := x"32f"; + constant csr_mhpmevent16_c : std_ulogic_vector(11 downto 0) := x"330"; + constant csr_mhpmevent17_c : std_ulogic_vector(11 downto 0) := x"331"; + constant csr_mhpmevent18_c : std_ulogic_vector(11 downto 0) := x"332"; + constant csr_mhpmevent19_c : std_ulogic_vector(11 downto 0) := x"333"; + constant csr_mhpmevent20_c : std_ulogic_vector(11 downto 0) := x"334"; + constant csr_mhpmevent21_c : std_ulogic_vector(11 downto 0) := x"335"; + constant csr_mhpmevent22_c : std_ulogic_vector(11 downto 0) := x"336"; + constant csr_mhpmevent23_c : std_ulogic_vector(11 downto 0) := x"337"; + constant csr_mhpmevent24_c : std_ulogic_vector(11 downto 0) := x"338"; + constant csr_mhpmevent25_c : std_ulogic_vector(11 downto 0) := x"339"; + constant csr_mhpmevent26_c : std_ulogic_vector(11 downto 0) := x"33a"; + constant csr_mhpmevent27_c : std_ulogic_vector(11 downto 0) := x"33b"; + constant csr_mhpmevent28_c : std_ulogic_vector(11 downto 0) := x"33c"; + constant csr_mhpmevent29_c : std_ulogic_vector(11 downto 0) := x"33d"; + constant csr_mhpmevent30_c : std_ulogic_vector(11 downto 0) := x"33e"; + constant csr_mhpmevent31_c : std_ulogic_vector(11 downto 0) := x"33f"; + -- machine trap handling -- + constant csr_class_trap_c : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling + constant csr_mscratch_c : std_ulogic_vector(11 downto 0) := x"340"; + constant csr_mepc_c : std_ulogic_vector(11 downto 0) := x"341"; + constant csr_mcause_c : std_ulogic_vector(11 downto 0) := x"342"; + constant csr_mtval_c : std_ulogic_vector(11 downto 0) := x"343"; + constant csr_mip_c : std_ulogic_vector(11 downto 0) := x"344"; + -- physical memory protection - configuration -- + constant csr_class_pmpcfg_c : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration + constant csr_pmpcfg0_c : std_ulogic_vector(11 downto 0) := x"3a0"; + constant csr_pmpcfg1_c : std_ulogic_vector(11 downto 0) := x"3a1"; + constant csr_pmpcfg2_c : std_ulogic_vector(11 downto 0) := x"3a2"; + constant csr_pmpcfg3_c : std_ulogic_vector(11 downto 0) := x"3a3"; + constant csr_pmpcfg4_c : std_ulogic_vector(11 downto 0) := x"3a4"; + constant csr_pmpcfg5_c : std_ulogic_vector(11 downto 0) := x"3a5"; + constant csr_pmpcfg6_c : std_ulogic_vector(11 downto 0) := x"3a6"; + constant csr_pmpcfg7_c : std_ulogic_vector(11 downto 0) := x"3a7"; + constant csr_pmpcfg8_c : std_ulogic_vector(11 downto 0) := x"3a8"; + constant csr_pmpcfg9_c : std_ulogic_vector(11 downto 0) := x"3a9"; + constant csr_pmpcfg10_c : std_ulogic_vector(11 downto 0) := x"3aa"; + constant csr_pmpcfg11_c : std_ulogic_vector(11 downto 0) := x"3ab"; + constant csr_pmpcfg12_c : std_ulogic_vector(11 downto 0) := x"3ac"; + constant csr_pmpcfg13_c : std_ulogic_vector(11 downto 0) := x"3ad"; + constant csr_pmpcfg14_c : std_ulogic_vector(11 downto 0) := x"3ae"; + constant csr_pmpcfg15_c : std_ulogic_vector(11 downto 0) := x"3af"; + -- physical memory protection - address -- + constant csr_pmpaddr0_c : std_ulogic_vector(11 downto 0) := x"3b0"; + constant csr_pmpaddr1_c : std_ulogic_vector(11 downto 0) := x"3b1"; + constant csr_pmpaddr2_c : std_ulogic_vector(11 downto 0) := x"3b2"; + constant csr_pmpaddr3_c : std_ulogic_vector(11 downto 0) := x"3b3"; + constant csr_pmpaddr4_c : std_ulogic_vector(11 downto 0) := x"3b4"; + constant csr_pmpaddr5_c : std_ulogic_vector(11 downto 0) := x"3b5"; + constant csr_pmpaddr6_c : std_ulogic_vector(11 downto 0) := x"3b6"; + constant csr_pmpaddr7_c : std_ulogic_vector(11 downto 0) := x"3b7"; + constant csr_pmpaddr8_c : std_ulogic_vector(11 downto 0) := x"3b8"; + constant csr_pmpaddr9_c : std_ulogic_vector(11 downto 0) := x"3b9"; + constant csr_pmpaddr10_c : std_ulogic_vector(11 downto 0) := x"3ba"; + constant csr_pmpaddr11_c : std_ulogic_vector(11 downto 0) := x"3bb"; + constant csr_pmpaddr12_c : std_ulogic_vector(11 downto 0) := x"3bc"; + constant csr_pmpaddr13_c : std_ulogic_vector(11 downto 0) := x"3bd"; + constant csr_pmpaddr14_c : std_ulogic_vector(11 downto 0) := x"3be"; + constant csr_pmpaddr15_c : std_ulogic_vector(11 downto 0) := x"3bf"; + constant csr_pmpaddr16_c : std_ulogic_vector(11 downto 0) := x"3c0"; + constant csr_pmpaddr17_c : std_ulogic_vector(11 downto 0) := x"3c1"; + constant csr_pmpaddr18_c : std_ulogic_vector(11 downto 0) := x"3c2"; + constant csr_pmpaddr19_c : std_ulogic_vector(11 downto 0) := x"3c3"; + constant csr_pmpaddr20_c : std_ulogic_vector(11 downto 0) := x"3c4"; + constant csr_pmpaddr21_c : std_ulogic_vector(11 downto 0) := x"3c5"; + constant csr_pmpaddr22_c : std_ulogic_vector(11 downto 0) := x"3c6"; + constant csr_pmpaddr23_c : std_ulogic_vector(11 downto 0) := x"3c7"; + constant csr_pmpaddr24_c : std_ulogic_vector(11 downto 0) := x"3c8"; + constant csr_pmpaddr25_c : std_ulogic_vector(11 downto 0) := x"3c9"; + constant csr_pmpaddr26_c : std_ulogic_vector(11 downto 0) := x"3ca"; + constant csr_pmpaddr27_c : std_ulogic_vector(11 downto 0) := x"3cb"; + constant csr_pmpaddr28_c : std_ulogic_vector(11 downto 0) := x"3cc"; + constant csr_pmpaddr29_c : std_ulogic_vector(11 downto 0) := x"3cd"; + constant csr_pmpaddr30_c : std_ulogic_vector(11 downto 0) := x"3ce"; + constant csr_pmpaddr31_c : std_ulogic_vector(11 downto 0) := x"3cf"; + constant csr_pmpaddr32_c : std_ulogic_vector(11 downto 0) := x"3d0"; + constant csr_pmpaddr33_c : std_ulogic_vector(11 downto 0) := x"3d1"; + constant csr_pmpaddr34_c : std_ulogic_vector(11 downto 0) := x"3d2"; + constant csr_pmpaddr35_c : std_ulogic_vector(11 downto 0) := x"3d3"; + constant csr_pmpaddr36_c : std_ulogic_vector(11 downto 0) := x"3d4"; + constant csr_pmpaddr37_c : std_ulogic_vector(11 downto 0) := x"3d5"; + constant csr_pmpaddr38_c : std_ulogic_vector(11 downto 0) := x"3d6"; + constant csr_pmpaddr39_c : std_ulogic_vector(11 downto 0) := x"3d7"; + constant csr_pmpaddr40_c : std_ulogic_vector(11 downto 0) := x"3d8"; + constant csr_pmpaddr41_c : std_ulogic_vector(11 downto 0) := x"3d9"; + constant csr_pmpaddr42_c : std_ulogic_vector(11 downto 0) := x"3da"; + constant csr_pmpaddr43_c : std_ulogic_vector(11 downto 0) := x"3db"; + constant csr_pmpaddr44_c : std_ulogic_vector(11 downto 0) := x"3dc"; + constant csr_pmpaddr45_c : std_ulogic_vector(11 downto 0) := x"3dd"; + constant csr_pmpaddr46_c : std_ulogic_vector(11 downto 0) := x"3de"; + constant csr_pmpaddr47_c : std_ulogic_vector(11 downto 0) := x"3df"; + constant csr_pmpaddr48_c : std_ulogic_vector(11 downto 0) := x"3e0"; + constant csr_pmpaddr49_c : std_ulogic_vector(11 downto 0) := x"3e1"; + constant csr_pmpaddr50_c : std_ulogic_vector(11 downto 0) := x"3e2"; + constant csr_pmpaddr51_c : std_ulogic_vector(11 downto 0) := x"3e3"; + constant csr_pmpaddr52_c : std_ulogic_vector(11 downto 0) := x"3e4"; + constant csr_pmpaddr53_c : std_ulogic_vector(11 downto 0) := x"3e5"; + constant csr_pmpaddr54_c : std_ulogic_vector(11 downto 0) := x"3e6"; + constant csr_pmpaddr55_c : std_ulogic_vector(11 downto 0) := x"3e7"; + constant csr_pmpaddr56_c : std_ulogic_vector(11 downto 0) := x"3e8"; + constant csr_pmpaddr57_c : std_ulogic_vector(11 downto 0) := x"3e9"; + constant csr_pmpaddr58_c : std_ulogic_vector(11 downto 0) := x"3ea"; + constant csr_pmpaddr59_c : std_ulogic_vector(11 downto 0) := x"3eb"; + constant csr_pmpaddr60_c : std_ulogic_vector(11 downto 0) := x"3ec"; + constant csr_pmpaddr61_c : std_ulogic_vector(11 downto 0) := x"3ed"; + constant csr_pmpaddr62_c : std_ulogic_vector(11 downto 0) := x"3ee"; + constant csr_pmpaddr63_c : std_ulogic_vector(11 downto 0) := x"3ef"; + -- debug mode registers -- + constant csr_class_debug_c : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers + constant csr_dcsr_c : std_ulogic_vector(11 downto 0) := x"7b0"; + constant csr_dpc_c : std_ulogic_vector(11 downto 0) := x"7b1"; + constant csr_dscratch0_c : std_ulogic_vector(11 downto 0) := x"7b2"; + -- machine counters/timers -- + constant csr_mcycle_c : std_ulogic_vector(11 downto 0) := x"b00"; + constant csr_minstret_c : std_ulogic_vector(11 downto 0) := x"b02"; + -- + constant csr_mhpmcounter3_c : std_ulogic_vector(11 downto 0) := x"b03"; + constant csr_mhpmcounter4_c : std_ulogic_vector(11 downto 0) := x"b04"; + constant csr_mhpmcounter5_c : std_ulogic_vector(11 downto 0) := x"b05"; + constant csr_mhpmcounter6_c : std_ulogic_vector(11 downto 0) := x"b06"; + constant csr_mhpmcounter7_c : std_ulogic_vector(11 downto 0) := x"b07"; + constant csr_mhpmcounter8_c : std_ulogic_vector(11 downto 0) := x"b08"; + constant csr_mhpmcounter9_c : std_ulogic_vector(11 downto 0) := x"b09"; + constant csr_mhpmcounter10_c : std_ulogic_vector(11 downto 0) := x"b0a"; + constant csr_mhpmcounter11_c : std_ulogic_vector(11 downto 0) := x"b0b"; + constant csr_mhpmcounter12_c : std_ulogic_vector(11 downto 0) := x"b0c"; + constant csr_mhpmcounter13_c : std_ulogic_vector(11 downto 0) := x"b0d"; + constant csr_mhpmcounter14_c : std_ulogic_vector(11 downto 0) := x"b0e"; + constant csr_mhpmcounter15_c : std_ulogic_vector(11 downto 0) := x"b0f"; + constant csr_mhpmcounter16_c : std_ulogic_vector(11 downto 0) := x"b10"; + constant csr_mhpmcounter17_c : std_ulogic_vector(11 downto 0) := x"b11"; + constant csr_mhpmcounter18_c : std_ulogic_vector(11 downto 0) := x"b12"; + constant csr_mhpmcounter19_c : std_ulogic_vector(11 downto 0) := x"b13"; + constant csr_mhpmcounter20_c : std_ulogic_vector(11 downto 0) := x"b14"; + constant csr_mhpmcounter21_c : std_ulogic_vector(11 downto 0) := x"b15"; + constant csr_mhpmcounter22_c : std_ulogic_vector(11 downto 0) := x"b16"; + constant csr_mhpmcounter23_c : std_ulogic_vector(11 downto 0) := x"b17"; + constant csr_mhpmcounter24_c : std_ulogic_vector(11 downto 0) := x"b18"; + constant csr_mhpmcounter25_c : std_ulogic_vector(11 downto 0) := x"b19"; + constant csr_mhpmcounter26_c : std_ulogic_vector(11 downto 0) := x"b1a"; + constant csr_mhpmcounter27_c : std_ulogic_vector(11 downto 0) := x"b1b"; + constant csr_mhpmcounter28_c : std_ulogic_vector(11 downto 0) := x"b1c"; + constant csr_mhpmcounter29_c : std_ulogic_vector(11 downto 0) := x"b1d"; + constant csr_mhpmcounter30_c : std_ulogic_vector(11 downto 0) := x"b1e"; + constant csr_mhpmcounter31_c : std_ulogic_vector(11 downto 0) := x"b1f"; + -- + constant csr_mcycleh_c : std_ulogic_vector(11 downto 0) := x"b80"; + constant csr_minstreth_c : std_ulogic_vector(11 downto 0) := x"b82"; + -- + constant csr_mhpmcounter3h_c : std_ulogic_vector(11 downto 0) := x"b83"; + constant csr_mhpmcounter4h_c : std_ulogic_vector(11 downto 0) := x"b84"; + constant csr_mhpmcounter5h_c : std_ulogic_vector(11 downto 0) := x"b85"; + constant csr_mhpmcounter6h_c : std_ulogic_vector(11 downto 0) := x"b86"; + constant csr_mhpmcounter7h_c : std_ulogic_vector(11 downto 0) := x"b87"; + constant csr_mhpmcounter8h_c : std_ulogic_vector(11 downto 0) := x"b88"; + constant csr_mhpmcounter9h_c : std_ulogic_vector(11 downto 0) := x"b89"; + constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a"; + constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b"; + constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c"; + constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d"; + constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e"; + constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f"; + constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90"; + constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91"; + constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92"; + constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93"; + constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94"; + constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95"; + constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96"; + constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97"; + constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98"; + constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99"; + constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a"; + constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b"; + constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c"; + constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d"; + constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e"; + constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f"; + + -- <<< standard read-only CSRs >>> -- + -- user counters/timers -- + constant csr_cycle_c : std_ulogic_vector(11 downto 0) := x"c00"; + constant csr_time_c : std_ulogic_vector(11 downto 0) := x"c01"; + constant csr_instret_c : std_ulogic_vector(11 downto 0) := x"c02"; + constant csr_cycleh_c : std_ulogic_vector(11 downto 0) := x"c80"; + constant csr_timeh_c : std_ulogic_vector(11 downto 0) := x"c81"; + constant csr_instreth_c : std_ulogic_vector(11 downto 0) := x"c82"; + -- machine information registers -- + constant csr_mvendorid_c : std_ulogic_vector(11 downto 0) := x"f11"; + constant csr_marchid_c : std_ulogic_vector(11 downto 0) := x"f12"; + constant csr_mimpid_c : std_ulogic_vector(11 downto 0) := x"f13"; + constant csr_mhartid_c : std_ulogic_vector(11 downto 0) := x"f14"; + constant csr_mconfigptr_c : std_ulogic_vector(11 downto 0) := x"f15"; + + -- Co-Processor IDs ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant cp_sel_shifter_c : std_ulogic_vector(1 downto 0) := "00"; -- shift operations (base ISA) + constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "01"; -- multiplication/division operations ('M' extensions) + constant cp_sel_bitmanip_c : std_ulogic_vector(1 downto 0) := "10"; -- bit manipulation ('B' extensions) + constant cp_sel_fpu_c : std_ulogic_vector(1 downto 0) := "11"; -- floating-point unit ('Zfinx' extension) + + -- ALU Function Codes --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- ALU core [DO NOT CHANGE ENCODING!] -- + constant alu_op_add_c : std_ulogic_vector(2 downto 0) := "000"; -- alu_result <= A + B + constant alu_op_sub_c : std_ulogic_vector(2 downto 0) := "001"; -- alu_result <= A - B +--constant alu_op_mova_c : std_ulogic_vector(2 downto 0) := "010"; -- alu_result <= A (rs1) + constant alu_op_slt_c : std_ulogic_vector(2 downto 0) := "011"; -- alu_result <= A < B + constant alu_op_movb_c : std_ulogic_vector(2 downto 0) := "100"; -- alu_result <= B + constant alu_op_xor_c : std_ulogic_vector(2 downto 0) := "101"; -- alu_result <= A xor B + constant alu_op_or_c : std_ulogic_vector(2 downto 0) := "110"; -- alu_result <= A or B + constant alu_op_and_c : std_ulogic_vector(2 downto 0) := "111"; -- alu_result <= A and B + -- function select (actual ALU result) -- + constant alu_func_core_c : std_ulogic_vector(1 downto 0) := "00"; -- r <= alu_result + constant alu_func_nxpc_c : std_ulogic_vector(1 downto 0) := "01"; -- r <= next_PC + constant alu_func_csrr_c : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read + constant alu_func_copro_c : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle) + + -- Trap ID Codes -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- MSB: 1 = async exception (IRQ), 0 = sync exception (e.g. ebreak) + -- MSB-1: 1 = entry to debug mode, 0 = normal trapping + -- RISC-V compliant sync. exceptions -- + constant trap_ima_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0: instruction misaligned + constant trap_iba_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1: instruction access fault + constant trap_iil_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2: illegal instruction + constant trap_brk_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3: breakpoint + constant trap_lma_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4: load address misaligned + constant trap_lbe_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5: load access fault + constant trap_sma_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6: store address misaligned + constant trap_sbe_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7: store access fault + constant trap_uenv_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8: environment call from u-mode + constant trap_menv_c : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode + -- RISC-V compliant interrupts (async. exceptions) -- + constant trap_msi_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3: machine software interrupt + constant trap_mti_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7: machine timer interrupt + constant trap_mei_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt + -- NEORV32-specific (custom) interrupts (async. exceptions) -- + constant trap_firq0_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0 + constant trap_firq1_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1 + constant trap_firq2_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2 + constant trap_firq3_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3 + constant trap_firq4_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4 + constant trap_firq5_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5 + constant trap_firq6_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6 + constant trap_firq7_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7 + constant trap_firq8_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8 + constant trap_firq9_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9 + constant trap_firq10_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10 + constant trap_firq11_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11 + constant trap_firq12_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12 + constant trap_firq13_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13 + constant trap_firq14_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14 + constant trap_firq15_c : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15 + -- entering debug mode - cause -- + constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION) + constant trap_db_halt_c : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ) + constant trap_db_step_c : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ) + + -- CPU Control Exception System ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- exception source bits -- + constant exception_iaccess_c : natural := 0; -- instruction access fault + constant exception_iillegal_c : natural := 1; -- illegal instruction + constant exception_ialign_c : natural := 2; -- instruction address misaligned + constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode + constant exception_u_envcall_c : natural := 4; -- ENV call from u-mode + constant exception_break_c : natural := 5; -- breakpoint + constant exception_salign_c : natural := 6; -- store address misaligned + constant exception_lalign_c : natural := 7; -- load address misaligned + constant exception_saccess_c : natural := 8; -- store access fault + constant exception_laccess_c : natural := 9; -- load access fault + -- for debug mode only -- + constant exception_db_break_c : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION") + -- + constant exception_width_c : natural := 11; -- length of this list in bits + -- interrupt source bits -- + constant interrupt_msw_irq_c : natural := 0; -- machine software interrupt + constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt + constant interrupt_mext_irq_c : natural := 2; -- machine external interrupt + constant interrupt_firq_0_c : natural := 3; -- fast interrupt channel 0 + constant interrupt_firq_1_c : natural := 4; -- fast interrupt channel 1 + constant interrupt_firq_2_c : natural := 5; -- fast interrupt channel 2 + constant interrupt_firq_3_c : natural := 6; -- fast interrupt channel 3 + constant interrupt_firq_4_c : natural := 7; -- fast interrupt channel 4 + constant interrupt_firq_5_c : natural := 8; -- fast interrupt channel 5 + constant interrupt_firq_6_c : natural := 9; -- fast interrupt channel 6 + constant interrupt_firq_7_c : natural := 10; -- fast interrupt channel 7 + constant interrupt_firq_8_c : natural := 11; -- fast interrupt channel 8 + constant interrupt_firq_9_c : natural := 12; -- fast interrupt channel 9 + constant interrupt_firq_10_c : natural := 13; -- fast interrupt channel 10 + constant interrupt_firq_11_c : natural := 14; -- fast interrupt channel 11 + constant interrupt_firq_12_c : natural := 15; -- fast interrupt channel 12 + constant interrupt_firq_13_c : natural := 16; -- fast interrupt channel 13 + constant interrupt_firq_14_c : natural := 17; -- fast interrupt channel 14 + constant interrupt_firq_15_c : natural := 18; -- fast interrupt channel 15 + -- for debug mode only -- + constant interrupt_db_halt_c : natural := 19; -- enter debug mode via external halt request ("async IRQ") + constant interrupt_db_step_c : natural := 20; -- enter debug mode via single-stepping ("async IRQ") + -- + constant interrupt_width_c : natural := 21; -- length of this list in bits + + -- CPU Privilege Modes -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode + constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode + + -- HPM Event System ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + constant hpmcnt_event_cy_c : natural := 0; -- Active cycle + constant hpmcnt_event_never_c : natural := 1; -- Unused / never (actually, this would be used for TIME) + constant hpmcnt_event_ir_c : natural := 2; -- Retired instruction + constant hpmcnt_event_cir_c : natural := 3; -- Retired compressed instruction + constant hpmcnt_event_wait_if_c : natural := 4; -- Instruction fetch memory wait cycle + constant hpmcnt_event_wait_ii_c : natural := 5; -- Instruction issue wait cycle + constant hpmcnt_event_wait_mc_c : natural := 6; -- Multi-cycle ALU-operation wait cycle + constant hpmcnt_event_load_c : natural := 7; -- Load operation + constant hpmcnt_event_store_c : natural := 8; -- Store operation + constant hpmcnt_event_wait_ls_c : natural := 9; -- Load/store memory wait cycle + constant hpmcnt_event_jump_c : natural := 10; -- Unconditional jump + constant hpmcnt_event_branch_c : natural := 11; -- Conditional branch (taken or not taken) + constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch + constant hpmcnt_event_trap_c : natural := 13; -- Entered trap + constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception + -- + constant hpmcnt_event_size_c : natural := 15; -- length of this list + + -- Clock Generator ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + constant clk_div2_c : natural := 0; + constant clk_div4_c : natural := 1; + constant clk_div8_c : natural := 2; + constant clk_div64_c : natural := 3; + constant clk_div128_c : natural := 4; + constant clk_div1024_c : natural := 5; + constant clk_div2048_c : natural := 6; + constant clk_div4096_c : natural := 7; + + -- Component: NEORV32 Processor Top Entity ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + component neorv32_top + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + INT_BOOTLOADER_EN : boolean := false; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean := true; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean := false; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean := false; -- implement multiply-only M sub-extension? + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural := 2; -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + -- Internal Instruction memory (IMEM) -- + MEM_INT_IMEM_EN : boolean := false; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + -- Internal Data memory (DMEM) -- + MEM_INT_DMEM_EN : boolean := false; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + -- Internal Cache memory (iCACHE) -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface (WISHBONE) -- + MEM_EXT_EN : boolean := false; -- implement external memory bus interface? + MEM_EXT_TIMEOUT : natural := 255; -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE : boolean := false; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN : boolean := false; -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX : boolean := false; -- use register buffer for RX data when false + -- Stream link interface (SLINK) -- + SLINK_NUM_TX : natural := 0; -- number of TX links (0..8) + SLINK_NUM_RX : natural := 0; -- number of TX links (0..8) + SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN : boolean := false; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := false; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN : boolean := false; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN : boolean := false; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := false; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 0; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := false; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO : natural := 1; -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)? + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- JTAG on-chip debugger interface -- + jtag_trst_i : in std_ulogic := 'U'; -- low-active TAP reset (optional) + jtag_tck_i : in std_ulogic := 'U'; -- serial clock + jtag_tdi_i : in std_ulogic := 'U'; -- serial data input + jtag_tdo_o : out std_ulogic; -- serial data output + jtag_tms_i : in std_ulogic := 'U'; -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o : out std_ulogic_vector(02 downto 0); -- request tag + wb_adr_o : out std_ulogic_vector(31 downto 0); -- address + wb_dat_i : in std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data + wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data + wb_we_o : out std_ulogic; -- read/write + wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable + wb_stb_o : out std_ulogic; -- strobe + wb_cyc_o : out std_ulogic; -- valid cycle + wb_lock_o : out std_ulogic; -- exclusive access request + wb_ack_i : in std_ulogic := 'L'; -- transfer acknowledge + wb_err_i : in std_ulogic := 'L'; -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o : out std_ulogic; -- indicates an executed FENCE operation + fencei_o : out std_ulogic; -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i : in sdata_8x32_t := (others => (others => 'U')); -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_ulogic_vector(63 downto 0); -- parallel output + gpio_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic := 'U'; -- UART0 receive data + uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_ulogic; -- UART1 send data + uart1_rxd_i : in std_ulogic := 'U'; -- UART1 receive data + uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic := 'U'; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic := 'U'; -- twi serial data line + twi_scl_io : inout std_logic := 'U'; -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + -- Custom Functions Subsystem IO -- + cfs_in_i : in std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0) := (others => 'U'); -- custom CFS inputs conduit + cfs_out_o : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_ulogic; -- async serial data line + -- System time -- + mtime_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i : in std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i : in std_ulogic := 'L'; -- machine software interrupt + mext_irq_i : in std_ulogic := 'L' -- machine external interrupt + ); + end component; + + -- Component: CPU Top Entity -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu + generic ( + -- General -- + HW_THREAD_ID : natural; -- hardware thread id (32-bit) + CPU_BOOT_ADDR : std_ulogic_vector(31 downto 0); -- cpu boot address + CPU_DEBUG_ADDR : std_ulogic_vector(31 downto 0); -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural; -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural -- total size of HPM counters (0..64) + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + sleep_o : out std_ulogic; -- cpu is in sleep mode when set + debug_o : out std_ulogic; -- cpu is in debug mode when set + -- instruction bus interface -- + i_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + i_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + i_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + i_bus_we_o : out std_ulogic; -- write enable + i_bus_re_o : out std_ulogic; -- read enable + i_bus_lock_o : out std_ulogic; -- exclusive access request + i_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + i_bus_err_i : in std_ulogic; -- bus transfer error + i_bus_fence_o : out std_ulogic; -- executed FENCEI operation + i_bus_priv_o : out std_ulogic_vector(1 downto 0); -- privilege level + -- data bus interface -- + d_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + d_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + d_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + d_bus_we_o : out std_ulogic; -- write enable + d_bus_re_o : out std_ulogic; -- read enable + d_bus_lock_o : out std_ulogic; -- exclusive access request + d_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + d_bus_err_i : in std_ulogic; -- bus transfer error + d_bus_fence_o : out std_ulogic; -- executed FENCE operation + d_bus_priv_o : out std_ulogic_vector(1 downto 0); -- privilege level + -- system time input from MTIME -- + time_i : in std_ulogic_vector(63 downto 0); -- current system time + -- interrupts (risc-v compliant) -- + msw_irq_i : in std_ulogic; -- machine software interrupt + mext_irq_i : in std_ulogic; -- machine external interrupt + mtime_irq_i : in std_ulogic; -- machine timer interrupt + -- fast interrupts (custom) -- + firq_i : in std_ulogic_vector(15 downto 0); + -- debug mode (halt) request -- + db_halt_req_i : in std_ulogic + ); + end component; + + -- Component: CPU Control ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_control + generic ( + -- General -- + HW_THREAD_ID : natural; -- hardware thread id (32-bit) + CPU_BOOT_ADDR : std_ulogic_vector(31 downto 0); -- cpu boot address + CPU_DEBUG_ADDR : std_ulogic_vector(31 downto 0); -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural; -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural -- total size of HPM counters (0..64) + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_o : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- status input -- + alu_idone_i : in std_ulogic; -- ALU iterative operation done + bus_i_wait_i : in std_ulogic; -- wait for bus + bus_d_wait_i : in std_ulogic; -- wait for bus + excl_state_i : in std_ulogic; -- atomic/exclusive access lock status + -- data input -- + instr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- instruction + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + alu_add_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + -- data output -- + imm_o : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate + fetch_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch + curr_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction) + next_pc_o : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction) + csr_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data + -- FPU interface -- + fpu_flags_i : in std_ulogic_vector(04 downto 0); -- exception flags + -- debug mode (halt) request -- + db_halt_req_i : in std_ulogic; + -- interrupts (risc-v compliant) -- + msw_irq_i : in std_ulogic; -- machine software interrupt + mext_irq_i : in std_ulogic; -- machine external interrupt + mtime_irq_i : in std_ulogic; -- machine timer interrupt + -- fast interrupts (custom) -- + firq_i : in std_ulogic_vector(15 downto 0); + -- system time input from MTIME -- + time_i : in std_ulogic_vector(63 downto 0); -- current system time + -- physical memory protection -- + pmp_addr_o : out pmp_addr_if_t; -- addresses + pmp_ctrl_o : out pmp_ctrl_if_t; -- configs + -- bus access exceptions -- + mar_i : in std_ulogic_vector(data_width_c-1 downto 0); -- memory address register + ma_instr_i : in std_ulogic; -- misaligned instruction address + ma_load_i : in std_ulogic; -- misaligned load data address + ma_store_i : in std_ulogic; -- misaligned store data address + be_instr_i : in std_ulogic; -- bus error on instruction access + be_load_i : in std_ulogic; -- bus error on load data access + be_store_i : in std_ulogic -- bus error on store data access + ); + end component; + + -- Component: CPU Register File ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_regfile + generic ( + CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension? + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- data input -- + mem_i : in std_ulogic_vector(data_width_c-1 downto 0); -- memory read data + alu_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU result + -- data output -- + rs1_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1 + rs2_o : out std_ulogic_vector(data_width_c-1 downto 0) -- operand 2 + ); + end component; + + -- Component: CPU ALU --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_alu + generic ( + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_B : boolean; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_M : boolean; -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + pc_i : in std_ulogic_vector(data_width_c-1 downto 0); -- current PC + pc2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- next PC + imm_i : in std_ulogic_vector(data_width_c-1 downto 0); -- immediate + csr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data + -- data output -- + cmp_o : out std_ulogic_vector(1 downto 0); -- comparator status + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result + add_o : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result + fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags + -- status -- + idone_o : out std_ulogic -- iterative processing units done? + ); + end component; + + -- Component: CPU Co-Processor SHIFTER ---------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_cp_shifter + generic ( + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + shamt_i : in std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); + end component; + + -- Component: CPU Co-Processor MULDIV ('M' extension) ------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_cp_muldiv + generic ( + FAST_MUL_EN : boolean; -- use DSPs for faster multiplication + DIVISION_EN : boolean -- implement divider hardware + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); + end component; + + -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ---------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_cp_bitmanip is + generic ( + FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + shamt_i : in std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + valid_o : out std_ulogic -- data output valid + ); + end component; + + -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) ----------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_cp_fpu + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + start_i : in std_ulogic; -- trigger operation + -- data input -- + cmp_i : in std_ulogic_vector(1 downto 0); -- comparator status + rs1_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1 + rs2_i : in std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2 + -- result and status -- + res_o : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result + fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags + valid_o : out std_ulogic -- data output valid + ); + end component; + + -- Component: CPU Bus Interface ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_bus + generic ( + CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension? + CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension? + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic := '0'; -- global reset, low-active, async + ctrl_i : in std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus + -- cpu instruction fetch interface -- + fetch_pc_i : in std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch + instr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction + i_wait_o : out std_ulogic; -- wait for fetch to complete + -- + ma_instr_o : out std_ulogic; -- misaligned instruction address + be_instr_o : out std_ulogic; -- bus error on instruction access + -- cpu data access interface -- + addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address + wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- write data + rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- read data + mar_o : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register + d_wait_o : out std_ulogic; -- wait for access to complete + -- + excl_state_o : out std_ulogic; -- atomic/exclusive access status + ma_load_o : out std_ulogic; -- misaligned load data address + ma_store_o : out std_ulogic; -- misaligned store data address + be_load_o : out std_ulogic; -- bus error on load data access + be_store_o : out std_ulogic; -- bus error on store data access + -- physical memory protection -- + pmp_addr_i : in pmp_addr_if_t; -- addresses + pmp_ctrl_i : in pmp_ctrl_if_t; -- configs + -- instruction bus -- + i_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + i_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + i_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + i_bus_we_o : out std_ulogic; -- write enable + i_bus_re_o : out std_ulogic; -- read enable + i_bus_lock_o : out std_ulogic; -- exclusive access request + i_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + i_bus_err_i : in std_ulogic; -- bus transfer error + i_bus_fence_o : out std_ulogic; -- fence operation + -- data bus -- + d_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + d_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + d_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + d_bus_we_o : out std_ulogic; -- write enable + d_bus_re_o : out std_ulogic; -- read enable + d_bus_lock_o : out std_ulogic; -- exclusive access request + d_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + d_bus_err_i : in std_ulogic; -- bus transfer error + d_bus_fence_o : out std_ulogic -- fence operation + ); + end component; + + -- Component: Bus Keeper ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + component neorv32_bus_keeper is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset, low-active, async + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + -- bus monitoring -- + bus_addr_i : in std_ulogic_vector(31 downto 0); -- address + bus_rden_i : in std_ulogic; -- read enable + bus_wren_i : in std_ulogic; -- write enable + bus_ack_i : in std_ulogic; -- transfer acknowledge from bus system + bus_err_i : in std_ulogic; -- transfer error from bus system + bus_tmo_i : in std_ulogic; -- transfer timeout (external interface) + bus_ext_i : in std_ulogic -- external bus access + ); + end component; + + -- Component: CPU Instruction Cache ------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_icache + generic ( + ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS : natural -- associativity / number of sets (1=direct_mapped), has to be a power of 2 + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + clear_i : in std_ulogic; -- cache clear + -- host controller interface -- + host_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + host_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + host_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + host_we_i : in std_ulogic; -- write enable + host_re_i : in std_ulogic; -- read enable + host_ack_o : out std_ulogic; -- bus transfer acknowledge + host_err_o : out std_ulogic; -- bus transfer error + -- peripheral bus interface -- + bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + bus_we_o : out std_ulogic; -- write enable + bus_re_o : out std_ulogic; -- read enable + bus_ack_i : in std_ulogic; -- bus transfer acknowledge + bus_err_i : in std_ulogic -- bus transfer error + ); + end component; + + -- Component: CPU Bus Switch -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_busswitch + generic ( + PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only + PORT_CB_READ_ONLY : boolean -- set if controller port B is read-only + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- controller interface a -- + ca_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + ca_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + ca_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + ca_bus_we_i : in std_ulogic; -- write enable + ca_bus_re_i : in std_ulogic; -- read enable + ca_bus_lock_i : in std_ulogic; -- exclusive access request + ca_bus_ack_o : out std_ulogic; -- bus transfer acknowledge + ca_bus_err_o : out std_ulogic; -- bus transfer error + -- controller interface b -- + cb_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + cb_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + cb_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable + cb_bus_we_i : in std_ulogic; -- write enable + cb_bus_re_i : in std_ulogic; -- read enable + cb_bus_lock_i : in std_ulogic; -- exclusive access request + cb_bus_ack_o : out std_ulogic; -- bus transfer acknowledge + cb_bus_err_o : out std_ulogic; -- bus transfer error + -- peripheral bus -- + p_bus_src_o : out std_ulogic; -- access source: 0 = A, 1 = B + p_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + p_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + p_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + p_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable + p_bus_we_o : out std_ulogic; -- write enable + p_bus_re_o : out std_ulogic; -- read enable + p_bus_lock_o : out std_ulogic; -- exclusive access request + p_bus_ack_i : in std_ulogic; -- bus transfer acknowledge + p_bus_err_i : in std_ulogic -- bus transfer error + ); + end component; + + -- Component: CPU Compressed Instructions Decompressor ------------------------------------ + -- ------------------------------------------------------------------------------------------- + component neorv32_cpu_decompressor + port ( + -- instruction input -- + ci_instr16_i : in std_ulogic_vector(15 downto 0); -- compressed instruction input + -- instruction output -- + ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction + ci_instr32_o : out std_ulogic_vector(31 downto 0) -- 32-bit decompressed instruction + ); + end component; + + -- Component: Processor-internal instruction memory (IMEM) -------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_imem + generic ( + IMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address + IMEM_SIZE : natural; -- processor-internal instruction memory size in bytes + IMEM_AS_IROM : boolean -- implement IMEM as pre-initialized read-only memory? + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); + end component; + + -- Component: Processor-internal data memory (DMEM) --------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_dmem + generic ( + DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address + DMEM_SIZE : natural -- processor-internal instruction memory size in bytes + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); + end component; + + -- Component: Processor-internal bootloader ROM (BOOTROM) --------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_boot_rom + generic ( + BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address + ); + port ( + clk_i : in std_ulogic; -- global clock line + rden_i : in std_ulogic; -- read enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); + end component; + + -- Component: Machine System Timer (mtime) ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + component neorv32_mtime + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- time output for CPU -- + time_o : out std_ulogic_vector(63 downto 0); -- current system time + -- interrupt -- + irq_o : out std_ulogic -- interrupt request + ); + end component; + + -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------ + -- ------------------------------------------------------------------------------------------- + component neorv32_gpio + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- parallel io -- + gpio_o : out std_ulogic_vector(63 downto 0); + gpio_i : in std_ulogic_vector(63 downto 0) + ); + end component; + + -- Component: Watchdog Timer (WDT) -------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_wdt + generic ( + DEBUG_EN : boolean -- CPU debug mode implemented? + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + addr_i : in std_ulogic_vector(31 downto 0); -- address + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- CPU in debug mode? -- + cpu_debug_i : in std_ulogic; + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- timeout event -- + irq_o : out std_ulogic; -- timeout IRQ + rstn_o : out std_ulogic -- timeout reset, low_active, use it as async! + ); + end component; + + -- Component: Universal Asynchronous Receiver and Transmitter (UART) ---------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_uart + generic ( + UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1) + UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1 + UART_TX_FIFO : natural -- TX fifo depth, has to be a power of two, min 1 + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- hardware flow control -- + uart_rts_o : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional + uart_cts_i : in std_ulogic; -- UART.TX allowed to transmit, low-active, optional + -- interrupts -- + irq_rxd_o : out std_ulogic; -- uart data received interrupt + irq_txd_o : out std_ulogic -- uart transmission done interrupt + ); + end component; + + -- Component: Serial Peripheral Interface (SPI) ------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_spi + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- SPI CS + -- interrupt -- + irq_o : out std_ulogic -- transmission done interrupt + ); + end component; + + -- Component: Two-Wire Interface (TWI) ---------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_twi + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + twi_sda_io : inout std_logic; -- serial data line + twi_scl_io : inout std_logic; -- serial clock line + -- interrupt -- + irq_o : out std_ulogic -- transfer done IRQ + ); + end component; + + -- Component: Pulse-Width Modulation Controller (PWM) ------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_pwm + generic ( + NUM_CHANNELS : natural -- number of PWM channels (0..60) + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- pwm output channels -- + pwm_o : out std_ulogic_vector(NUM_CHANNELS-1 downto 0) + ); + end component; + + -- Component: True Random Number Generator (TRNG) ----------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_trng + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); + end component; + + -- Component: Wishbone Bus Gateway (WISHBONE) --------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_wishbone + generic ( + -- Internal instruction memory -- + MEM_INT_IMEM_EN : boolean; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes + -- Internal data memory -- + MEM_INT_DMEM_EN : boolean; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes + -- Interface Configuration -- + BUS_TIMEOUT : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception + PIPE_MODE : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + BIG_ENDIAN : boolean; -- byte order: true=big-endian, false=little-endian + ASYNC_RX : boolean -- use register buffer for RX data when false + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- host access -- + src_i : in std_ulogic; -- access type (0: data, 1:instruction) + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + lock_i : in std_ulogic; -- exclusive access request + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + tmo_o : out std_ulogic; -- transfer timeout + priv_i : in std_ulogic_vector(01 downto 0); -- current CPU privilege level + ext_o : out std_ulogic; -- active external access + -- wishbone interface -- + wb_tag_o : out std_ulogic_vector(02 downto 0); -- request tag + wb_adr_o : out std_ulogic_vector(31 downto 0); -- address + wb_dat_i : in std_ulogic_vector(31 downto 0); -- read data + wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data + wb_we_o : out std_ulogic; -- read/write + wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable + wb_stb_o : out std_ulogic; -- strobe + wb_cyc_o : out std_ulogic; -- valid cycle + wb_lock_o : out std_ulogic; -- exclusive access request + wb_ack_i : in std_ulogic; -- transfer acknowledge + wb_err_i : in std_ulogic -- transfer error + ); + end component; + + -- Component: Custom Functions Subsystem (CFS) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_cfs + generic ( + CFS_CONFIG : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic + CFS_IN_SIZE : positive; -- size of CFS input conduit in bits + CFS_OUT_SIZE : positive -- size of CFS output conduit in bits + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active, use as async + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- word write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); -- "clock" inputs + -- interrupt -- + irq_o : out std_ulogic; -- interrupt request + -- custom io (conduit) -- + cfs_in_i : in std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs + cfs_out_o : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs + ); + end component; + + -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) -------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_neoled + generic ( + FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two) + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- interrupt -- + irq_o : out std_ulogic; -- interrupt request + -- NEOLED output -- + neoled_o : out std_ulogic -- serial async data line + ); + end component; + + -- Component: Stream Link Interface (SLINK) ----------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_slink + generic ( + SLINK_NUM_TX : natural; -- number of TX links (0..8) + SLINK_NUM_RX : natural; -- number of TX links (0..8) + SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural -- RX fifo depth, has to be a power of two + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- interrupt -- + irq_tx_o : out std_ulogic; -- transmission done + irq_rx_o : out std_ulogic; -- data received + -- TX stream interfaces -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0); -- ready to send + -- RX stream interfaces -- + slink_rx_dat_i : in sdata_8x32_t; -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0) -- ready to receive + ); + end component; + + -- Component: External Interrupt Controller (XIRQ) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_xirq + generic ( + XIRQ_NUM_CH : natural; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- external interrupt lines -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + -- CPU interrupt -- + cpu_irq_o : out std_ulogic + ); + end component; + + -- Component: General Purpose Timer (GPTMR) ----------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_gptmr + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- interrupt -- + irq_o : out std_ulogic -- transmission done interrupt + ); + end component; + + -- Component: System Configuration Information Memory (SYSINFO) --------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_sysinfo + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN : boolean; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural; -- i-cache: number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2 + -- External memory interface -- + MEM_EXT_EN : boolean; -- implement external memory bus interface? + MEM_EXT_BIG_ENDIAN : boolean; -- byte order: true=big-endian, false=little-endian + -- On-Chip Debugger -- + ON_CHIP_DEBUGGER_EN : boolean; -- implement OCD? + -- Processor peripherals -- + IO_GPIO_EN : boolean; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN : boolean; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural; -- number of PWM channels to implement + IO_WDT_EN : boolean; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean; -- implement custom functions subsystem (CFS)? + IO_SLINK_EN : boolean; -- implement stream link interface? + IO_NEOLED_EN : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_XIRQ_NUM_CH : natural; -- number of external interrupt (XIRQ) channels to implement + IO_GPTMR_EN : boolean -- implement general purpose timer (GPTMR)? + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); + end component; + + -- Component: General Purpose FIFO -------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_fifo + generic ( + FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH : natural; -- size of data elements in fifo + FIFO_RSYNC : boolean; -- false = async read; true = sync read + FIFO_SAFE : boolean -- true = allow read/write only if entry available + ); + port ( + -- control -- + clk_i : in std_ulogic; -- clock, rising edge + rstn_i : in std_ulogic; -- async reset, low-active + clear_i : in std_ulogic; -- sync reset, high-active + level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level + half_o : out std_ulogic; -- FIFO is at least half full + -- write port -- + wdata_i : in std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data + we_i : in std_ulogic; -- write enable + free_o : out std_ulogic; -- at least one entry is free when set + -- read port -- + re_i : in std_ulogic; -- read enable + rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data + avail_o : out std_ulogic -- data available when set + ); + end component; + + -- Component: On-Chip Debugger - Debug Module (DM) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_debug_dm + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- debug module interface (DMI) -- + dmi_rstn_i : in std_ulogic; + dmi_req_valid_i : in std_ulogic; + dmi_req_ready_o : out std_ulogic; -- DMI is allowed to make new requests when set + dmi_req_addr_i : in std_ulogic_vector(06 downto 0); + dmi_req_op_i : in std_ulogic; -- 0=read, 1=write + dmi_req_data_i : in std_ulogic_vector(31 downto 0); + dmi_resp_valid_o : out std_ulogic; -- response valid when set + dmi_resp_ready_i : in std_ulogic; -- ready to receive respond + dmi_resp_data_o : out std_ulogic_vector(31 downto 0); + dmi_resp_err_o : out std_ulogic; -- 0=ok, 1=error + -- CPU bus access -- + cpu_addr_i : in std_ulogic_vector(31 downto 0); -- address + cpu_rden_i : in std_ulogic; -- read enable + cpu_wren_i : in std_ulogic; -- write enable + cpu_data_i : in std_ulogic_vector(31 downto 0); -- data in + cpu_data_o : out std_ulogic_vector(31 downto 0); -- data out + cpu_ack_o : out std_ulogic; -- transfer acknowledge + -- CPU control -- + cpu_ndmrstn_o : out std_ulogic; -- soc reset + cpu_halt_req_o : out std_ulogic -- request hart to halt (enter debug mode) + ); + end component; + + -- Component: On-Chip Debugger - Debug Transport Module (DTM) ----------------------------- + -- ------------------------------------------------------------------------------------------- + component neorv32_debug_dtm + generic ( + IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version + IDCODE_PARTID : std_ulogic_vector(15 downto 0); -- part number + IDCODE_MANID : std_ulogic_vector(10 downto 0) -- manufacturer id + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- jtag connection -- + jtag_trst_i : in std_ulogic; + jtag_tck_i : in std_ulogic; + jtag_tdi_i : in std_ulogic; + jtag_tdo_o : out std_ulogic; + jtag_tms_i : in std_ulogic; + -- debug module interface (DMI) -- + dmi_rstn_o : out std_ulogic; + dmi_req_valid_o : out std_ulogic; + dmi_req_ready_i : in std_ulogic; -- DMI is allowed to make new requests when set + dmi_req_addr_o : out std_ulogic_vector(06 downto 0); + dmi_req_op_o : out std_ulogic; -- 0=read, 1=write + dmi_req_data_o : out std_ulogic_vector(31 downto 0); + dmi_resp_valid_i : in std_ulogic; -- response valid when set + dmi_resp_ready_o : out std_ulogic; -- ready to receive respond + dmi_resp_data_i : in std_ulogic_vector(31 downto 0); + dmi_resp_err_i : in std_ulogic -- 0=ok, 1=error + ); + end component; + +end neorv32_package; + +package body neorv32_package is + + -- Function: Minimal required number of bits to represent numbers ----------------- + -- ------------------------------------------------------------------------------------------- + function index_size_f(input : natural) return natural is + begin + for i in 0 to natural'high loop + if (2**i >= input) then + return i; + end if; + end loop; -- i + return 0; + end function index_size_f; + + -- Function: Conditional select natural --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is + begin + if (cond = true) then + return val_t; + else + return val_f; + end if; + end function cond_sel_natural_f; + + -- Function: Conditional select integer --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is + begin + if (cond = true) then + return val_t; + else + return val_f; + end if; + end function cond_sel_int_f; + + -- Function: Conditional select std_ulogic_vector ----------------------------------------- + -- ------------------------------------------------------------------------------------------- + function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is + begin + if (cond = true) then + return val_t; + else + return val_f; + end if; + end function cond_sel_stdulogicvector_f; + + -- Function: Conditional select std_ulogic ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is + begin + if (cond = true) then + return val_t; + else + return val_f; + end if; + end function cond_sel_stdulogic_f; + + -- Function: Conditional select string ---------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is + begin + if (cond = true) then + return val_t; + else + return val_f; + end if; + end function cond_sel_string_f; + + -- Function: Convert bool to std_ulogic --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function bool_to_ulogic_f(cond : boolean) return std_ulogic is + begin + if (cond = true) then + return '1'; + else + return '0'; + end if; + end function bool_to_ulogic_f; + + -- Function: OR-reduce all bits ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function or_reduce_f(a : std_ulogic_vector) return std_ulogic is + variable tmp_v : std_ulogic; + begin + tmp_v := '0'; + for i in a'range loop + tmp_v := tmp_v or a(i); + end loop; -- i + return tmp_v; + end function or_reduce_f; + + -- Function: AND-reduce all bits ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function and_reduce_f(a : std_ulogic_vector) return std_ulogic is + variable tmp_v : std_ulogic; + begin + tmp_v := '1'; + for i in a'range loop + tmp_v := tmp_v and a(i); + end loop; -- i + return tmp_v; + end function and_reduce_f; + + -- Function: XOR-reduce all bits ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is + variable tmp_v : std_ulogic; + begin + tmp_v := '0'; + for i in a'range loop + tmp_v := tmp_v xor a(i); + end loop; -- i + return tmp_v; + end function xor_reduce_f; + + -- Function: Convert std_ulogic_vector to hex char ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is + variable output_v : character; + begin + case input is + when x"0" => output_v := '0'; + when x"1" => output_v := '1'; + when x"2" => output_v := '2'; + when x"3" => output_v := '3'; + when x"4" => output_v := '4'; + when x"5" => output_v := '5'; + when x"6" => output_v := '6'; + when x"7" => output_v := '7'; + when x"8" => output_v := '8'; + when x"9" => output_v := '9'; + when x"a" => output_v := 'a'; + when x"b" => output_v := 'b'; + when x"c" => output_v := 'c'; + when x"d" => output_v := 'd'; + when x"e" => output_v := 'e'; + when x"f" => output_v := 'f'; + when others => output_v := '?'; + end case; + return output_v; + end function to_hexchar_f; + + -- Function: Convert hex char to std_ulogic_vector ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is + variable hex_value_v : std_ulogic_vector(3 downto 0); + begin + case input is + when '0' => hex_value_v := x"0"; + when '1' => hex_value_v := x"1"; + when '2' => hex_value_v := x"2"; + when '3' => hex_value_v := x"3"; + when '4' => hex_value_v := x"4"; + when '5' => hex_value_v := x"5"; + when '6' => hex_value_v := x"6"; + when '7' => hex_value_v := x"7"; + when '8' => hex_value_v := x"8"; + when '9' => hex_value_v := x"9"; + when 'a' | 'A' => hex_value_v := x"a"; + when 'b' | 'B' => hex_value_v := x"b"; + when 'c' | 'C' => hex_value_v := x"c"; + when 'd' | 'D' => hex_value_v := x"d"; + when 'e' | 'E' => hex_value_v := x"e"; + when 'f' | 'F' => hex_value_v := x"f"; + when others => hex_value_v := (others => 'X'); + end case; + return hex_value_v; + end function hexchar_to_stdulogicvector_f; + + -- Function: Bit reversal ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is + variable output_v : std_ulogic_vector(input'range); + begin + for i in 0 to input'length-1 loop + output_v(input'length-i-1) := input(i); + end loop; -- i + return output_v; + end function bit_rev_f; + + -- Function: Test if input number is a power of two --------------------------------------- + -- ------------------------------------------------------------------------------------------- + function is_power_of_two_f(input : natural) return boolean is + begin + if (input = 1) then -- 2^0 + return true; + elsif ((input / 2) /= 0) and ((input mod 2) = 0) then + return true; + else + return false; + end if; + end function is_power_of_two_f; + + -- Function: Swap all bytes of a 32-bit word (endianness conversion) ---------------------- + -- ------------------------------------------------------------------------------------------- + function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is + variable output_v : std_ulogic_vector(input'range); + begin + output_v(07 downto 00) := input(31 downto 24); + output_v(15 downto 08) := input(23 downto 16); + output_v(23 downto 16) := input(15 downto 08); + output_v(31 downto 24) := input(07 downto 00); + return output_v; + end function bswap32_f; + + -- Function: Convert char to lowercase ---------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function char_to_lower_f(ch : character) return character is + variable res: character; + begin + case ch is + when 'A' => res := 'a'; + when 'B' => res := 'b'; + when 'C' => res := 'c'; + when 'D' => res := 'd'; + when 'E' => res := 'e'; + when 'F' => res := 'f'; + when 'G' => res := 'g'; + when 'H' => res := 'h'; + when 'I' => res := 'i'; + when 'J' => res := 'j'; + when 'K' => res := 'k'; + when 'L' => res := 'l'; + when 'M' => res := 'm'; + when 'N' => res := 'n'; + when 'O' => res := 'o'; + when 'P' => res := 'p'; + when 'Q' => res := 'q'; + when 'R' => res := 'r'; + when 'S' => res := 's'; + when 'T' => res := 't'; + when 'U' => res := 'u'; + when 'V' => res := 'v'; + when 'W' => res := 'w'; + when 'X' => res := 'x'; + when 'Y' => res := 'y'; + when 'Z' => res := 'z'; + when others => res := ch; + end case; + return res; + end function char_to_lower_f; + + -- Function: Compare strings (convert to lower case, check lengths) ----------------------- + -- ------------------------------------------------------------------------------------------- + function str_equal_f(str0 : string; str1 : string) return boolean is + variable tmp0_v : string(str0'range); + variable tmp1_v : string(str1'range); + begin + if (str0'length /= str1'length) then -- equal length? + return false; + else + -- convert to lower case -- + for i in str0'range loop + tmp0_v(i) := char_to_lower_f(str0(i)); + end loop; + for i in str1'range loop + tmp1_v(i) := char_to_lower_f(str1(i)); + end loop; + -- compare lowercase strings -- + if (tmp0_v = tmp1_v) then + return true; + else + return false; + end if; + end if; + end function str_equal_f; + + -- Function: Population count (number of set bits) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + function popcount_f(input : std_ulogic_vector) return natural is + variable cnt_v : natural range 0 to input'length; + begin + cnt_v := 0; + for i in input'length-1 downto 0 loop + if (input(i) = '1') then + cnt_v := cnt_v + 1; + end if; + end loop; -- i + return cnt_v; + end function popcount_f; + + -- Function: Count leading zeros ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + function leading_zeros_f(input : std_ulogic_vector) return natural is + variable cnt_v : natural range 0 to input'length; + begin + cnt_v := 0; + for i in input'length-1 downto 0 loop + if (input(i) = '0') then + cnt_v := cnt_v + 1; + else + exit; + end if; + end loop; -- i + return cnt_v; + end function leading_zeros_f; + + -- Function: Initialize mem32_t array from another mem32_t array -------------------------- + -- ------------------------------------------------------------------------------------------- + -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed + impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is + variable mem_v : mem32_t(0 to depth-1); + begin + mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero + if (init'length > depth) then + return mem_v; + end if; + for idx_v in 0 to init'length-1 loop -- init only in range of source data array + mem_v(idx_v) := init(idx_v); + end loop; -- idx_v + return mem_v; + end function mem32_init_f; + + +end neorv32_package; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_pwm.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_pwm.vhd new file mode 100644 index 0000000..f9813ab --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_pwm.vhd @@ -0,0 +1,200 @@ +-- ################################################################################################# +-- # << NEORV32 - Pulse Width Modulation Controller (PWM) >> # +-- # ********************************************************************************************* # +-- # Simple PWM controller with 8 bit resolution for the duty cycle and programmable base # +-- # frequency. The controller supports up to 60 PWM channels. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_pwm is + generic ( + NUM_CHANNELS : natural -- number of PWM channels (0..60) + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- pwm output channels -- + pwm_o : out std_ulogic_vector(NUM_CHANNELS-1 downto 0) + ); +end neorv32_pwm; + +architecture neorv32_pwm_rtl of neorv32_pwm is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(pwm_size_c); -- low address boundary bit + + -- Control register bits -- + constant ctrl_enable_c : natural := 0; -- r/w: PWM enable + constant ctrl_prsc0_bit_c : natural := 1; -- r/w: prescaler select bit 0 + constant ctrl_prsc1_bit_c : natural := 2; -- r/w: prescaler select bit 1 + constant ctrl_prsc2_bit_c : natural := 3; -- r/w: prescaler select bit 2 + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- write enable + signal rden : std_ulogic; -- read enable + + -- accessible regs -- + type pwm_ch_t is array (0 to NUM_CHANNELS-1) of std_ulogic_vector(7 downto 0); + signal pwm_ch : pwm_ch_t; -- duty cycle (r/w) + signal enable : std_ulogic; -- enable unit (r/w) + signal prsc : std_ulogic_vector(2 downto 0); -- clock prescaler (r/w) + + type pwm_ch_rd_t is array (0 to 60-1) of std_ulogic_vector(7 downto 0); + signal pwm_ch_rd : pwm_ch_rd_t; -- duty cycle read-back + + -- prescaler clock generator -- + signal prsc_tick : std_ulogic; + + -- pwm core counter -- + signal pwm_cnt : std_ulogic_vector(7 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (NUM_CHANNELS > 60) report "NEORV32 PROCESSOR CONFIG ERROR! invalid number of channels! Has to be 0..60.!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = pwm_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= pwm_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + rden <= acc_en and rden_i; + wren <= acc_en and wren_i; + + + -- Write access --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + wr_access: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= rden or wren; + + -- write access -- + if (wren = '1') then + -- control register -- + if (addr = pwm_ctrl_addr_c) then + enable <= data_i(ctrl_enable_c); + prsc <= data_i(ctrl_prsc2_bit_c downto ctrl_prsc0_bit_c); + end if; + -- duty cycle registers -- + for i in 0 to NUM_CHANNELS-1 loop -- channel loop + if (addr(5 downto 2) = std_ulogic_vector(to_unsigned((i/4)+1, 4))) then -- 4 channels per register; add ctrl reg offset + pwm_ch(i) <= data_i((i mod 4)*8+7 downto (i mod 4)*8+0); + end if; + end loop; + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + case addr(5 downto 2) is + when x"0" => data_o(ctrl_enable_c) <= enable; data_o(ctrl_prsc2_bit_c downto ctrl_prsc0_bit_c) <= prsc; + when x"1" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(3) & pwm_ch_rd(2) & pwm_ch_rd(1) & pwm_ch_rd(0); else NULL; end if; + when x"2" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(7) & pwm_ch_rd(6) & pwm_ch_rd(5) & pwm_ch_rd(4); else NULL; end if; + when x"3" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(11) & pwm_ch_rd(10) & pwm_ch_rd(9) & pwm_ch_rd(8); else NULL; end if; + when x"4" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(15) & pwm_ch_rd(14) & pwm_ch_rd(13) & pwm_ch_rd(12); else NULL; end if; + when x"5" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(19) & pwm_ch_rd(18) & pwm_ch_rd(17) & pwm_ch_rd(16); else NULL; end if; + when x"6" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(23) & pwm_ch_rd(22) & pwm_ch_rd(21) & pwm_ch_rd(20); else NULL; end if; + when x"7" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(27) & pwm_ch_rd(26) & pwm_ch_rd(25) & pwm_ch_rd(24); else NULL; end if; + when x"8" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(31) & pwm_ch_rd(30) & pwm_ch_rd(29) & pwm_ch_rd(28); else NULL; end if; + when x"9" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(35) & pwm_ch_rd(34) & pwm_ch_rd(33) & pwm_ch_rd(32); else NULL; end if; + when x"a" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(39) & pwm_ch_rd(38) & pwm_ch_rd(37) & pwm_ch_rd(36); else NULL; end if; + when x"b" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(43) & pwm_ch_rd(42) & pwm_ch_rd(41) & pwm_ch_rd(40); else NULL; end if; + when x"c" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(47) & pwm_ch_rd(46) & pwm_ch_rd(45) & pwm_ch_rd(44); else NULL; end if; + when x"d" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(51) & pwm_ch_rd(50) & pwm_ch_rd(49) & pwm_ch_rd(48); else NULL; end if; + when x"e" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(55) & pwm_ch_rd(54) & pwm_ch_rd(53) & pwm_ch_rd(52); else NULL; end if; + when x"f" => if (NUM_CHANNELS > 0) then data_o <= pwm_ch_rd(59) & pwm_ch_rd(58) & pwm_ch_rd(57) & pwm_ch_rd(56); else NULL; end if; + when others => NULL; + end case; + end if; + end if; + end process wr_access; + + -- duty cycle read-back -- + pwm_dc_rd_gen: process(pwm_ch) + begin + pwm_ch_rd <= (others => (others => '0')); + for i in 0 to NUM_CHANNELS-1 loop + pwm_ch_rd(i) <= pwm_ch(i); + end loop; + end process pwm_dc_rd_gen; + + -- PWM clock select -- + clkgen_en_o <= enable; -- enable clock generator + prsc_tick <= clkgen_i(to_integer(unsigned(prsc))); + + + -- PWM Core ------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + pwm_core: process(clk_i) + begin + if rising_edge(clk_i) then + -- pwm base counter -- + if (enable = '0') then + pwm_cnt <= (others => '0'); + elsif (prsc_tick = '1') then + pwm_cnt <= std_ulogic_vector(unsigned(pwm_cnt) + 1); + end if; + + -- channels -- + for i in 0 to NUM_CHANNELS-1 loop + if (unsigned(pwm_cnt) >= unsigned(pwm_ch(i))) or (enable = '0') then + pwm_o(i) <= '0'; + else + pwm_o(i) <= '1'; + end if; + end loop; + end if; + end process pwm_core; + + +end neorv32_pwm_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_slink.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_slink.vhd new file mode 100644 index 0000000..af25984 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_slink.vhd @@ -0,0 +1,439 @@ +-- ################################################################################################# +-- # << NEORV32 - Stream Link Interface (SLINK) >> # +-- # ********************************************************************************************* # +-- # Up to 8 input (RX) and up to 8 output (TX) stream links are supported. Each link provides an # +-- # internal FIFO for buffering. Each stream direction provides a global interrupt to indicate # +-- # that a RX link has received new data or that a TX link has finished sending data # +-- # (if FIFO_DEPTH = 1) OR if RX/TX link FIFO has become half full (if FIFO_DEPTH > 1). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_slink is + generic ( + SLINK_NUM_TX : natural; -- number of TX links (0..8) + SLINK_NUM_RX : natural; -- number of TX links (0..8) + SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural -- RX fifo depth, has to be a power of two + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- interrupt -- + irq_tx_o : out std_ulogic; -- transmission done + irq_rx_o : out std_ulogic; -- data received + -- TX stream interfaces -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0); -- ready to send + -- RX stream interfaces -- + slink_rx_dat_i : in sdata_8x32_t; -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0) -- ready to receive + ); +end neorv32_slink; + +architecture neorv32_slink_rtl of neorv32_slink is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(slink_size_c); -- low address boundary bit + + -- control register bits -- + constant ctrl_rx_num_lsb_c : natural := 0; -- r/-: number of implemented RX links + constant ctrl_rx_num_msb_c : natural := 3; + -- + constant ctrl_tx_num_lsb_c : natural := 4; -- r/-: number of implemented TX links + constant ctrl_tx_num_msb_c : natural := 7; + -- + constant ctrl_rx_size_lsb_c : natural := 8; -- r/-: log2(RX FIFO size) + constant ctrl_rx_size_msb_c : natural := 11; + -- + constant ctrl_tx_size_lsb_c : natural := 12; -- r/-: log2(TX FIFO size) + constant ctrl_tx_size_msb_c : natural := 15; + -- + constant ctrl_en_c : natural := 31; -- r/w: global enable + + -- interrupt configuration register bits -- + constant irq_rx_en_lsb_c : natural := 0; -- r/w: enable RX interrupt for link 0..7 + constant irq_rx_en_msb_c : natural := 7; + -- + constant irq_rx_mode_lsb_c : natural := 8; -- r/w: RX IRQ mode: 0=FIFO at least half-full; 1=FIFO not empty + constant irq_rx_mode_msb_c : natural := 15; + -- + constant irq_tx_en_lsb_c : natural := 16; -- r/w: enable TX interrupt for link 0..7 + constant irq_tx_en_msb_c : natural := 23; + -- + constant irq_tx_mode_lsb_c : natural := 24; -- r/w: TX IRQ mode: 0=FIFO less than half-full; 1=FIFO not full + constant irq_tx_mode_msb_c : natural := 31; + + -- status register bits -- + constant status_rx_avail_lsb_c : natural := 0; -- r/-: set if RX link 0..7 FIFO is NOT empty + constant status_rx_avail_msb_c : natural := 7; + -- + constant status_tx_free_lsb_c : natural := 8; -- r/-: set if TX link 0..7 FIFO is NOT full + constant status_tx_free_msb_c : natural := 15; + -- + constant status_rx_half_lsb_c : natural := 16; -- r/-: set if RX link 0..7 FIFO fill-level is >= half-full + constant status_rx_half_msb_c : natural := 23; + -- + constant status_tx_half_lsb_c : natural := 24; -- r/-: set if TX link 0..7 FIFO fill-level is > half-full + constant status_tx_half_msb_c : natural := 31; + + -- bus access control -- + signal ack_read : std_ulogic; + signal ack_write : std_ulogic; + signal acc_en : std_ulogic; + signal addr : std_ulogic_vector(31 downto 0); + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- control register -- + signal enable : std_ulogic; -- global enable + + -- IRQ configuration register -- + signal irq_rx_en : std_ulogic_vector(7 downto 0); + signal irq_rx_mode : std_ulogic_vector(7 downto 0); + signal irq_tx_en : std_ulogic_vector(7 downto 0); + signal irq_tx_mode : std_ulogic_vector(7 downto 0); + + -- stream link fifo interface -- + type fifo_data_t is array (0 to 7) of std_ulogic_vector(31 downto 0); + signal rx_fifo_rdata : fifo_data_t; + signal fifo_clear : std_ulogic; + signal link_sel : std_ulogic_vector(7 downto 0); + signal tx_fifo_we : std_ulogic_vector(7 downto 0); + signal rx_fifo_re : std_ulogic_vector(7 downto 0); + signal rx_fifo_avail : std_ulogic_vector(7 downto 0); + signal tx_fifo_free : std_ulogic_vector(7 downto 0); + signal rx_fifo_half : std_ulogic_vector(7 downto 0); + signal tx_fifo_half : std_ulogic_vector(7 downto 0); + + -- interrupt generator -- + type detect_t is array (0 to 7) of std_ulogic_vector(1 downto 0); + type irq_t is record + detect : detect_t; -- rising-edge detector + trigger : std_ulogic_vector(7 downto 0); + set : std_ulogic_vector(7 downto 0); + end record; + signal rx_irq, tx_irq : irq_t; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (is_power_of_two_f(SLINK_TX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be a power of two." severity error; + assert not (SLINK_TX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be 1..32768." severity error; + -- + assert not (is_power_of_two_f(SLINK_RX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be a power of two." severity error; + assert not (SLINK_RX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be 1..32768." severity error; + -- + assert not (SLINK_NUM_RX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be 0..8." severity error; + assert not (SLINK_NUM_TX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK has to be 0..8." severity error; + -- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing " & integer'image(SLINK_NUM_RX) & " RX and " & + integer'image(SLINK_NUM_TX) & " TX stream links." severity note; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = slink_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= slink_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- write access -- + ack_write <= '0'; + if (wren = '1') then + if (addr(5) = '0') then -- control/status/irq + if (addr(4 downto 3) = "00") then -- control register + enable <= data_i(ctrl_en_c); + end if; + if (addr(4 downto 3) = "01") then -- IRQ configuration register + for i in 0 to SLINK_NUM_RX-1 loop + irq_rx_en(i) <= data_i(i + irq_rx_en_lsb_c); + irq_rx_mode(i) <= data_i(i + irq_rx_mode_lsb_c); + end loop; + for i in 0 to SLINK_NUM_TX-1 loop + irq_tx_en(i) <= data_i(i + irq_tx_en_lsb_c); + irq_tx_mode(i) <= data_i(i + irq_tx_mode_lsb_c); + end loop; + end if; + ack_write <= '1'; + else -- TX links + ack_write <= or_reduce_f(link_sel and tx_fifo_free); + end if; + end if; + + -- read access -- + data_o <= (others => '0'); + ack_read <= '0'; + if (rden = '1') then + if (addr(5) = '0') then -- control/status registers + ack_read <= '1'; + case addr(4 downto 3) is + when "00" => -- control register + data_o(ctrl_rx_num_msb_c downto ctrl_rx_num_lsb_c) <= std_ulogic_vector(to_unsigned(SLINK_NUM_RX, 4)); + data_o(ctrl_tx_num_msb_c downto ctrl_tx_num_lsb_c) <= std_ulogic_vector(to_unsigned(SLINK_NUM_TX, 4)); + data_o(ctrl_rx_size_msb_c downto ctrl_rx_size_lsb_c) <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_RX_FIFO), 4)); + data_o(ctrl_tx_size_msb_c downto ctrl_tx_size_lsb_c) <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_TX_FIFO), 4)); + data_o(ctrl_en_c) <= enable; + when "01" => -- IRQ configuration register + for i in 0 to SLINK_NUM_RX-1 loop + data_o(irq_rx_en_lsb_c + i) <= irq_rx_en(i); + data_o(irq_rx_mode_lsb_c + i) <= irq_rx_mode(i) or bool_to_ulogic_f(boolean(SLINK_RX_FIFO = 1)); -- tie to one if SLINK_RX_FIFO is 1 + end loop; + for i in 0 to SLINK_NUM_TX-1 loop + data_o(irq_tx_en_lsb_c + i) <= irq_tx_en(i); + data_o(irq_tx_mode_lsb_c + i) <= irq_tx_mode(i) or bool_to_ulogic_f(boolean(SLINK_TX_FIFO = 1)); -- tie to one if SLINK_TX_FIFO is 1 + end loop; + when "10" | "11" => -- fifo status register + data_o(status_rx_avail_msb_c downto status_rx_avail_lsb_c) <= rx_fifo_avail; + data_o(status_tx_free_msb_c downto status_tx_free_lsb_c) <= tx_fifo_free; + data_o(status_rx_half_msb_c downto status_rx_half_lsb_c) <= rx_fifo_half; + data_o(status_tx_half_msb_c downto status_tx_half_lsb_c) <= tx_fifo_half; + when others => + data_o <= (others => '0'); + end case; + else -- RX links + data_o <= rx_fifo_rdata(to_integer(unsigned(addr(4 downto 2)))); + ack_read <= or_reduce_f(link_sel and rx_fifo_avail); + end if; + end if; + end if; + end process rw_access; + + -- bus access acknowledge -- + ack_o <= ack_write or ack_read; + + -- link fifo reset (sync) -- + fifo_clear <= not enable; + + + -- Interrupt Generator -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- interrupt trigger type / condition -- + irq_type: process(irq_rx_mode, rx_fifo_avail, rx_fifo_half, irq_tx_mode, tx_fifo_free, tx_fifo_half, tx_fifo_we) + begin + -- RX interrupt -- + rx_irq.trigger <= (others => '0'); + for i in 0 to SLINK_NUM_RX-1 loop + if (SLINK_RX_FIFO = 1) or (irq_rx_mode(i) = '0') then + rx_irq.trigger(i) <= rx_fifo_avail(i); -- fire if any RX_FIFO is not empty (= data available) + else + rx_irq.trigger(i) <= rx_fifo_half(i); + end if; + end loop; + -- TX interrupt -- + tx_irq.trigger <= (others => '0'); + for i in 0 to SLINK_NUM_TX-1 loop + if (SLINK_TX_FIFO = 1) or (irq_tx_mode(i) = '0') then + tx_irq.trigger(i) <= tx_fifo_free(i) and tx_fifo_we(i); -- fire if any TX_FIFO is not full (= free buffer space available) + else + tx_irq.trigger(i) <= not tx_fifo_half(i); + end if; + end loop; + end process irq_type; + + -- edge detector - sync -- + irq_edge_detect_sync: process(clk_i) + begin + if rising_edge(clk_i) then + -- RX -- + for i in 0 to SLINK_NUM_RX-1 loop + if (enable = '1') and (irq_rx_en(i) = '1') then + rx_irq.detect(i) <= rx_irq.detect(i)(0) & rx_irq.trigger(i); + else + rx_irq.detect(i) <= "00"; + end if; + end loop; + -- TX -- + for i in 0 to SLINK_NUM_TX-1 loop + if (enable = '1') and (irq_tx_en(i) = '1') then + tx_irq.detect(i) <= tx_irq.detect(i)(0) & tx_irq.trigger(i); + else + tx_irq.detect(i) <= "00"; + end if; + end loop; + end if; + end process irq_edge_detect_sync; + + -- edge detector - sync -- + irq_edge_detect_comb: process(rx_irq, irq_rx_en, tx_irq, irq_tx_en) + begin + -- RX -- + rx_irq.set <= (others => '0'); + for i in 0 to SLINK_NUM_RX-1 loop + if (rx_irq.detect(i) = "01") then -- rising-edge + rx_irq.set(i) <= '1'; + end if; + end loop; + -- TX -- + tx_irq.set <= (others => '0'); + for i in 0 to SLINK_NUM_TX-1 loop + if (tx_irq.detect(i) = "01") then -- rising-edge + tx_irq.set(i) <= '1'; + end if; + end loop; + end process irq_edge_detect_comb; + + -- interrupt arbiter -- + irq_generator: process(clk_i) + begin + if rising_edge(clk_i) then + irq_rx_o <= or_reduce_f(rx_irq.set); + irq_tx_o <= or_reduce_f(tx_irq.set); + end if; + end process irq_generator; + + + -- Link Select ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + link_select: process(addr) + begin + case addr(5 downto 2) is -- MSB = data fifo access at all? + when "1000" => link_sel <= "00000001"; + when "1001" => link_sel <= "00000010"; + when "1010" => link_sel <= "00000100"; + when "1011" => link_sel <= "00001000"; + when "1100" => link_sel <= "00010000"; + when "1101" => link_sel <= "00100000"; + when "1110" => link_sel <= "01000000"; + when "1111" => link_sel <= "10000000"; + when others => link_sel <= "00000000"; + end case; + end process link_select; + + fifo_access_gen: + for i in 0 to 7 generate + tx_fifo_we(i) <= link_sel(i) and wren; + rx_fifo_re(i) <= link_sel(i) and rden; + end generate; + + + -- TX Link FIFOs -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + transmit_fifo_gen: + for i in 0 to SLINK_NUM_TX-1 generate + transmit_fifo_inst: neorv32_fifo + generic map ( + FIFO_DEPTH => SLINK_TX_FIFO, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => 32, -- size of data elements in fifo + FIFO_RSYNC => false, -- async read + FIFO_SAFE => true -- safe access + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => fifo_clear, -- sync reset, high-active + level_o => open, -- fill level + half_o => tx_fifo_half(i), -- FIFO is at least half full + -- write port -- + wdata_i => data_i, -- write data + we_i => tx_fifo_we(i), -- write enable + free_o => tx_fifo_free(i), -- at least one entry is free when set + -- read port -- + re_i => slink_tx_rdy_i(i), -- read enable + rdata_o => slink_tx_dat_o(i), -- read data + avail_o => slink_tx_val_o(i) -- data available when set + ); + end generate; + + -- terminate unimplemented links -- + transmit_fifo_gen_terminate: + for i in SLINK_NUM_TX to 7 generate + tx_fifo_free(i) <= '0'; + slink_tx_dat_o(i) <= (others => '0'); + slink_tx_val_o(i) <= '0'; + tx_fifo_half(i) <= '0'; + end generate; + + + -- RX Link FIFOs -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + receive_fifo_gen: + for i in 0 to SLINK_NUM_RX-1 generate + receive_fifo_inst: neorv32_fifo + generic map ( + FIFO_DEPTH => SLINK_RX_FIFO, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => 32, -- size of data elements in fifo + FIFO_RSYNC => false, -- async read + FIFO_SAFE => true -- safe access + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => fifo_clear, -- sync reset, high-active + level_o => open, -- fill level + half_o => rx_fifo_half(i), -- FIFO is at least half full + -- write port -- + wdata_i => slink_rx_dat_i(i), -- write data + we_i => slink_rx_val_i(i), -- write enable + free_o => slink_rx_rdy_o(i), -- at least one entry is free when set + -- read port -- + re_i => rx_fifo_re(i), -- read enable + rdata_o => rx_fifo_rdata(i), -- read data + avail_o => rx_fifo_avail(i) -- data available when set + ); + end generate; + + -- terminate unimplemented links -- + receive_fifo_gen_terminate: + for i in SLINK_NUM_RX to 7 generate + rx_fifo_avail(i) <= '0'; + slink_rx_rdy_o(i) <= '0'; + rx_fifo_rdata(i) <= (others => '0'); + rx_fifo_half(i) <= '0'; + end generate; + + +end neorv32_slink_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_spi.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_spi.vhd new file mode 100644 index 0000000..1f9e4e1 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_spi.vhd @@ -0,0 +1,287 @@ +-- ################################################################################################# +-- # << NEORV32 - Serial Peripheral Interface Controller (SPI) >> # +-- # ********************************************************************************************* # +-- # Frame format: 8/16/24/32-bit receive/transmit data, always MSB first, 2 clock modes, # +-- # 8 pre-scaled clocks (derived from system clock), 8 dedicated chip-select lines (low-active). # +-- # Interrupt: "transfer done" # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_spi is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- SPI CS + -- interrupt -- + irq_o : out std_ulogic -- transmission done interrupt + ); +end neorv32_spi; + +architecture neorv32_spi_rtl of neorv32_spi is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(spi_size_c); -- low address boundary bit + + -- control register -- + constant ctrl_cs0_c : natural := 0; -- r/w: spi CS 0 + constant ctrl_cs1_c : natural := 1; -- r/w: spi CS 1 + constant ctrl_cs2_c : natural := 2; -- r/w: spi CS 2 + constant ctrl_cs3_c : natural := 3; -- r/w: spi CS 3 + constant ctrl_cs4_c : natural := 4; -- r/w: spi CS 4 + constant ctrl_cs5_c : natural := 5; -- r/w: spi CS 5 + constant ctrl_cs6_c : natural := 6; -- r/w: spi CS 6 + constant ctrl_cs7_c : natural := 7; -- r/w: spi CS 7 + -- + constant ctrl_en_c : natural := 8; -- r/w: spi enable + constant ctrl_cpha_c : natural := 9; -- r/w: spi clock phase + constant ctrl_prsc0_c : natural := 10; -- r/w: spi prescaler select bit 0 + constant ctrl_prsc1_c : natural := 11; -- r/w: spi prescaler select bit 1 + constant ctrl_prsc2_c : natural := 12; -- r/w: spi prescaler select bit 2 + constant ctrl_size0_c : natural := 13; -- r/w: data size lsb (00: 8-bit, 01: 16-bit) + constant ctrl_size1_c : natural := 14; -- r/w: data size msb (10: 24-bit, 11: 32-bit) + constant ctrl_cpol_c : natural := 15; -- r/w: spi clock polarity + -- + constant ctrl_busy_c : natural := 31; -- r/-: spi transceiver is busy + -- + signal ctrl : std_ulogic_vector(15 downto 0); + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- clock generator -- + signal spi_clk_en : std_ulogic; + + -- spi transceiver -- + type rtx_engine_t is record + state : std_ulogic_vector(02 downto 0); + busy : std_ulogic; + start : std_ulogic; + sreg : std_ulogic_vector(31 downto 0); + bitcnt : std_ulogic_vector(05 downto 0); + bytecnt : std_ulogic_vector(02 downto 0); + sdi_sync : std_ulogic_vector(01 downto 0); + end record; + signal rtx_engine : rtx_engine_t; + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = spi_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= spi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus access acknowledge -- + ack_o <= rden or wren; + + -- write access -- + if (wren = '1') then + if (addr = spi_ctrl_addr_c) then -- control register + ctrl(ctrl_cs0_c) <= data_i(ctrl_cs0_c); + ctrl(ctrl_cs1_c) <= data_i(ctrl_cs1_c); + ctrl(ctrl_cs2_c) <= data_i(ctrl_cs2_c); + ctrl(ctrl_cs3_c) <= data_i(ctrl_cs3_c); + ctrl(ctrl_cs4_c) <= data_i(ctrl_cs4_c); + ctrl(ctrl_cs5_c) <= data_i(ctrl_cs5_c); + ctrl(ctrl_cs6_c) <= data_i(ctrl_cs6_c); + ctrl(ctrl_cs7_c) <= data_i(ctrl_cs7_c); + -- + ctrl(ctrl_en_c) <= data_i(ctrl_en_c); + ctrl(ctrl_cpha_c) <= data_i(ctrl_cpha_c); + ctrl(ctrl_prsc0_c) <= data_i(ctrl_prsc0_c); + ctrl(ctrl_prsc1_c) <= data_i(ctrl_prsc1_c); + ctrl(ctrl_prsc2_c) <= data_i(ctrl_prsc2_c); + ctrl(ctrl_size0_c) <= data_i(ctrl_size0_c); + ctrl(ctrl_size1_c) <= data_i(ctrl_size1_c); + ctrl(ctrl_cpol_c) <= data_i(ctrl_cpol_c); + end if; + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + if (addr = spi_ctrl_addr_c) then -- control register + data_o(ctrl_cs0_c) <= ctrl(ctrl_cs0_c); + data_o(ctrl_cs1_c) <= ctrl(ctrl_cs1_c); + data_o(ctrl_cs2_c) <= ctrl(ctrl_cs2_c); + data_o(ctrl_cs3_c) <= ctrl(ctrl_cs3_c); + data_o(ctrl_cs4_c) <= ctrl(ctrl_cs4_c); + data_o(ctrl_cs5_c) <= ctrl(ctrl_cs5_c); + data_o(ctrl_cs6_c) <= ctrl(ctrl_cs6_c); + data_o(ctrl_cs7_c) <= ctrl(ctrl_cs7_c); + -- + data_o(ctrl_en_c) <= ctrl(ctrl_en_c); + data_o(ctrl_cpha_c) <= ctrl(ctrl_cpha_c); + data_o(ctrl_prsc0_c) <= ctrl(ctrl_prsc0_c); + data_o(ctrl_prsc1_c) <= ctrl(ctrl_prsc1_c); + data_o(ctrl_prsc2_c) <= ctrl(ctrl_prsc2_c); + data_o(ctrl_size0_c) <= ctrl(ctrl_size0_c); + data_o(ctrl_size1_c) <= ctrl(ctrl_size1_c); + data_o(ctrl_cpol_c) <= ctrl(ctrl_cpol_c); + -- + data_o(ctrl_busy_c) <= rtx_engine.busy; + else -- data register (spi_rtx_addr_c) + data_o <= rtx_engine.sreg; + end if; + end if; + end if; + end process rw_access; + + -- direct chip-select (CS), output is low-active -- + spi_csn_o(7 downto 0) <= not ctrl(ctrl_cs7_c downto ctrl_cs0_c); + + -- trigger new SPI transmission -- + rtx_engine.start <= '1' when (wren = '1') and (addr = spi_rtx_addr_c) else '0'; + + + -- Clock Selection ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + clkgen_en_o <= ctrl(ctrl_en_c); -- clock generator enable + spi_clk_en <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c)))); -- clock select + + + -- Transmission Data Size ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + data_size: process(ctrl) + begin + case ctrl(ctrl_size1_c downto ctrl_size0_c) is + when "00" => rtx_engine.bytecnt <= "001"; -- 1-byte mode + when "01" => rtx_engine.bytecnt <= "010"; -- 2-byte mode + when "10" => rtx_engine.bytecnt <= "011"; -- 3-byte mode + when others => rtx_engine.bytecnt <= "100"; -- 4-byte mode + end case; + end process data_size; + + + -- SPI Transceiver ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + spi_rtx_unit: process(clk_i) + begin + if rising_edge(clk_i) then + -- input (sdi) synchronizer -- + rtx_engine.sdi_sync <= rtx_engine.sdi_sync(0) & spi_sdi_i; + + -- output (sdo) buffer -- + case ctrl(ctrl_size1_c downto ctrl_size0_c) is + when "00" => spi_sdo_o <= rtx_engine.sreg(07); -- 8-bit mode + when "01" => spi_sdo_o <= rtx_engine.sreg(15); -- 16-bit mode + when "10" => spi_sdo_o <= rtx_engine.sreg(23); -- 24-bit mode + when others => spi_sdo_o <= rtx_engine.sreg(31); -- 32-bit mode + end case; + + -- defaults -- + spi_sck_o <= ctrl(ctrl_cpol_c); + irq_o <= '0'; + + -- serial engine -- + rtx_engine.state(2) <= ctrl(ctrl_en_c); + case rtx_engine.state is + + when "100" => -- enabled but idle, waiting for new transmission trigger + -- ------------------------------------------------------------ + rtx_engine.bitcnt <= (others => '0'); + if (rtx_engine.start = '1') then -- trigger new transmission + rtx_engine.sreg <= data_i; + rtx_engine.state(1 downto 0) <= "01"; + end if; + + when "101" => -- start with next new clock pulse + -- ------------------------------------------------------------ + if (spi_clk_en = '1') then + rtx_engine.state(1 downto 0) <= "10"; + end if; + + when "110" => -- first half of bit transmission + -- ------------------------------------------------------------ + spi_sck_o <= ctrl(ctrl_cpha_c) xor ctrl(ctrl_cpol_c); + if (spi_clk_en = '1') then + rtx_engine.bitcnt <= std_ulogic_vector(unsigned(rtx_engine.bitcnt) + 1); + rtx_engine.state(1 downto 0) <= "11"; + end if; + + when "111" => -- second half of bit transmission + -- ------------------------------------------------------------ + spi_sck_o <= ctrl(ctrl_cpha_c) xnor ctrl(ctrl_cpol_c); + if (spi_clk_en = '1') then + rtx_engine.sreg <= rtx_engine.sreg(30 downto 0) & rtx_engine.sdi_sync(rtx_engine.sdi_sync'left); + if (rtx_engine.bitcnt(5 downto 3) = rtx_engine.bytecnt) then -- all bits transferred? + irq_o <= '1'; -- interrupt! + rtx_engine.state(1 downto 0) <= "00"; -- transmission done + else + rtx_engine.state(1 downto 0) <= "10"; + end if; + end if; + + when others => -- "0--": SPI deactivated + -- ------------------------------------------------------------ + rtx_engine.state(1 downto 0) <= "00"; + + end case; + end if; + end process spi_rtx_unit; + + -- busy flag -- + rtx_engine.busy <= '0' when (rtx_engine.state(1 downto 0) = "00") else '1'; + + +end neorv32_spi_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_sysinfo.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_sysinfo.vhd new file mode 100644 index 0000000..a849a9c --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_sysinfo.vhd @@ -0,0 +1,227 @@ +-- ################################################################################################# +-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >> # +-- # ********************************************************************************************* # +-- # This unit provides information regarding the NEORV32 processor system configuration - # +-- # mostly derived from the top's configuration generics. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_sysinfo is + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG : boolean; -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN : boolean; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural; -- total width of CPU cycle and instret counters (0..64) + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS : natural; -- number of regions (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN : boolean; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural; -- i-cache: number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2 + -- External memory interface -- + MEM_EXT_EN : boolean; -- implement external memory bus interface? + MEM_EXT_BIG_ENDIAN : boolean; -- byte order: true=big-endian, false=little-endian + -- On-Chip Debugger -- + ON_CHIP_DEBUGGER_EN : boolean; -- implement OCD? + -- Processor peripherals -- + IO_GPIO_EN : boolean; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN : boolean; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural; -- number of PWM channels to implement + IO_WDT_EN : boolean; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean; -- implement custom functions subsystem (CFS)? + IO_SLINK_EN : boolean; -- implement stream link interface? + IO_NEOLED_EN : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_XIRQ_NUM_CH : natural; -- number of external interrupt (XIRQ) channels to implement + IO_GPTMR_EN : boolean -- implement general purpose timer (GPTMR)? + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); +end neorv32_sysinfo; + +architecture neorv32_sysinfo_rtl of neorv32_sysinfo is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal info_addr : std_ulogic_vector(02 downto 0); + + -- system information ROM -- + type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0); + signal sysinfo_mem : info_mem_t; + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0'; + rden <= acc_en and rden_i; -- valid read access + addr <= sysinfo_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + info_addr <= addr(index_size_f(sysinfo_size_c)-1 downto 2); + + + -- Construct Info ROM --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- SYSINFO(0): Processor (primary) clock frequency -- + sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32)); + + -- SYSINFO(1): CPU configuration -- + sysinfo_mem(1)(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr); -- Zicsr + sysinfo_mem(1)(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Zifencei + sysinfo_mem(1)(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zmmul); -- Zmmul + -- + sysinfo_mem(1)(04 downto 03) <= (others => '0'); -- reserved + -- + sysinfo_mem(1)(05) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- Zfinx ("F-alternative") + sysinfo_mem(1)(06) <= bool_to_ulogic_f(boolean(CPU_CNT_WIDTH /= 64)); -- reduced-size CPU counters (Zxscnt) + sysinfo_mem(1)(07) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr); -- base CPU counter + sysinfo_mem(1)(08) <= bool_to_ulogic_f(boolean(PMP_NUM_REGIONS > 0)); -- PMP (physical memory protection) + sysinfo_mem(1)(09) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zihpm); -- HPM (hardware performance monitors) + sysinfo_mem(1)(10) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG); -- RISC-V debug mode + -- + sysinfo_mem(1)(29 downto 11) <= (others => '0'); -- reserved + -- misc -- + sysinfo_mem(1)(30) <= bool_to_ulogic_f(FAST_MUL_EN); -- DSP-based multiplication (M extension only) + sysinfo_mem(1)(31) <= bool_to_ulogic_f(FAST_SHIFT_EN); -- parallel logic for shifts (like barrel shifters) + + -- SYSINFO(2): Implemented processor devices/features -- + -- Memory -- + sysinfo_mem(2)(00) <= bool_to_ulogic_f(INT_BOOTLOADER_EN); -- processor-internal bootloader implemented? + sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_EN); -- external memory bus interface implemented? + sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_IMEM_SIZE > 0)); -- processor-internal instruction memory implemented? + sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_DMEM_EN) and bool_to_ulogic_f(boolean(MEM_INT_DMEM_SIZE > 0)); -- processor-internal data memory implemented? + sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_EXT_BIG_ENDIAN); -- is external memory bus interface using BIG-endian byte-order? + sysinfo_mem(2)(05) <= bool_to_ulogic_f(ICACHE_EN); -- processor-internal instruction cache implemented? + -- + sysinfo_mem(2)(12 downto 06) <= (others => '0'); -- reserved + -- Misc -- + sysinfo_mem(2)(13) <= bool_to_ulogic_f(is_simulation_c); -- is this a simulation? + sysinfo_mem(2)(14) <= bool_to_ulogic_f(ON_CHIP_DEBUGGER_EN); -- on-chip debugger implemented? + sysinfo_mem(2)(15) <= bool_to_ulogic_f(dedicated_reset_c); -- dedicated hardware reset of all core registers? + -- IO -- + sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_EN); -- general purpose input/output port unit (GPIO) implemented? + sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_EN); -- machine system timer (MTIME) implemented? + sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART0_EN); -- primary universal asynchronous receiver/transmitter (UART0) implemented? + sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_EN); -- serial peripheral interface (SPI) implemented? + sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_EN); -- two-wire interface (TWI) implemented? + sysinfo_mem(2)(21) <= bool_to_ulogic_f(boolean(IO_PWM_NUM_CH > 0)); -- pulse-width modulation unit (PWM) implemented? + sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_EN); -- watch dog timer (WDT) implemented? + sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CFS_EN); -- custom functions subsystem (CFS) implemented? + sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_EN); -- true random number generator (TRNG) implemented? + sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_SLINK_EN); -- stream links (SLINK) implemented? + sysinfo_mem(2)(26) <= bool_to_ulogic_f(IO_UART1_EN); -- secondary universal asynchronous receiver/transmitter (UART1) implemented? + sysinfo_mem(2)(27) <= bool_to_ulogic_f(IO_NEOLED_EN); -- NeoPixel-compatible smart LED interface (NEOLED) implemented? + sysinfo_mem(2)(28) <= bool_to_ulogic_f(boolean(IO_XIRQ_NUM_CH > 0)); -- external interrupt controller (XIRQ) implemented? + sysinfo_mem(2)(29) <= bool_to_ulogic_f(IO_GPTMR_EN); -- general purpose timer (GPTMR) implemented? + -- + sysinfo_mem(2)(31 downto 30) <= (others => '0'); -- reserved + + -- SYSINFO(3): Cache configuration -- + sysinfo_mem(3)(03 downto 00) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_BLOCK_SIZE), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(block_size_in_bytes) + sysinfo_mem(3)(07 downto 04) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_NUM_BLOCKS), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(number_of_block) + sysinfo_mem(3)(11 downto 08) <= std_ulogic_vector(to_unsigned(index_size_f(ICACHE_ASSOCIATIVITY), 4)) when (ICACHE_EN = true) else (others => '0'); -- i-cache: log2(associativity) + sysinfo_mem(3)(15 downto 12) <= "0001" when (ICACHE_ASSOCIATIVITY > 1) and (ICACHE_EN = true) else (others => '0'); -- i-cache: replacement strategy (LRU only (yet)) + -- + sysinfo_mem(3)(19 downto 16) <= (others => '0'); -- reserved - d-cache: log2(block_size) + sysinfo_mem(3)(23 downto 20) <= (others => '0'); -- reserved - d-cache: log2(num_blocks) + sysinfo_mem(3)(27 downto 24) <= (others => '0'); -- reserved - d-cache: log2(associativity) + sysinfo_mem(3)(31 downto 28) <= (others => '0'); -- reserved - d-cache: replacement strategy + + -- SYSINFO(4): Base address of instruction memory space -- + sysinfo_mem(4) <= ispace_base_c; -- defined in neorv32_package.vhd file + + -- SYSINFO(5): Base address of data memory space -- + sysinfo_mem(5) <= dspace_base_c; -- defined in neorv32_package.vhd file + + -- SYSINFO(6): Size of IMEM in bytes -- + sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_INT_IMEM_SIZE, 32)) when (MEM_INT_IMEM_EN = true) else (others => '0'); + + -- SYSINFO(7): Size of DMEM in bytes -- + sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_INT_DMEM_SIZE, 32)) when (MEM_INT_DMEM_EN = true) else (others => '0'); + + + -- Read Access ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + read_access: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= rden; + data_o <= (others => '0'); + if (rden = '1') then + data_o <= sysinfo_mem(to_integer(unsigned(info_addr))); + end if; + end if; + end process read_access; + + +end neorv32_sysinfo_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_top.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_top.vhd new file mode 100644 index 0000000..868cec2 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_top.vhd @@ -0,0 +1,1563 @@ +-- ################################################################################################# +-- # << The NEORV32 RISC-V Processor - Top Entity >> # +-- # ********************************************************************************************* # +-- # Check out the processor's online documentation for more information: # +-- # HQ: https://github.com/stnolting/neorv32 # +-- # Data Sheet: https://stnolting.github.io/neorv32 # +-- # User Guide: https://stnolting.github.io/neorv32/ug # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_top is + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + INT_BOOTLOADER_EN : boolean := false; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean := true; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean := false; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean := false; -- implement multiply-only M sub-extension? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural := 2; -- entries is instruction prefetch buffer, has to be a power of 2 + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory (IMEM) -- + MEM_INT_IMEM_EN : boolean := false; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory (DMEM) -- + MEM_INT_DMEM_EN : boolean := false; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory (iCACHE) -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- External memory interface (WISHBONE) -- + MEM_EXT_EN : boolean := false; -- implement external memory bus interface? + MEM_EXT_TIMEOUT : natural := 255; -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE : boolean := false; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN : boolean := false; -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX : boolean := false; -- use register buffer for RX data when false + + -- Stream link interface (SLINK) -- + SLINK_NUM_TX : natural := 0; -- number of TX links (0..8) + SLINK_NUM_RX : natural := 0; -- number of TX links (0..8) + SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two + + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + + -- Processor peripherals -- + IO_GPIO_EN : boolean := false; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := false; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN : boolean := false; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN : boolean := false; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := false; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 0; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := false; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO : natural := 1; -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)? + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i : in std_ulogic := 'U'; -- low-active TAP reset (optional) + jtag_tck_i : in std_ulogic := 'U'; -- serial clock + jtag_tdi_i : in std_ulogic := 'U'; -- serial data input + jtag_tdo_o : out std_ulogic; -- serial data output + jtag_tms_i : in std_ulogic := 'U'; -- mode select + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o : out std_ulogic_vector(02 downto 0); -- request tag + wb_adr_o : out std_ulogic_vector(31 downto 0); -- address + wb_dat_i : in std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data + wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data + wb_we_o : out std_ulogic; -- read/write + wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable + wb_stb_o : out std_ulogic; -- strobe + wb_cyc_o : out std_ulogic; -- valid cycle + wb_lock_o : out std_ulogic; -- exclusive access request + wb_ack_i : in std_ulogic := 'L'; -- transfer acknowledge + wb_err_i : in std_ulogic := 'L'; -- transfer error + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o : out std_ulogic; -- indicates an executed FENCE operation + fencei_o : out std_ulogic; -- indicates an executed FENCEI operation + + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send + + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i : in sdata_8x32_t := (others => (others => 'U')); -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_ulogic_vector(63 downto 0); -- parallel output + gpio_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic := 'U'; -- UART0 receive data + uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_ulogic; -- UART1 send data + uart1_rxd_i : in std_ulogic := 'U'; -- UART1 receive data + uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic := 'U'; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- chip-select + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic := 'U'; -- twi serial data line + twi_scl_io : inout std_logic := 'U'; -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i : in std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0) := (others => 'U'); -- custom CFS inputs conduit + cfs_out_o : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_ulogic; -- async serial data line + + -- System time -- + mtime_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels + + -- CPU interrupts -- + mtime_irq_i : in std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i : in std_ulogic := 'L'; -- machine software interrupt + mext_irq_i : in std_ulogic := 'L' -- machine external interrupt + ); +end neorv32_top; + +architecture neorv32_top_rtl of neorv32_top is + + -- CPU boot configuration -- + constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := cond_sel_stdulogicvector_f(INT_BOOTLOADER_EN, boot_rom_base_c, ispace_base_c); + + -- alignment check for internal memories -- + constant imem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) := (others => '0'); + constant dmem_align_check_c : std_ulogic_vector(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) := (others => '0'); + + -- helpers -- + constant io_slink_en_c : boolean := boolean(SLINK_NUM_RX > 0) or boolean(SLINK_NUM_TX > 0); -- implement slink at all? + + -- reset generator -- + signal rstn_gen : std_ulogic_vector(7 downto 0) := (others => '0'); -- initialize (=reset) via (for FPGAs only) + signal ext_rstn : std_ulogic; + signal sys_rstn : std_ulogic; + signal wdt_rstn : std_ulogic; + + -- clock generator -- + signal clk_div : std_ulogic_vector(11 downto 0); + signal clk_div_ff : std_ulogic_vector(11 downto 0); + signal clk_gen : std_ulogic_vector(07 downto 0); + signal clk_gen_en : std_ulogic_vector(08 downto 0); + -- + signal wdt_cg_en : std_ulogic; + signal uart0_cg_en : std_ulogic; + signal uart1_cg_en : std_ulogic; + signal spi_cg_en : std_ulogic; + signal twi_cg_en : std_ulogic; + signal pwm_cg_en : std_ulogic; + signal cfs_cg_en : std_ulogic; + signal neoled_cg_en : std_ulogic; + signal gptmr_cg_en : std_ulogic; + + -- bus interface -- + type bus_interface_t is record + addr : std_ulogic_vector(data_width_c-1 downto 0); -- bus access address + rdata : std_ulogic_vector(data_width_c-1 downto 0); -- bus read data + wdata : std_ulogic_vector(data_width_c-1 downto 0); -- bus write data + ben : std_ulogic_vector(03 downto 0); -- byte enable + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + ack : std_ulogic; -- bus transfer acknowledge + err : std_ulogic; -- bus transfer error + fence : std_ulogic; -- fence(i) instruction executed + priv : std_ulogic_vector(1 downto 0); -- current privilege level + src : std_ulogic; -- access source (1=instruction fetch, 0=data access) + lock : std_ulogic; -- exclusive access request + end record; + signal cpu_i, i_cache, cpu_d, p_bus : bus_interface_t; + + -- bus access error (from BUSKEEPER) -- + signal bus_error : std_ulogic; + + -- debug core interface (DCI) -- + signal dci_ndmrstn : std_ulogic; + signal dci_halt_req : std_ulogic; + + -- debug module interface (DMI) -- + type dmi_t is record + rstn : std_ulogic; + req_valid : std_ulogic; + req_ready : std_ulogic; -- DMI is allowed to make new requests when set + req_addr : std_ulogic_vector(06 downto 0); + req_op : std_ulogic; -- 0=read, 1=write + req_data : std_ulogic_vector(31 downto 0); + resp_valid : std_ulogic; -- response valid when set + resp_ready : std_ulogic; -- ready to receive respond + resp_data : std_ulogic_vector(31 downto 0); + resp_err : std_ulogic; -- 0=ok, 1=error + end record; + signal dmi : dmi_t; + + -- io space access -- + signal io_acc : std_ulogic; + signal io_rden : std_ulogic; + signal io_wren : std_ulogic; + + -- module response bus - entry type -- + type resp_bus_entry_t is record + rdata : std_ulogic_vector(data_width_c-1 downto 0); + ack : std_ulogic; + err : std_ulogic; + end record; + constant resp_bus_entry_terminate_c : resp_bus_entry_t := (rdata => (others => '0'), ack => '0', err => '0'); + + -- module response bus - device ID -- + type resp_bus_id_t is (RESP_BUSKEEPER, RESP_IMEM, RESP_DMEM, RESP_BOOTROM, RESP_WISHBONE, RESP_GPIO, RESP_MTIME, RESP_UART0, RESP_UART1, RESP_SPI, + RESP_TWI, RESP_PWM, RESP_WDT, RESP_TRNG, RESP_CFS, RESP_NEOLED, RESP_SYSINFO, RESP_OCD, RESP_SLINK, RESP_XIRQ, RESP_GPTMR); + + -- module response bus -- + type resp_bus_t is array (resp_bus_id_t) of resp_bus_entry_t; + signal resp_bus : resp_bus_t := (others => resp_bus_entry_terminate_c); + + -- IRQs -- + signal fast_irq : std_ulogic_vector(15 downto 0); + signal mtime_irq : std_ulogic; + signal wdt_irq : std_ulogic; + signal uart0_rxd_irq : std_ulogic; + signal uart0_txd_irq : std_ulogic; + signal uart1_rxd_irq : std_ulogic; + signal uart1_txd_irq : std_ulogic; + signal spi_irq : std_ulogic; + signal twi_irq : std_ulogic; + signal cfs_irq : std_ulogic; + signal neoled_irq : std_ulogic; + signal slink_tx_irq : std_ulogic; + signal slink_rx_irq : std_ulogic; + signal xirq_irq : std_ulogic; + signal gptmr_irq : std_ulogic; + + -- misc -- + signal mtime_time : std_ulogic_vector(63 downto 0); -- current system time from MTIME + signal ext_timeout : std_ulogic; + signal ext_access : std_ulogic; + signal debug_mode : std_ulogic; + +begin + + -- Processor IO/Peripherals Configuration ------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report + "NEORV32 PROCESSOR IO Configuration: " & + cond_sel_string_f(IO_GPIO_EN, "GPIO ", "") & + cond_sel_string_f(IO_MTIME_EN, "MTIME ", "") & + cond_sel_string_f(IO_UART0_EN, "UART0 ", "") & + cond_sel_string_f(IO_UART1_EN, "UART1 ", "") & + cond_sel_string_f(IO_SPI_EN, "SPI ", "") & + cond_sel_string_f(IO_TWI_EN, "TWI ", "") & + cond_sel_string_f(boolean(IO_PWM_NUM_CH > 0), "PWM ", "") & + cond_sel_string_f(IO_WDT_EN, "WDT ", "") & + cond_sel_string_f(IO_TRNG_EN, "TRNG ", "") & + cond_sel_string_f(IO_CFS_EN, "CFS ", "") & + cond_sel_string_f(io_slink_en_c, "SLINK ", "") & + cond_sel_string_f(IO_NEOLED_EN, "NEOLED ", "") & + cond_sel_string_f(boolean(XIRQ_NUM_CH > 0), "XIRQ ", "") & + cond_sel_string_f(IO_GPTMR_EN, "GPTMR ", "") & + "" + severity note; + + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- boot configuration -- + assert not (INT_BOOTLOADER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." severity note; + assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-internal IMEM)." severity note; + assert not ((INT_BOOTLOADER_EN = false) and (MEM_INT_IMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Direct boot from memory (processor-external (I)MEM)." severity note; + -- + assert not ((MEM_EXT_EN = false) and (MEM_INT_DMEM_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch data without external memory interface and internal IMEM." severity error; + assert not ((MEM_EXT_EN = false) and (MEM_INT_IMEM_EN = false) and (INT_BOOTLOADER_EN = false)) report "NEORV32 PROCESSOR CONFIG ERROR! Core cannot fetch instructions without external memory interface, internal IMEM and bootloader." severity error; + + -- memory system - size -- + assert not ((MEM_INT_DMEM_EN = true) and (is_power_of_two_f(MEM_INT_IMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_IMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning; + assert not ((MEM_INT_IMEM_EN = true) and (is_power_of_two_f(MEM_INT_DMEM_SIZE) = false)) report "NEORV32 PROCESSOR CONFIG WARNING! MEM_INT_DMEM_SIZE should be a power of 2 to allow optimal hardware mapping." severity warning; + + -- memory system - alignment -- + assert not (ispace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address must be 4-byte-aligned." severity error; + assert not (dspace_base_c(1 downto 0) /= "00") report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address must be 4-byte-aligned." severity error; + assert not ((ispace_base_c(index_size_f(MEM_INT_IMEM_SIZE)-1 downto 0) /= imem_align_check_c) and (MEM_INT_IMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Instruction memory space base address has to be aligned to IMEM size." severity error; + assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_EN = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error; + + -- memory system - layout warning -- + assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning; + assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning; + + -- memory system - the i-cache is intended to accelerate instruction fetch via the external memory interface only -- + assert not ((ICACHE_EN = true) and (MEM_EXT_EN = false)) report "NEORV32 PROCESSOR CONFIG NOTE. Implementing i-cache without having the external memory interface implemented. The i-cache is intended to accelerate instruction fetch via the external memory interface." severity note; + + -- on-chip debugger -- + assert not (ON_CHIP_DEBUGGER_EN = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing on-chip debugger (OCD)." severity note; + + + -- Reset Generator ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + reset_generator: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + rstn_gen <= (others => '0'); + sys_rstn <= '0'; + elsif rising_edge(clk_i) then + -- keep internal reset active for at least clock cycles -- + rstn_gen <= rstn_gen(rstn_gen'left-1 downto 0) & '1'; + -- system reset: can also be triggered by watchdog and debug module -- + sys_rstn <= ext_rstn and wdt_rstn and dci_ndmrstn; + end if; + end process reset_generator; + + -- beautified external reset signal -- + ext_rstn <= rstn_gen(rstn_gen'left); + + + -- Clock Generator ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + clock_generator: process(sys_rstn, clk_i) + begin + if (sys_rstn = '0') then + clk_gen_en <= (others => '-'); + clk_div <= (others => '0'); + clk_div_ff <= (others => '-'); + clk_gen <= (others => '-'); + elsif rising_edge(clk_i) then + -- fresh clocks anyone? -- + clk_gen_en(0) <= wdt_cg_en; + clk_gen_en(1) <= uart0_cg_en; + clk_gen_en(2) <= uart1_cg_en; + clk_gen_en(3) <= spi_cg_en; + clk_gen_en(4) <= twi_cg_en; + clk_gen_en(5) <= pwm_cg_en; + clk_gen_en(6) <= cfs_cg_en; + clk_gen_en(7) <= neoled_cg_en; + clk_gen_en(8) <= gptmr_cg_en; + -- actual clock generator -- + if (or_reduce_f(clk_gen_en) = '1') then + clk_div <= std_ulogic_vector(unsigned(clk_div) + 1); + end if; + -- clock enables: rising edge detectors -- + clk_div_ff <= clk_div; + clk_gen(clk_div2_c) <= clk_div(0) and (not clk_div_ff(0)); -- CLK/2 + clk_gen(clk_div4_c) <= clk_div(1) and (not clk_div_ff(1)); -- CLK/4 + clk_gen(clk_div8_c) <= clk_div(2) and (not clk_div_ff(2)); -- CLK/8 + clk_gen(clk_div64_c) <= clk_div(5) and (not clk_div_ff(5)); -- CLK/64 + clk_gen(clk_div128_c) <= clk_div(6) and (not clk_div_ff(6)); -- CLK/128 + clk_gen(clk_div1024_c) <= clk_div(9) and (not clk_div_ff(9)); -- CLK/1024 + clk_gen(clk_div2048_c) <= clk_div(10) and (not clk_div_ff(10)); -- CLK/2048 + clk_gen(clk_div4096_c) <= clk_div(11) and (not clk_div_ff(11)); -- CLK/4096 + end if; + end process clock_generator; + + + -- CPU Core ------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cpu_inst: neorv32_cpu + generic map ( + -- General -- + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id + CPU_BOOT_ADDR => cpu_boot_addr_c, -- cpu boot address + CPU_DEBUG_ADDR => dm_base_c, -- cpu debug mode start address + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG => ON_CHIP_DEBUGGER_EN, -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES => CPU_IPB_ENTRIES, -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH -- total size of HPM counters (0..64) + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => sys_rstn, -- global reset, low-active, async + sleep_o => open, -- cpu is in sleep mode when set + debug_o => debug_mode, -- cpu is in debug mode when set + -- instruction bus interface -- + i_bus_addr_o => cpu_i.addr, -- bus access address + i_bus_rdata_i => cpu_i.rdata, -- bus read data + i_bus_wdata_o => cpu_i.wdata, -- bus write data + i_bus_ben_o => cpu_i.ben, -- byte enable + i_bus_we_o => cpu_i.we, -- write enable + i_bus_re_o => cpu_i.re, -- read enable + i_bus_lock_o => cpu_i.lock, -- exclusive access request + i_bus_ack_i => cpu_i.ack, -- bus transfer acknowledge + i_bus_err_i => cpu_i.err, -- bus transfer error + i_bus_fence_o => cpu_i.fence, -- executed FENCEI operation + i_bus_priv_o => cpu_i.priv, -- privilege level + -- data bus interface -- + d_bus_addr_o => cpu_d.addr, -- bus access address + d_bus_rdata_i => cpu_d.rdata, -- bus read data + d_bus_wdata_o => cpu_d.wdata, -- bus write data + d_bus_ben_o => cpu_d.ben, -- byte enable + d_bus_we_o => cpu_d.we, -- write enable + d_bus_re_o => cpu_d.re, -- read enable + d_bus_lock_o => cpu_d.lock, -- exclusive access request + d_bus_ack_i => cpu_d.ack, -- bus transfer acknowledge + d_bus_err_i => cpu_d.err, -- bus transfer error + d_bus_fence_o => cpu_d.fence, -- executed FENCE operation + d_bus_priv_o => cpu_d.priv, -- privilege level + -- system time input from MTIME -- + time_i => mtime_time, -- current system time + -- non-maskable interrupt -- + msw_irq_i => msw_irq_i, -- machine software interrupt + mext_irq_i => mext_irq_i, -- machine external interrupt request + mtime_irq_i => mtime_irq, -- machine timer interrupt + -- fast interrupts (custom) -- + firq_i => fast_irq, -- fast interrupt trigger + -- debug mode (halt) request -- + db_halt_req_i => dci_halt_req + ); + + -- misc -- + cpu_i.src <= '1'; -- initialized but unused + cpu_d.src <= '0'; -- initialized but unused + + -- advanced memory control -- + fence_o <= cpu_d.fence; -- indicates an executed FENCE operation + fencei_o <= cpu_i.fence; -- indicates an executed FENCEI operation + + -- fast interrupt requests (FIRQs) -- + -- these signals are single-shot -- + fast_irq(00) <= wdt_irq; -- HIGHEST PRIORITY - watchdog + fast_irq(01) <= cfs_irq; -- custom functions subsystem + fast_irq(02) <= uart0_rxd_irq; -- primary UART (UART0) RX + fast_irq(03) <= uart0_txd_irq; -- primary UART (UART0) TX + fast_irq(04) <= uart1_rxd_irq; -- secondary UART (UART1) RX + fast_irq(05) <= uart1_txd_irq; -- secondary UART (UART1) TX + fast_irq(06) <= spi_irq; -- SPI + fast_irq(07) <= twi_irq; -- TWI + fast_irq(08) <= xirq_irq; -- external interrupt controller + fast_irq(09) <= neoled_irq; -- NEOLED buffer free + fast_irq(10) <= slink_rx_irq; -- SLINK RX + fast_irq(11) <= slink_tx_irq; -- SLINK TX + fast_irq(12) <= gptmr_irq; -- general purpose timer + -- + fast_irq(13) <= '0'; -- reserved + fast_irq(14) <= '0'; -- reserved + fast_irq(15) <= '0'; -- LOWEST PRIORITY - reserved + + + -- CPU Instruction Cache ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_icache_inst_true: + if (ICACHE_EN = true) generate + neorv32_icache_inst: neorv32_icache + generic map ( + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- block size in bytes (min 4), has to be a power of 2 + ICACHE_NUM_SETS => ICACHE_ASSOCIATIVITY -- associativity / number of sets (1=direct_mapped), has to be a power of 2 + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => sys_rstn, -- global reset, low-active, async + clear_i => cpu_i.fence, -- cache clear + -- host controller interface -- + host_addr_i => cpu_i.addr, -- bus access address + host_rdata_o => cpu_i.rdata, -- bus read data + host_wdata_i => cpu_i.wdata, -- bus write data + host_ben_i => cpu_i.ben, -- byte enable + host_we_i => cpu_i.we, -- write enable + host_re_i => cpu_i.re, -- read enable + host_ack_o => cpu_i.ack, -- bus transfer acknowledge + host_err_o => cpu_i.err, -- bus transfer error + -- peripheral bus interface -- + bus_addr_o => i_cache.addr, -- bus access address + bus_rdata_i => i_cache.rdata, -- bus read data + bus_wdata_o => i_cache.wdata, -- bus write data + bus_ben_o => i_cache.ben, -- byte enable + bus_we_o => i_cache.we, -- write enable + bus_re_o => i_cache.re, -- read enable + bus_ack_i => i_cache.ack, -- bus transfer acknowledge + bus_err_i => i_cache.err -- bus transfer error + ); + end generate; + + -- TODO: do not use LOCKED instruction fetch -- + i_cache.lock <= '0'; + + neorv32_icache_inst_false: + if (ICACHE_EN = false) generate + i_cache.addr <= cpu_i.addr; + cpu_i.rdata <= i_cache.rdata; + i_cache.wdata <= cpu_i.wdata; + i_cache.ben <= cpu_i.ben; + i_cache.we <= cpu_i.we; + i_cache.re <= cpu_i.re; + cpu_i.ack <= i_cache.ack; + cpu_i.err <= i_cache.err; + end generate; + + + -- CPU Bus Switch ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_busswitch_inst: neorv32_busswitch + generic map ( + PORT_CA_READ_ONLY => false, -- set if controller port A is read-only + PORT_CB_READ_ONLY => true -- set if controller port B is read-only + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => sys_rstn, -- global reset, low-active, async + -- controller interface a -- + ca_bus_addr_i => cpu_d.addr, -- bus access address + ca_bus_rdata_o => cpu_d.rdata, -- bus read data + ca_bus_wdata_i => cpu_d.wdata, -- bus write data + ca_bus_ben_i => cpu_d.ben, -- byte enable + ca_bus_we_i => cpu_d.we, -- write enable + ca_bus_re_i => cpu_d.re, -- read enable + ca_bus_lock_i => cpu_d.lock, -- exclusive access request + ca_bus_ack_o => cpu_d.ack, -- bus transfer acknowledge + ca_bus_err_o => cpu_d.err, -- bus transfer error + -- controller interface b -- + cb_bus_addr_i => i_cache.addr, -- bus access address + cb_bus_rdata_o => i_cache.rdata, -- bus read data + cb_bus_wdata_i => i_cache.wdata, -- bus write data + cb_bus_ben_i => i_cache.ben, -- byte enable + cb_bus_we_i => i_cache.we, -- write enable + cb_bus_re_i => i_cache.re, -- read enable + cb_bus_lock_i => i_cache.lock, -- exclusive access request + cb_bus_ack_o => i_cache.ack, -- bus transfer acknowledge + cb_bus_err_o => i_cache.err, -- bus transfer error + -- peripheral bus -- + p_bus_src_o => p_bus.src, -- access source: 0 = A (data), 1 = B (instructions) + p_bus_addr_o => p_bus.addr, -- bus access address + p_bus_rdata_i => p_bus.rdata, -- bus read data + p_bus_wdata_o => p_bus.wdata, -- bus write data + p_bus_ben_o => p_bus.ben, -- byte enable + p_bus_we_o => p_bus.we, -- write enable + p_bus_re_o => p_bus.re, -- read enable + p_bus_lock_o => p_bus.lock, -- exclusive access request + p_bus_ack_i => p_bus.ack, -- bus transfer acknowledge + p_bus_err_i => bus_error -- bus transfer error + ); + + -- current CPU privilege level -- + p_bus.priv <= cpu_i.priv; -- note: cpu_i.priv == cpu_d.priv + + -- fence operation (unused) -- + p_bus.fence <= cpu_d.fence or cpu_i.fence; + + -- bus response -- + bus_response: process(resp_bus) + variable rdata_v : std_ulogic_vector(data_width_c-1 downto 0); + variable ack_v : std_ulogic; + variable err_v : std_ulogic; + begin + rdata_v := (others => '0'); + ack_v := '0'; + err_v := '0'; + for i in resp_bus'range loop + rdata_v := rdata_v or resp_bus(i).rdata; -- read data + ack_v := ack_v or resp_bus(i).ack; -- acknowledge + err_v := err_v or resp_bus(i).err; -- error + end loop; -- i + p_bus.rdata <= rdata_v; -- processor bus: CPU transfer data input + p_bus.ack <= ack_v; -- processor bus: CPU transfer ACK input + p_bus.err <= err_v; -- processor bus: CPU transfer data bus error input + end process; + + + -- Bus Keeper (BUSKEEPER) ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_bus_keeper_inst: neorv32_bus_keeper + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + rstn_i => sys_rstn, -- global reset line, low-active, use as async + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- byte write enable + data_o => resp_bus(RESP_BUSKEEPER).rdata, -- data out + ack_o => resp_bus(RESP_BUSKEEPER).ack, -- transfer acknowledge + err_o => bus_error, -- transfer error + -- bus monitoring -- + bus_addr_i => p_bus.addr, -- address + bus_rden_i => p_bus.re, -- read enable + bus_wren_i => p_bus.we, -- write enable + bus_ack_i => p_bus.ack, -- transfer acknowledge from bus system + bus_err_i => p_bus.err, -- transfer error from bus system + bus_tmo_i => ext_timeout, -- transfer timeout (external interface) + bus_ext_i => ext_access -- external bus access + ); + + -- unused, BUSKEEPER **directly** issues error to the CPU -- + resp_bus(RESP_BUSKEEPER).err <= '0'; + + + -- Processor-Internal Instruction Memory (IMEM) ------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_int_imem_inst_true: + if (MEM_INT_IMEM_EN = true) and (MEM_INT_IMEM_SIZE > 0) generate + neorv32_int_imem_inst: neorv32_imem + generic map ( + IMEM_BASE => imem_base_c, -- memory base address + IMEM_SIZE => MEM_INT_IMEM_SIZE, -- processor-internal instruction memory size in bytes + IMEM_AS_IROM => not INT_BOOTLOADER_EN -- implement IMEM as pre-initialized read-only memory? + ) + port map ( + clk_i => clk_i, -- global clock line + rden_i => p_bus.re, -- read enable + wren_i => p_bus.we, -- write enable + ben_i => p_bus.ben, -- byte write enable + addr_i => p_bus.addr, -- address + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_IMEM).rdata, -- data out + ack_o => resp_bus(RESP_IMEM).ack -- transfer acknowledge + ); + resp_bus(RESP_IMEM).err <= '0'; -- no access error possible + end generate; + + neorv32_int_imem_inst_false: + if (MEM_INT_IMEM_EN = false) or (MEM_INT_IMEM_SIZE = 0) generate + resp_bus(RESP_IMEM) <= resp_bus_entry_terminate_c; + end generate; + + + -- Processor-Internal Data Memory (DMEM) -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_int_dmem_inst_true: + if (MEM_INT_DMEM_EN = true) and (MEM_INT_DMEM_SIZE > 0) generate + neorv32_int_dmem_inst: neorv32_dmem + generic map ( + DMEM_BASE => dmem_base_c, -- memory base address + DMEM_SIZE => MEM_INT_DMEM_SIZE -- processor-internal data memory size in bytes + ) + port map ( + clk_i => clk_i, -- global clock line + rden_i => p_bus.re, -- read enable + wren_i => p_bus.we, -- write enable + ben_i => p_bus.ben, -- byte write enable + addr_i => p_bus.addr, -- address + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_DMEM).rdata, -- data out + ack_o => resp_bus(RESP_DMEM).ack -- transfer acknowledge + ); + resp_bus(RESP_DMEM).err <= '0'; -- no access error possible + end generate; + + neorv32_int_dmem_inst_false: + if (MEM_INT_DMEM_EN = false) or (MEM_INT_DMEM_SIZE = 0) generate + resp_bus(RESP_DMEM) <= resp_bus_entry_terminate_c; + end generate; + + + -- Processor-Internal Bootloader ROM (BOOTROM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_boot_rom_inst_true: + if (INT_BOOTLOADER_EN = true) generate + neorv32_boot_rom_inst: neorv32_boot_rom + generic map ( + BOOTROM_BASE => boot_rom_base_c -- boot ROM base address + ) + port map ( + clk_i => clk_i, -- global clock line + rden_i => p_bus.re, -- read enable + addr_i => p_bus.addr, -- address + data_o => resp_bus(RESP_BOOTROM).rdata, -- data out + ack_o => resp_bus(RESP_BOOTROM).ack -- transfer acknowledge + ); + resp_bus(RESP_BOOTROM).err <= '0'; -- no access error possible + end generate; + + neorv32_boot_rom_inst_false: + if (INT_BOOTLOADER_EN = false) generate + resp_bus(RESP_BOOTROM) <= resp_bus_entry_terminate_c; + end generate; + + + -- External Wishbone Gateway (WISHBONE) --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_wishbone_inst_true: + if (MEM_EXT_EN = true) generate + neorv32_wishbone_inst: neorv32_wishbone + generic map ( + -- Internal instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Interface Configuration -- + BUS_TIMEOUT => MEM_EXT_TIMEOUT, -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception + PIPE_MODE => MEM_EXT_PIPE_MODE, -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + BIG_ENDIAN => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian + ASYNC_RX => MEM_EXT_ASYNC_RX -- use register buffer for RX data when false + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock line + rstn_i => sys_rstn, -- global reset line, low-active + -- host access -- + src_i => p_bus.src, -- access type (0: data, 1:instruction) + addr_i => p_bus.addr, -- address + rden_i => p_bus.re, -- read enable + wren_i => p_bus.we, -- write enable + ben_i => p_bus.ben, -- byte write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_WISHBONE).rdata, -- data out + lock_i => p_bus.lock, -- exclusive access request + ack_o => resp_bus(RESP_WISHBONE).ack, -- transfer acknowledge + err_o => resp_bus(RESP_WISHBONE).err, -- transfer error + tmo_o => ext_timeout, -- transfer timeout + priv_i => p_bus.priv, -- current CPU privilege level + ext_o => ext_access, -- active external access + -- wishbone interface -- + wb_tag_o => wb_tag_o, -- request tag + wb_adr_o => wb_adr_o, -- address + wb_dat_i => wb_dat_i, -- read data + wb_dat_o => wb_dat_o, -- write data + wb_we_o => wb_we_o, -- read/write + wb_sel_o => wb_sel_o, -- byte enable + wb_stb_o => wb_stb_o, -- strobe + wb_cyc_o => wb_cyc_o, -- valid cycle + wb_lock_o => wb_lock_o, -- exclusive access request + wb_ack_i => wb_ack_i, -- transfer acknowledge + wb_err_i => wb_err_i -- transfer error + ); + end generate; + + neorv32_wishbone_inst_false: + if (MEM_EXT_EN = false) generate + resp_bus(RESP_WISHBONE) <= resp_bus_entry_terminate_c; + ext_timeout <= '0'; + ext_access <= '0'; + -- + wb_adr_o <= (others => '0'); + wb_dat_o <= (others => '0'); + wb_we_o <= '0'; + wb_sel_o <= (others => '0'); + wb_stb_o <= '0'; + wb_cyc_o <= '0'; + wb_lock_o <= '0'; + wb_tag_o <= (others => '0'); + end generate; + + + -- IO Access? ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + io_acc <= '1' when (p_bus.addr(data_width_c-1 downto index_size_f(io_size_c)) = io_base_c(data_width_c-1 downto index_size_f(io_size_c))) else '0'; + io_rden <= io_acc and p_bus.re and (not p_bus.src); -- PMA: no_execute for IO region + -- the default NEORV32 peripheral/IO devices in the IO area can only be written in word mode (reduces HW complexity) + io_wren <= io_acc and p_bus.we and and_reduce_f(p_bus.ben) and (not p_bus.src); -- PMA: write32 only, no_execute for IO region + + + -- Custom Functions Subsystem (CFS) ------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_cfs_inst_true: + if (IO_CFS_EN = true) generate + neorv32_cfs_inst: neorv32_cfs + generic map ( + CFS_CONFIG => IO_CFS_CONFIG, -- custom CFS configuration generic + CFS_IN_SIZE => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits + CFS_OUT_SIZE => IO_CFS_OUT_SIZE -- size of CFS output conduit in bits + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + rstn_i => sys_rstn, -- global reset line, low-active, use as async + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- byte write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_CFS).rdata, -- data out + ack_o => resp_bus(RESP_CFS).ack, -- transfer acknowledge + err_o => resp_bus(RESP_CFS).err, -- access error + -- clock generator -- + clkgen_en_o => cfs_cg_en, -- enable clock generator + clkgen_i => clk_gen, -- "clock" inputs + -- interrupt -- + irq_o => cfs_irq, -- interrupt request + -- custom io (conduit) -- + cfs_in_i => cfs_in_i, -- custom inputs + cfs_out_o => cfs_out_o -- custom outputs + ); + end generate; + + neorv32_cfs_inst_false: + if (IO_CFS_EN = false) generate + resp_bus(RESP_CFS) <= resp_bus_entry_terminate_c; + cfs_cg_en <= '0'; + cfs_irq <= '0'; + cfs_out_o <= (others => '0'); + end generate; + + + -- General Purpose Input/Output Port (GPIO) ----------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_gpio_inst_true: + if (IO_GPIO_EN = true) generate + neorv32_gpio_inst: neorv32_gpio + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_GPIO).rdata, -- data out + ack_o => resp_bus(RESP_GPIO).ack, -- transfer acknowledge + -- parallel io -- + gpio_o => gpio_o, + gpio_i => gpio_i + ); + resp_bus(RESP_GPIO).err <= '0'; -- no access error possible + end generate; + + neorv32_gpio_inst_false: + if (IO_GPIO_EN = false) generate + resp_bus(RESP_GPIO) <= resp_bus_entry_terminate_c; + gpio_o <= (others => '0'); + end generate; + + + -- Watch Dog Timer (WDT) ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_wdt_inst_true: + if (IO_WDT_EN = true) generate + neorv32_wdt_inst: neorv32_wdt + generic map( + DEBUG_EN => ON_CHIP_DEBUGGER_EN -- CPU debug mode implemented? + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + rstn_i => ext_rstn, -- global reset line, low-active + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + addr_i => p_bus.addr, -- address + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_WDT).rdata, -- data out + ack_o => resp_bus(RESP_WDT).ack, -- transfer acknowledge + -- CPU in debug mode? -- + cpu_debug_i => debug_mode, + -- clock generator -- + clkgen_en_o => wdt_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- timeout event -- + irq_o => wdt_irq, -- timeout IRQ + rstn_o => wdt_rstn -- timeout reset, low_active, use it as async! + ); + resp_bus(RESP_WDT).err <= '0'; -- no access error possible + end generate; + + neorv32_wdt_inst_false: + if (IO_WDT_EN = false) generate + resp_bus(RESP_WDT) <= resp_bus_entry_terminate_c; + wdt_irq <= '0'; + wdt_rstn <= '1'; + wdt_cg_en <= '0'; + end generate; + + + -- Machine System Timer (MTIME) ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_mtime_inst_true: + if (IO_MTIME_EN = true) generate + neorv32_mtime_inst: neorv32_mtime + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_MTIME).rdata, -- data out + ack_o => resp_bus(RESP_MTIME).ack, -- transfer acknowledge + -- time output for CPU -- + time_o => mtime_time, -- current system time + -- interrupt -- + irq_o => mtime_irq -- interrupt request + ); + resp_bus(RESP_MTIME).err <= '0'; -- no access error possible + end generate; + + neorv32_mtime_inst_false: + if (IO_MTIME_EN = false) generate + resp_bus(RESP_MTIME) <= resp_bus_entry_terminate_c; + mtime_time <= mtime_i; -- use external machine timer time signal + mtime_irq <= mtime_irq_i; -- use external machine timer interrupt + end generate; + + + -- system time output LO -- + mtime_sync: process(clk_i) + begin + if rising_edge(clk_i) then + -- buffer low word one clock cycle to compensate for MTIME's 1-cycle delay + -- when overflowing from low-word to high-word -> only relevant for processor-external devices + -- processor-internal devices (= the CPU) do not care about this delay offset as 64-bit MTIME.TIME + -- cannot be accessed within a single cycle + if (IO_MTIME_EN = true) then + mtime_o(31 downto 0) <= mtime_time(31 downto 0); + else + mtime_o(31 downto 0) <= (others => '0'); + end if; + end if; + end process mtime_sync; + + -- system time output HI -- + mtime_o(63 downto 32) <= mtime_time(63 downto 32) when (IO_MTIME_EN = true) else (others => '0'); + + + -- Primary Universal Asynchronous Receiver/Transmitter (UART0) ---------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_uart0_inst_true: + if (IO_UART0_EN = true) generate + neorv32_uart0_inst: neorv32_uart + generic map ( + UART_PRIMARY => true, -- true = primary UART (UART0), false = secondary UART (UART1) + UART_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + UART_TX_FIFO => IO_UART0_TX_FIFO -- TX fifo depth, has to be a power of two, min 1 + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_UART0).rdata, -- data out + ack_o => resp_bus(RESP_UART0).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => uart0_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- com lines -- + uart_txd_o => uart0_txd_o, + uart_rxd_i => uart0_rxd_i, + -- hardware flow control -- + uart_rts_o => uart0_rts_o, -- UART.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => uart0_cts_i, -- UART.TX allowed to transmit, low-active, optional + -- interrupts -- + irq_rxd_o => uart0_rxd_irq, -- uart data received interrupt + irq_txd_o => uart0_txd_irq -- uart transmission done interrupt + ); + resp_bus(RESP_UART0).err <= '0'; -- no access error possible + end generate; + + neorv32_uart0_inst_false: + if (IO_UART0_EN = false) generate + resp_bus(RESP_UART0) <= resp_bus_entry_terminate_c; + uart0_txd_o <= '0'; + uart0_rts_o <= '0'; + uart0_cg_en <= '0'; + uart0_rxd_irq <= '0'; + uart0_txd_irq <= '0'; + end generate; + + + -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) -------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_uart1_inst_true: + if (IO_UART1_EN = true) generate + neorv32_uart1_inst: neorv32_uart + generic map ( + UART_PRIMARY => false, -- true = primary UART (UART0), false = secondary UART (UART1) + UART_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + UART_TX_FIFO => IO_UART1_TX_FIFO -- TX fifo depth, has to be a power of two, min 1 + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_UART1).rdata, -- data out + ack_o => resp_bus(RESP_UART1).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => uart1_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- com lines -- + uart_txd_o => uart1_txd_o, + uart_rxd_i => uart1_rxd_i, + -- hardware flow control -- + uart_rts_o => uart1_rts_o, -- UART.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => uart1_cts_i, -- UART.TX allowed to transmit, low-active, optional + -- interrupts -- + irq_rxd_o => uart1_rxd_irq, -- uart data received interrupt + irq_txd_o => uart1_txd_irq -- uart transmission done interrupt + ); + resp_bus(RESP_UART1).err <= '0'; -- no access error possible + end generate; + + neorv32_uart1_inst_false: + if (IO_UART1_EN = false) generate + resp_bus(RESP_UART1) <= resp_bus_entry_terminate_c; + uart1_txd_o <= '0'; + uart1_rts_o <= '0'; + uart1_cg_en <= '0'; + uart1_rxd_irq <= '0'; + uart1_txd_irq <= '0'; + end generate; + + + -- Serial Peripheral Interface (SPI) ------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_spi_inst_true: + if (IO_SPI_EN = true) generate + neorv32_spi_inst: neorv32_spi + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_SPI).rdata, -- data out + ack_o => resp_bus(RESP_SPI).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => spi_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- com lines -- + spi_sck_o => spi_sck_o, -- SPI serial clock + spi_sdo_o => spi_sdo_o, -- controller data out, peripheral data in + spi_sdi_i => spi_sdi_i, -- controller data in, peripheral data out + spi_csn_o => spi_csn_o, -- SPI CS + -- interrupt -- + irq_o => spi_irq -- transmission done interrupt + ); + resp_bus(RESP_SPI).err <= '0'; -- no access error possible + end generate; + + neorv32_spi_inst_false: + if (IO_SPI_EN = false) generate + resp_bus(RESP_SPI) <= resp_bus_entry_terminate_c; + spi_sck_o <= '0'; + spi_sdo_o <= '0'; + spi_csn_o <= (others => '1'); -- CSn lines are low-active + spi_cg_en <= '0'; + spi_irq <= '0'; + end generate; + + + -- Two-Wire Interface (TWI) --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_twi_inst_true: + if (IO_TWI_EN = true) generate + neorv32_twi_inst: neorv32_twi + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_TWI).rdata, -- data out + ack_o => resp_bus(RESP_TWI).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => twi_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- com lines -- + twi_sda_io => twi_sda_io, -- serial data line + twi_scl_io => twi_scl_io, -- serial clock line + -- interrupt -- + irq_o => twi_irq -- transfer done IRQ + ); + resp_bus(RESP_TWI).err <= '0'; -- no access error possible + end generate; + + neorv32_twi_inst_false: + if (IO_TWI_EN = false) generate + resp_bus(RESP_TWI) <= resp_bus_entry_terminate_c; + twi_sda_io <= 'Z'; + twi_scl_io <= 'Z'; + twi_cg_en <= '0'; + twi_irq <= '0'; + end generate; + + + -- Pulse-Width Modulation Controller (PWM) ------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + neorv32_pwm_inst_true: + if (IO_PWM_NUM_CH > 0) generate + neorv32_pwm_inst: neorv32_pwm + generic map ( + NUM_CHANNELS => IO_PWM_NUM_CH -- number of PWM channels (0..60) + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_PWM).rdata, -- data out + ack_o => resp_bus(RESP_PWM).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => pwm_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- pwm output channels -- + pwm_o => pwm_o + ); + resp_bus(RESP_PWM).err <= '0'; -- no access error possible + end generate; + + neorv32_pwm_inst_false: + if (IO_PWM_NUM_CH = 0) generate + resp_bus(RESP_PWM) <= resp_bus_entry_terminate_c; + pwm_cg_en <= '0'; + pwm_o <= (others => '0'); + end generate; + + + -- True Random Number Generator (TRNG) ---------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_trng_inst_true: + if (IO_TRNG_EN = true) generate + neorv32_trng_inst: neorv32_trng + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_TRNG).rdata, -- data out + ack_o => resp_bus(RESP_TRNG).ack -- transfer acknowledge + ); + resp_bus(RESP_TRNG).err <= '0'; -- no access error possible + end generate; + + neorv32_trng_inst_false: + if (IO_TRNG_EN = false) generate + resp_bus(RESP_TRNG) <= resp_bus_entry_terminate_c; + end generate; + + + -- Smart LED (WS2811/WS2812) Interface (NEOLED) ------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_neoled_inst_true: + if (IO_NEOLED_EN = true) generate + neorv32_neoled_inst: neorv32_neoled + generic map ( + FIFO_DEPTH => IO_NEOLED_TX_FIFO -- TX FIFO depth (1..32k, power of two) + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_NEOLED).rdata, -- data out + ack_o => resp_bus(RESP_NEOLED).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => neoled_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- interrupt -- + irq_o => neoled_irq, -- interrupt request + -- NEOLED output -- + neoled_o => neoled_o -- serial async data line + ); + resp_bus(RESP_NEOLED).err <= '0'; -- no access error possible + end generate; + + neorv32_neoled_inst_false: + if (IO_NEOLED_EN = false) generate + resp_bus(RESP_NEOLED) <= resp_bus_entry_terminate_c; + neoled_cg_en <= '0'; + neoled_irq <= '0'; + neoled_o <= '0'; + end generate; + + + -- Stream Link Interface (SLINK) ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_slink_inst_true: + if (io_slink_en_c = true) generate + neorv32_slink_inst: neorv32_slink + generic map ( + SLINK_NUM_TX => SLINK_NUM_TX, -- number of TX links (0..8) + SLINK_NUM_RX => SLINK_NUM_RX, -- number of TX links (0..8) + SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO => SLINK_RX_FIFO -- RX fifo depth, has to be a power of two + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_SLINK).rdata, -- data out + ack_o => resp_bus(RESP_SLINK).ack, -- transfer acknowledge + -- interrupt -- + irq_tx_o => slink_tx_irq, -- transmission done + irq_rx_o => slink_rx_irq, -- data received + -- TX stream interfaces -- + slink_tx_dat_o => slink_tx_dat_o, -- output data + slink_tx_val_o => slink_tx_val_o, -- valid output + slink_tx_rdy_i => slink_tx_rdy_i, -- ready to send + -- RX stream interfaces -- + slink_rx_dat_i => slink_rx_dat_i, -- input data + slink_rx_val_i => slink_rx_val_i, -- valid input + slink_rx_rdy_o => slink_rx_rdy_o -- ready to receive + ); + resp_bus(RESP_SLINK).err <= '0'; -- no access error possible + end generate; + + neorv32_slink_inst_false: + if (io_slink_en_c = false) generate + resp_bus(RESP_SLINK) <= resp_bus_entry_terminate_c; + slink_tx_irq <= '0'; + slink_rx_irq <= '0'; + slink_tx_dat_o <= (others => (others => '0')); + slink_tx_val_o <= (others => '0'); + slink_rx_rdy_o <= (others => '0'); + end generate; + + + -- External Interrupt Controller (XIRQ) --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_xirq_inst_true: + if (XIRQ_NUM_CH > 0) generate + neorv32_slink_inst: neorv32_xirq + generic map ( + XIRQ_NUM_CH => XIRQ_NUM_CH, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => XIRQ_TRIGGER_TYPE, -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_XIRQ).rdata, -- data out + ack_o => resp_bus(RESP_XIRQ).ack, -- transfer acknowledge + -- external interrupt lines -- + xirq_i => xirq_i, + -- CPU interrupt -- + cpu_irq_o => xirq_irq + ); + resp_bus(RESP_XIRQ).err <= '0'; -- no access error possible + end generate; + + neorv32_xirq_inst_false: + if (XIRQ_NUM_CH = 0) generate + resp_bus(RESP_XIRQ) <= resp_bus_entry_terminate_c; + xirq_irq <= '0'; + end generate; + + + -- General Purpose Timer (GPTMR) ---------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_gptmr_inst_true: + if (IO_GPTMR_EN = true) generate + neorv32_gptmr_inst: neorv32_gptmr + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + wren_i => io_wren, -- write enable + data_i => p_bus.wdata, -- data in + data_o => resp_bus(RESP_GPTMR).rdata, -- data out + ack_o => resp_bus(RESP_GPTMR).ack, -- transfer acknowledge + -- clock generator -- + clkgen_en_o => gptmr_cg_en, -- enable clock generator + clkgen_i => clk_gen, + -- interrupt -- + irq_o => gptmr_irq -- transmission done interrupt + ); + resp_bus(RESP_GPTMR).err <= '0'; -- no access error possible + end generate; + + neorv32_gptmr_inst_false: + if (IO_GPTMR_EN = false) generate + resp_bus(RESP_GPTMR) <= resp_bus_entry_terminate_c; + gptmr_cg_en <= '0'; + gptmr_irq <= '0'; + end generate; + + + -- System Configuration Information Memory (SYSINFO) -------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_sysinfo_inst: neorv32_sysinfo + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => INT_BOOTLOADER_EN, -- implement processor-internal bootloader? + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, -- implement multiply-only M sub-extension? + CPU_EXTENSION_RISCV_DEBUG => ON_CHIP_DEBUGGER_EN, -- implement CPU debug mode? + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + -- Physical memory protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + -- internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity (min 1), has to be a power 2 + -- External memory interface -- + MEM_EXT_EN => MEM_EXT_EN, -- implement external memory bus interface? + MEM_EXT_BIG_ENDIAN => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian + -- On-Chip Debugger -- + ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN, -- implement OCD? + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => IO_UART0_EN, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN => IO_UART1_EN, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN => IO_SPI_EN, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => IO_TWI_EN, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => IO_TRNG_EN, -- implement true random number generator (TRNG)? + IO_CFS_EN => IO_CFS_EN, -- implement custom functions subsystem (CFS)? + IO_SLINK_EN => io_slink_en_c, -- implement stream link interface? + IO_NEOLED_EN => IO_NEOLED_EN, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_XIRQ_NUM_CH => XIRQ_NUM_CH, -- number of external interrupt (XIRQ) channels to implement + IO_GPTMR_EN => IO_GPTMR_EN -- implement general purpose timer (GPTMR)? + ) + port map ( + -- host access -- + clk_i => clk_i, -- global clock line + addr_i => p_bus.addr, -- address + rden_i => io_rden, -- read enable + data_o => resp_bus(RESP_SYSINFO).rdata, -- data out + ack_o => resp_bus(RESP_SYSINFO).ack -- transfer acknowledge + ); + + resp_bus(RESP_SYSINFO).err <= '0'; -- no access error possible + + + -- ************************************************************************************************************************** + -- On-Chip Debugger Complex + -- ************************************************************************************************************************** + + + -- On-Chip Debugger - Debug Module (DM) --------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_neorv32_debug_dm_true: + if (ON_CHIP_DEBUGGER_EN = true) generate + neorv32_debug_dm_inst: neorv32_debug_dm + port map ( + -- global control -- + clk_i => clk_i, -- global clock line + rstn_i => ext_rstn, -- external reset, low-active + -- debug module interface (DMI) -- + dmi_rstn_i => dmi.rstn, + dmi_req_valid_i => dmi.req_valid, + dmi_req_ready_o => dmi.req_ready, + dmi_req_addr_i => dmi.req_addr, + dmi_req_op_i => dmi.req_op, + dmi_req_data_i => dmi.req_data, + dmi_resp_valid_o => dmi.resp_valid, -- response valid when set + dmi_resp_ready_i => dmi.resp_ready, -- ready to receive respond + dmi_resp_data_o => dmi.resp_data, + dmi_resp_err_o => dmi.resp_err, -- 0=ok, 1=error + -- CPU bus access -- + cpu_addr_i => p_bus.addr, -- address + cpu_rden_i => p_bus.re, -- read enable + cpu_wren_i => p_bus.we, -- write enable + cpu_data_i => p_bus.wdata, -- data in + cpu_data_o => resp_bus(RESP_OCD).rdata, -- data out + cpu_ack_o => resp_bus(RESP_OCD).ack, -- transfer acknowledge + -- CPU control -- + cpu_ndmrstn_o => dci_ndmrstn, -- soc reset + cpu_halt_req_o => dci_halt_req -- request hart to halt (enter debug mode) + ); + resp_bus(RESP_OCD).err <= '0'; -- no access error possible + end generate; + + neorv32_debug_dm_false: + if (ON_CHIP_DEBUGGER_EN = false) generate + dmi.req_ready <= '0'; + dmi.resp_valid <= '0'; + dmi.resp_data <= (others => '0'); + dmi.resp_err <= '0'; + -- + resp_bus(RESP_OCD) <= resp_bus_entry_terminate_c; + dci_ndmrstn <= '1'; + dci_halt_req <= '0'; + end generate; + + + -- On-Chip Debugger - Debug Transport Module (DTM) ---------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_neorv32_debug_dtm_true: + if (ON_CHIP_DEBUGGER_EN = true) generate + neorv32_debug_dtm_inst: neorv32_debug_dtm + generic map ( + IDCODE_VERSION => jtag_tap_idcode_version_c, -- version + IDCODE_PARTID => jtag_tap_idcode_partid_c, -- part number + IDCODE_MANID => jtag_tap_idcode_manid_c -- manufacturer id + ) + port map ( + -- global control -- + clk_i => clk_i, -- global clock line + rstn_i => ext_rstn, -- external reset, low-active + -- jtag connection -- + jtag_trst_i => jtag_trst_i, + jtag_tck_i => jtag_tck_i, + jtag_tdi_i => jtag_tdi_i, + jtag_tdo_o => jtag_tdo_o, + jtag_tms_i => jtag_tms_i, + -- debug module interface (DMI) -- + dmi_rstn_o => dmi.rstn, + dmi_req_valid_o => dmi.req_valid, + dmi_req_ready_i => dmi.req_ready, -- DMI is allowed to make new requests when set + dmi_req_addr_o => dmi.req_addr, + dmi_req_op_o => dmi.req_op, -- 0=read, 1=write + dmi_req_data_o => dmi.req_data, + dmi_resp_valid_i => dmi.resp_valid, -- response valid when set + dmi_resp_ready_o => dmi.resp_ready, -- ready to receive respond + dmi_resp_data_i => dmi.resp_data, + dmi_resp_err_i => dmi.resp_err -- 0=ok, 1=error + ); + end generate; + + neorv32_debug_dtm_false: + if (ON_CHIP_DEBUGGER_EN = false) generate + jtag_tdo_o <= jtag_tdi_i; -- feed-through + -- + dmi.rstn <= '0'; + dmi.req_valid <= '0'; + dmi.req_addr <= (others => '0'); + dmi.req_op <= '0'; + dmi.req_data <= (others => '0'); + dmi.resp_ready <= '0'; + end generate; + + +end neorv32_top_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_trng.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_trng.vhd new file mode 100644 index 0000000..0eaeb41 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_trng.vhd @@ -0,0 +1,550 @@ +-- ################################################################################################# +-- # << NEORV32 - True Random Number Generator (TRNG) >> # +-- # ********************************************************************************************* # +-- # This processor module instantiates the "neoTRNG" true random number generator. # +-- # See the neoTRNG's documentation for more information: https://github.com/stnolting/neoTRNG # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_trng is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic -- transfer acknowledge + ); +end neorv32_trng; + +architecture neorv32_trng_rtl of neorv32_trng is + + -- neoTRNG Configuration ------------------------------------------------------------------------------------------- + constant num_cells_c : natural := 3; -- total number of ring-oscillator cells + constant num_inv_start_c : natural := 3; -- number of inverters in first cell (short path), has to be odd + constant num_inv_inc_c : natural := 2; -- number of additional inverters in next cell (short path), has to be even + constant num_inv_delay_c : natural := 2; -- additional inverters to form cell's long path, has to be even + -- ----------------------------------------------------------------------------------------------------------------- + + -- control register bits -- + constant ctrl_data_lsb_c : natural := 0; -- r/-: Random data byte LSB + constant ctrl_data_msb_c : natural := 7; -- r/-: Random data byte MSB + constant ctrl_en_c : natural := 30; -- r/w: TRNG enable + constant ctrl_valid_c : natural := 31; -- r/-: Output data valid + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(trng_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal wren : std_ulogic; -- full word write enable + signal rden : std_ulogic; -- read enable + + -- Component: neoTRNG true random number generator -- + component neoTRNG + generic ( + NUM_CELLS : natural; -- total number of ring-oscillator cells + NUM_INV_START : natural; -- number of inverters in first cell (short path), has to be odd + NUM_INV_INC : natural; -- number of additional inverters in next cell (short path), has to be even + NUM_INV_DELAY : natural -- additional inverters to form cell's long path, has to be even + ); + port ( + clk_i : in std_ulogic; -- global clock line + enable_i : in std_ulogic; -- unit enable (high-active), reset unit when low + data_o : out std_ulogic_vector(7 downto 0); -- random data byte output + valid_o : out std_ulogic -- data_o is valid when set + ); + end component; + + -- TRNG interface -- + signal trng_data : std_ulogic_vector(7 downto 0); + signal trng_valid : std_ulogic; + + -- arbiter -- + signal enable : std_ulogic; + signal valid : std_ulogic; + signal rnd_reg : std_ulogic_vector(7 downto 0); + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = trng_base_c(hi_abb_c downto lo_abb_c)) else '0'; + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- host bus acknowledge -- + ack_o <= wren or rden; + + -- write access -- + if (wren = '1') then + enable <= data_i(ctrl_en_c); + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + data_o(ctrl_data_msb_c downto ctrl_data_lsb_c) <= rnd_reg; + data_o(ctrl_en_c) <= enable; + data_o(ctrl_valid_c) <= valid; + end if; + + -- sample -- + if (trng_valid = '1') then + rnd_reg <= trng_data; + end if; + + -- data valid? -- + if (enable = '0') then -- disabled + valid <= '0'; + else + if (trng_valid = '1') then + valid <= '1'; + elsif (rden = '1') then + valid <= '0'; + end if; + end if; + end if; + end process rw_access; + + + -- neoTRNG -------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neoTRNG_inst: neoTRNG + generic map ( + NUM_CELLS => num_cells_c, + NUM_INV_START => num_inv_start_c, + NUM_INV_INC => num_inv_inc_c, + NUM_INV_DELAY => num_inv_delay_c + ) + port map ( + clk_i => clk_i, + enable_i => enable, + data_o => trng_data, + valid_o => trng_valid + ); + + +end neorv32_trng_rtl; + + +-- ############################################################################################################################ +-- ############################################################################################################################ + + +-- ################################################################################################# +-- # << neoTRNG - A Tiny and Platform-Independent True Random Number Generator for any FPGA >> # +-- # ********************************************************************************************* # +-- # This generator is based on entropy cells, which implement simple ring-oscillators. Each ring- # +-- # oscillator features a short and a long delay path that is dynamically selected defining the # +-- # primary oscillation frequency. The cells are cascaded so that the random data output of a # +-- # cell controls the delay path of the next cell (which has the next-larger inverter chain). # +-- # # +-- # The random data outputs of all cells are XOR-ed and de-biased using a von Neumann randomness # +-- # extractor (converting edges into bits). The resulting bit is sampled in chunks of 8 bits to # +-- # provide the final random data output. No further internal post-processing is applied. Hence, # +-- # the TRNG produces simple de-biased *RAW* data. # +-- # # +-- # The entropy cell architecture uses individually-controlled latches and inverters to create # +-- # the inverter chain in a platform-agnostic style that can be implemented for any FPGA without # +-- # requiring primitive instantiation or technology-specific attributes. # +-- # # +-- # See the neoTRNG's documentation for more information: https://github.com/stnolting/neoTRNG # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # neoTRNG - https://github.com/stnolting/neoTRNG (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity neoTRNG is + generic ( + NUM_CELLS : natural; -- total number of ring-oscillator cells + NUM_INV_START : natural; -- number of inverters in first cell (short path), has to be odd + NUM_INV_INC : natural; -- number of additional inverters in next cell (short path), has to be even + NUM_INV_DELAY : natural -- additional inverters to form cell's long path, has to be even + ); + port ( + clk_i : in std_ulogic; -- global clock line + enable_i : in std_ulogic; -- unit enable (high-active), reset unit when low + data_o : out std_ulogic_vector(7 downto 0); -- random data byte output + valid_o : out std_ulogic -- data_o is valid when set + ); +end neoTRNG; + +architecture neoTRNG_rtl of neoTRNG is + + -- Component: neoTRNG entropy cell -- + component neoTRNG_cell + generic ( + NUM_INV_S : natural; -- number of inverters in short path + NUM_INV_L : natural -- number of inverters in long path + ); + port ( + clk_i : in std_ulogic; -- system clock + select_i : in std_ulogic; -- delay select + enable_i : in std_ulogic; -- enable chain input + enable_o : out std_ulogic; -- enable chain output + data_o : out std_ulogic -- sync random bit + ); + end component; + + -- ring-oscillator array interconnect -- + type cell_array_t is record + en_in : std_ulogic_vector(NUM_CELLS-1 downto 0); + en_out : std_ulogic_vector(NUM_CELLS-1 downto 0); + rnd : std_ulogic_vector(NUM_CELLS-1 downto 0); + sel : std_ulogic_vector(NUM_CELLS-1 downto 0); + end record; + signal cell_array : cell_array_t; + + -- global cell-XOR -- + signal rnd_bit : std_ulogic; + + -- von-Neumann de-biasing -- + type debiasing_t is record + sreg : std_ulogic_vector(1 downto 0); + state : std_ulogic; -- process de-biasing every second cycle + valid : std_ulogic; -- de-biased data + data : std_ulogic; -- de-biased data valid + end record; + signal deb : debiasing_t; + + -- control unit -- + type ctrl_t is record + enable : std_ulogic; + run : std_ulogic; + cnt : std_ulogic_vector(2 downto 0); -- bit counter + sreg : std_ulogic_vector(7 downto 0); -- data shift register + end record; + signal ctrl : ctrl_t; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (NUM_CELLS < 2) report "neoTRNG config ERROR: Total number of ring-oscillator cells has to be >= 2." severity error; + assert not ((NUM_INV_START mod 2) = 0) report "neoTRNG config ERROR: Number of inverters in first cell has to be odd." severity error; + assert not ((NUM_INV_INC mod 2) /= 0) report "neoTRNG config ERROR: Inverter increment for each next cell has to be even." severity error; + assert not ((NUM_INV_DELAY mod 2) /= 0) report "neoTRNG config ERROR: Inverter increment to form long path has to be even." severity error; + + + -- Entropy Source ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neoTRNG_cell_inst: + for i in 0 to NUM_CELLS-1 generate + neoTRNG_cell_inst_i: neoTRNG_cell + generic map ( + NUM_INV_S => NUM_INV_START + (i*NUM_INV_INC), -- number of inverters in short chain + NUM_INV_L => NUM_INV_START + (i*NUM_INV_INC) + NUM_INV_DELAY -- number of inverters in long chain + ) + port map ( + clk_i => clk_i, + select_i => cell_array.sel(i), + enable_i => cell_array.en_in(i), + enable_o => cell_array.en_out(i), + data_o => cell_array.rnd(i) -- SYNC data output + ); + end generate; + + -- path select chain -- + cell_array.sel(0) <= cell_array.rnd(NUM_CELLS-1); -- use output of last cell to select path of first cell + cell_array.sel(NUM_CELLS-1 downto 1) <= cell_array.rnd(NUM_CELLS-2 downto 0); -- i+1 <= i + + -- enable chain -- + cell_array.en_in(0) <= ctrl.enable; -- start of chain + cell_array.en_in(NUM_CELLS-1 downto 1) <=cell_array.en_out(NUM_CELLS-2 downto 0); -- i+1 <= i + + + -- XOR All Cell's Outputs ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + cell_xor: process(cell_array.rnd) + variable tmp_v : std_ulogic; + begin + tmp_v := '0'; + for i in 0 to NUM_CELLS-1 loop + tmp_v := tmp_v xor cell_array.rnd(i); + end loop; -- i + rnd_bit <= tmp_v; + end process cell_xor; + + + -- John von Neumann Randomness Extractor -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + debiasing_sync: process(clk_i) + begin + if rising_edge(clk_i) then + deb.sreg <= deb.sreg(0) & rnd_bit; + -- start operation when last cell is enabled and process in every second cycle -- + deb.state <= (not deb.state) and cell_array.en_out(NUM_CELLS-1); + end if; + end process debiasing_sync; + + -- edge detector -- + debiasing_comb: process(deb) + variable tmp_v : std_ulogic_vector(2 downto 0); + begin + tmp_v := deb.state & deb.sreg(1 downto 0); -- check groups of two non-overlapping bits from the input stream + case tmp_v is + when "101" => deb.valid <= '1'; deb.data <= '0'; -- rising edge = '0' + when "110" => deb.valid <= '1'; deb.data <= '1'; -- falling edge = '1' + when others => deb.valid <= '0'; deb.data <= '-'; -- no valid data + end case; + end process debiasing_comb; + + + -- Control Unit --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + control_unit: process(clk_i) + begin + if rising_edge(clk_i) then + -- make sure enable is sync -- + ctrl.enable <= enable_i; + + -- sample chunks of 8 bit -- + if (ctrl.enable = '0') then + ctrl.cnt <= (others => '0'); + ctrl.run <= '0'; + elsif (deb.valid = '1') then -- valid random sample? + ctrl.cnt <= std_ulogic_vector(unsigned(ctrl.cnt) + 1); + ctrl.run <= '1'; + end if; + + -- sample shift register -- + if (deb.valid = '1') then + ctrl.sreg <= ctrl.sreg(ctrl.sreg'left-1 downto 0) & deb.data; + end if; + + end if; + end process control_unit; + + -- random byte output -- + data_o <= ctrl.sreg; + + -- data valid? -- + valid_o <= '1' when (ctrl.cnt = "000") and (ctrl.run = '1') else '0'; + + +end neoTRNG_rtl; + + +-- ############################################################################################################################ +-- ############################################################################################################################ + + +-- ################################################################################################# +-- # << neoTRNG - A Tiny and Platform-Independent True Random Number Generator for any FPGA >> # +-- # ********************************************************************************************* # +-- # neoTRNG Entropy Cell # +-- # # +-- # The cell consists of two ring-oscillators build from inverter chains. The short chain uses # +-- # NUM_INV_S inverters and oscillates at a "high" frequency and the long chain uses NUM_INV_L # +-- # inverters and oscillates at a "low" frequency. The select_i input selects which chain is # +-- # actually used. # +-- # # +-- # Each inverter chain is constructed as an "asynchronous" shift register. The single inverters # +-- # are connected via latches that are used to enable/disable the TRNG. Also, these latches are # +-- # used as additional delay element. By using unique enable signals for each latch, the # +-- # synthesis tool cannot "optimize" (=remove) any of the inverters out of the design making the # +-- # design platform-agnostic. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # neoTRNG - https://github.com/stnolting/neoTRNG (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; + +entity neoTRNG_cell is + generic ( + NUM_INV_S : natural; -- number of inverters in short path + NUM_INV_L : natural -- number of inverters in long path + ); + port ( + clk_i : in std_ulogic; -- system clock + select_i : in std_ulogic; -- delay select + enable_i : in std_ulogic; -- enable chain input + enable_o : out std_ulogic; -- enable chain output + data_o : out std_ulogic -- sync random bit + ); +end neoTRNG_cell; + +architecture neoTRNG_cell_rtl of neoTRNG_cell is + + signal inv_chain_s : std_ulogic_vector(NUM_INV_S-1 downto 0); -- short oscillator chain + signal inv_chain_l : std_ulogic_vector(NUM_INV_L-1 downto 0); -- long oscillator chain + signal feedback : std_ulogic; -- cell feedback/output + signal enable_sreg_s : std_ulogic_vector(NUM_INV_S-1 downto 0); -- enable shift register for short chain + signal enable_sreg_l : std_ulogic_vector(NUM_INV_L-1 downto 0); -- enable shift register for long chain + signal sync_ff : std_ulogic_vector(1 downto 0); -- output signal synchronizer + +begin + + -- Ring Oscillators ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Each cell provides a short inverter chain (high frequency) and a long oscillator chain (low frequency). + -- The select_i signals defines which chain is enabled. + -- NOTE: All signals that control a inverter-latch element have to be registered to ensure a single element + -- is mapped to a single LUT (or LUT + FF(latch-mode)). + + -- short oscillator chain -- + ring_osc_short: process(enable_i, enable_sreg_s, feedback, inv_chain_s) + begin + for i in 0 to NUM_INV_S-1 loop -- inverters in short chain + if (enable_i = '0') then -- start with a defined state (latch reset) + inv_chain_s(i) <= '0'; + elsif (enable_sreg_s(i) = '1') then + if (i = NUM_INV_S-1) then -- left-most inverter? + inv_chain_s(i) <= not feedback; + else + inv_chain_s(i) <= not inv_chain_s(i+1); + end if; + end if; + end loop; -- i + end process ring_osc_short; + + -- long oscillator chain -- + ring_osc_long: process(enable_i, enable_sreg_l, feedback, inv_chain_l) + begin + for i in 0 to NUM_INV_L-1 loop -- inverters in long chain + if (enable_i = '0') then -- start with a defined state (latch reset) + inv_chain_l(i) <= '0'; + elsif (enable_sreg_l(i) = '1') then + if (i = NUM_INV_L-1) then -- left-most inverter? + inv_chain_l(i) <= not feedback; + else + inv_chain_l(i) <= not inv_chain_l(i+1); + end if; + end if; + end loop; -- i + end process ring_osc_long; + + -- length select -- + feedback <= inv_chain_l(0) when (select_i = '0') else inv_chain_s(0); + + + -- Control -------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Using individual enable signals for each inverter from a shift register to prevent the synthesis tool + -- from removing all but one inverter (since they implement "logical identical functions" (='toggle')). + -- This makes the TRNG platform independent (since we do not need to use primitives to ensure a correct architecture). + ctrl_unit: process(clk_i) + begin + if rising_edge(clk_i) then + -- enable sreg -- + enable_sreg_s <= enable_sreg_s(enable_sreg_s'left-1 downto 0) & enable_i; + enable_sreg_l <= enable_sreg_l(enable_sreg_l'left-1 downto 0) & enable_sreg_s(enable_sreg_s'left); + -- data output sync - no metastability beyond this point -- + sync_ff <= sync_ff(0) & feedback; + end if; + end process ctrl_unit; + + -- output for "enable chain" -- + enable_o <= enable_sreg_l(enable_sreg_l'left); + + -- random data output -- + data_o <= sync_ff(1); + + +end neoTRNG_cell_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_twi.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_twi.vhd new file mode 100644 index 0000000..aee310f --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_twi.vhd @@ -0,0 +1,290 @@ +-- ################################################################################################# +-- # << NEORV32 - Two-Wire Interface Controller (TWI) >> # +-- # ********************************************************************************************* # +-- # Supports START and STOP conditions, 8 bit data + ACK/NACK transfers and clock stretching. # +-- # Supports ACKs by the controller. No multi-controller support and no peripheral mode support # +-- # yet. Interrupt: "operation done" # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_twi is + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + twi_sda_io : inout std_logic; -- serial data line + twi_scl_io : inout std_logic; -- serial clock line + -- interrupt -- + irq_o : out std_ulogic -- transfer done IRQ + ); +end neorv32_twi; + +architecture neorv32_twi_rtl of neorv32_twi is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(twi_size_c); -- low address boundary bit + + -- control register -- + constant ctrl_en_c : natural := 0; -- r/w: TWI enable + constant ctrl_start_c : natural := 1; -- -/w: Generate START condition + constant ctrl_stop_c : natural := 2; -- -/w: Generate STOP condition + constant ctrl_prsc0_c : natural := 3; -- r/w: CLK prsc bit 0 + constant ctrl_prsc1_c : natural := 4; -- r/w: CLK prsc bit 1 + constant ctrl_prsc2_c : natural := 5; -- r/w: CLK prsc bit 2 + constant ctrl_mack_c : natural := 6; -- r/w: generate ACK by controller for transmission + -- + constant ctrl_ack_c : natural := 30; -- r/-: Set if ACK received + constant ctrl_busy_c : natural := 31; -- r/-: Set if TWI unit is busy + -- + signal ctrl : std_ulogic_vector(6 downto 0); -- unit's control register + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- twi clocking -- + signal twi_clk : std_ulogic; + signal twi_phase_gen : std_ulogic_vector(3 downto 0); + signal twi_clk_phase : std_ulogic_vector(3 downto 0); + + -- twi clock stretching -- + signal twi_clk_halt : std_ulogic; + + -- twi transceiver core -- + signal arbiter : std_ulogic_vector(2 downto 0); + signal bitcnt : std_ulogic_vector(3 downto 0); + signal rtx_sreg : std_ulogic_vector(8 downto 0); -- main rx/tx shift reg + + -- tri-state I/O -- + signal twi_sda_in_ff : std_ulogic_vector(1 downto 0); -- SDA input sync + signal twi_scl_in_ff : std_ulogic_vector(1 downto 0); -- SCL input sync + signal twi_sda_in : std_ulogic; + signal twi_scl_in : std_ulogic; + signal twi_sda_out : std_ulogic; + signal twi_scl_out : std_ulogic; + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = twi_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= twi_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= rden or wren; + -- write access -- + if (wren = '1') then + if (addr = twi_ctrl_addr_c) then + ctrl <= data_i(ctrl'left downto 0); + end if; + end if; + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + if (addr = twi_ctrl_addr_c) then + data_o(ctrl_en_c) <= ctrl(ctrl_en_c); + data_o(ctrl_prsc0_c) <= ctrl(ctrl_prsc0_c); + data_o(ctrl_prsc1_c) <= ctrl(ctrl_prsc1_c); + data_o(ctrl_prsc2_c) <= ctrl(ctrl_prsc2_c); + data_o(ctrl_mack_c) <= ctrl(ctrl_mack_c); + -- + data_o(ctrl_ack_c) <= not rtx_sreg(0); + data_o(ctrl_busy_c) <= arbiter(1) or arbiter(0); + else -- twi_rtx_addr_c => + data_o(7 downto 0) <= rtx_sreg(8 downto 1); + end if; + end if; + end if; + end process rw_access; + + + -- Clock Generation ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- clock generator enable -- + clkgen_en_o <= ctrl(ctrl_en_c); + + -- twi clock select -- + twi_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c)))); + + -- generate four non-overlapping clock ticks at twi_clk/4 -- + clock_phase_gen: process(clk_i) + begin + if rising_edge(clk_i) then + if (arbiter(2) = '0') or (arbiter(1 downto 0) = "00") then -- offline or idle + twi_phase_gen <= "0001"; -- make sure to start with a new phase, bit 0,1,2,3 stepping + elsif (twi_clk = '1') and (twi_clk_halt = '0') then -- enabled and no clock stretching detected + twi_phase_gen <= twi_phase_gen(2 downto 0) & twi_phase_gen(3); -- rotate left + end if; + end if; + end process clock_phase_gen; + + -- TWI bus signals are set/sampled using 4 clock phases -- + twi_clk_phase(0) <= twi_phase_gen(0) and twi_clk; -- first step + twi_clk_phase(1) <= twi_phase_gen(1) and twi_clk; + twi_clk_phase(2) <= twi_phase_gen(2) and twi_clk; + twi_clk_phase(3) <= twi_phase_gen(3) and twi_clk; -- last step + + + -- TWI Transceiver ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + twi_rtx_unit: process(clk_i) + begin + if rising_edge(clk_i) then + -- input synchronizer & sampler -- + twi_sda_in_ff <= twi_sda_in_ff(0) & twi_sda_in; + twi_scl_in_ff <= twi_scl_in_ff(0) & twi_scl_in; + + -- defaults -- + irq_o <= '0'; + + -- serial engine -- + arbiter(2) <= ctrl(ctrl_en_c); -- still activated? + case arbiter is + + when "100" => -- IDLE: waiting for requests, bus might be still claimed by this controller if no STOP condition was generated + bitcnt <= (others => '0'); + if (wren = '1') then + if (addr = twi_ctrl_addr_c) then + if (data_i(ctrl_start_c) = '1') then -- issue START condition + arbiter(1 downto 0) <= "01"; + elsif (data_i(ctrl_stop_c) = '1') then -- issue STOP condition + arbiter(1 downto 0) <= "10"; + end if; + elsif (addr = twi_rtx_addr_c) then -- start a data transmission + -- one bit extra for ack, issued by controller if ctrl_mack_c is set, + -- sampled from peripheral if ctrl_mack_c is cleared + rtx_sreg <= data_i(7 downto 0) & (not ctrl(ctrl_mack_c)); + arbiter(1 downto 0) <= "11"; + end if; + end if; + + when "101" => -- START: generate START condition + if (twi_clk_phase(0) = '1') then + twi_sda_out <= '1'; + elsif (twi_clk_phase(1) = '1') then + twi_sda_out <= '0'; + end if; + -- + if (twi_clk_phase(0) = '1') then + twi_scl_out <= '1'; + elsif (twi_clk_phase(3) = '1') then + twi_scl_out <= '0'; + irq_o <= '1'; -- Interrupt! + arbiter(1 downto 0) <= "00"; -- go back to IDLE + end if; + + when "110" => -- STOP: generate STOP condition + if (twi_clk_phase(0) = '1') then + twi_sda_out <= '0'; + elsif (twi_clk_phase(3) = '1') then + twi_sda_out <= '1'; + irq_o <= '1'; -- Interrupt! + arbiter(1 downto 0) <= "00"; -- go back to IDLE + end if; + -- + if (twi_clk_phase(0) = '1') then + twi_scl_out <= '0'; + elsif (twi_clk_phase(1) = '1') then + twi_scl_out <= '1'; + end if; + + when "111" => -- TRANSMISSION: transmission in progress + if (twi_clk_phase(0) = '1') then + bitcnt <= std_ulogic_vector(unsigned(bitcnt) + 1); + twi_scl_out <= '0'; + twi_sda_out <= rtx_sreg(8); -- MSB first + elsif (twi_clk_phase(1) = '1') then -- first half + second half of valid data strobe + twi_scl_out <= '1'; + elsif (twi_clk_phase(3) = '1') then + rtx_sreg <= rtx_sreg(7 downto 0) & twi_sda_in_ff(twi_sda_in_ff'left); -- sample and shift left + twi_scl_out <= '0'; + end if; + -- + if (bitcnt = "1010") then -- 8 data bits + 1 bit for ACK + 1 tick delay + irq_o <= '1'; -- Interrupt! + arbiter(1 downto 0) <= "00"; -- go back to IDLE + end if; + + when others => -- "0--" OFFLINE: TWI deactivated + twi_sda_out <= '1'; + twi_scl_out <= '1'; + arbiter(1 downto 0) <= "00"; -- stay here, go to idle when activated + + end case; + end if; + end process twi_rtx_unit; + + + -- Clock Stretching Detector -------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- controller wants to pull SCL high, but SCL is pulled low by peripheral -- + twi_clk_halt <= '1' when (twi_scl_out = '1') and (twi_scl_in_ff(twi_scl_in_ff'left) = '0') else '0'; + + + -- Tri-State Driver ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- SDA and SCL need to be of type std_logic to be correctly resolved in simulation + twi_sda_io <= '0' when (twi_sda_out = '0') else 'Z'; + twi_scl_io <= '0' when (twi_scl_out = '0') else 'Z'; + + -- read-back -- + twi_sda_in <= std_ulogic(twi_sda_io); + twi_scl_in <= std_ulogic(twi_scl_io); + + +end neorv32_twi_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_uart.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_uart.vhd new file mode 100644 index 0000000..87daf86 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_uart.vhd @@ -0,0 +1,648 @@ +-- ################################################################################################# +-- # << NEORV32 - Universal Asynchronous Receiver and Transmitter (UART0/1) >> # +-- # ********************************************************************************************* # +-- # Frame configuration: 1 start bit, 8 bit data, parity bit (none/even/odd), 1 stop bit, # +-- # programmable BAUD rate via clock pre-scaler and 12-bit BAUD value configuration register, # +-- # optional configurable RX and TX FIFOs. # +-- # # +-- # Interrupts: Configurable RX and TX interrupt (both triggered by specific FIFO fill-levels) # +-- # # +-- # Support for RTS("RTR")/CTS hardware flow control: # +-- # * uart_rts_o = 0: RX is ready to receive a new char, enabled via CTRL.ctrl_rts_en_c # +-- # * uart_cts_i = 0: TX is allowed to send a new char, enabled via CTRL.ctrl_cts_en_c # +-- # # +-- # UART0 / UART1: # +-- # This module is used for implementing UART0 and UART1. The UART_PRIMARY generic configures the # +-- # interface register addresses and simulation outputs for UART0 (UART_PRIMARY = true) or UART1 # +-- # (UART_PRIMARY = false). # +-- # # +-- # SIMULATION MODE: # +-- # When the simulation mode is enabled (setting the ctrl.ctrl_sim_en_c bit) any write # +-- # access to the TX register will not trigger any UART activity. Instead, the written data is # +-- # output to the simulation environment. The lowest 8 bits of the written data are printed as # +-- # ASCII char to the simulator console. # +-- # This char is also stored to the file "neorv32.uartX.sim_mode.text.out" (where X = 0 for UART0 # +-- # and X = 1 for UART1). The full 32-bit write data is also stored as 8-digit hexadecimal value # +-- # to the file "neorv32.uartX.sim_mode.data.out" (where X = 0 for UART0 and X = 1 for UART1). # +-- # No interrupts are triggered when in SIMULATION MODE. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use std.textio.all; + +entity neorv32_uart is + generic ( + UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1) + UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1 + UART_TX_FIFO : natural -- TX fifo depth, has to be a power of two, min 1 + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- com lines -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- hardware flow control -- + uart_rts_o : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional + uart_cts_i : in std_ulogic; -- UART.TX allowed to transmit, low-active, optional + -- interrupts -- + irq_rxd_o : out std_ulogic; -- uart data received interrupt + irq_txd_o : out std_ulogic -- uart transmission done interrupt + ); +end neorv32_uart; + +architecture neorv32_uart_rtl of neorv32_uart is + + -- interface configuration for UART0 / UART1 -- + constant uart_id_base_c : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_base_c, uart1_base_c); + constant uart_id_size_c : natural := cond_sel_natural_f( UART_PRIMARY, uart0_size_c, uart1_size_c); + constant uart_id_ctrl_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_ctrl_addr_c, uart1_ctrl_addr_c); + constant uart_id_rtx_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := cond_sel_stdulogicvector_f(UART_PRIMARY, uart0_rtx_addr_c, uart1_rtx_addr_c); + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(uart_id_size_c); -- low address boundary bit + + -- simulation output configuration -- + constant sim_screen_output_en_c : boolean := true; -- output lowest byte as char to simulator console when enabled + constant sim_text_output_en_c : boolean := true; -- output lowest byte as char to text file when enabled + constant sim_data_output_en_c : boolean := true; -- dump 32-bit TX word to file when enabled + constant sim_uart_text_file_c : string := cond_sel_string_f(UART_PRIMARY, "neorv32.uart0.sim_mode.text.out", "neorv32.uart1.sim_mode.text.out"); + constant sim_uart_data_file_c : string := cond_sel_string_f(UART_PRIMARY, "neorv32.uart0.sim_mode.data.out", "neorv32.uart1.sim_mode.data.out"); + + -- control register -- + signal ctrl : std_ulogic_vector(31 downto 0); + + -- control register bits -- + constant ctrl_baud00_c : natural := 0; -- r/w: baud config bit 0 + constant ctrl_baud01_c : natural := 1; -- r/w: baud config bit 1 + constant ctrl_baud02_c : natural := 2; -- r/w: baud config bit 2 + constant ctrl_baud03_c : natural := 3; -- r/w: baud config bit 3 + constant ctrl_baud04_c : natural := 4; -- r/w: baud config bit 4 + constant ctrl_baud05_c : natural := 5; -- r/w: baud config bit 5 + constant ctrl_baud06_c : natural := 6; -- r/w: baud config bit 6 + constant ctrl_baud07_c : natural := 7; -- r/w: baud config bit 7 + constant ctrl_baud08_c : natural := 8; -- r/w: baud config bit 8 + constant ctrl_baud09_c : natural := 9; -- r/w: baud config bit 9 + constant ctrl_baud10_c : natural := 10; -- r/w: baud config bit 10 + constant ctrl_baud11_c : natural := 11; -- r/w: baud config bit 11 + constant ctrl_sim_en_c : natural := 12; -- r/w: UART <> enable + constant ctrl_rx_empty_c : natural := 13; -- r/-: RX FIFO is empty + constant ctrl_rx_half_c : natural := 14; -- r/-: RX FIFO is at least half-full + constant ctrl_rx_full_c : natural := 15; -- r/-: RX FIFO is full + constant ctrl_tx_empty_c : natural := 16; -- r/-: TX FIFO is empty + constant ctrl_tx_half_c : natural := 17; -- r/-: TX FIFO is at least half-full + constant ctrl_tx_full_c : natural := 18; -- r/-: TX FIFO is full + -- ... + constant ctrl_rts_en_c : natural := 20; -- r/w: enable hardware flow control: assert rts_o if ready to receive + constant ctrl_cts_en_c : natural := 21; -- r/w: enable hardware flow control: send only if cts_i is asserted + constant ctrl_pmode0_c : natural := 22; -- r/w: Parity config (0=even; 1=odd) + constant ctrl_pmode1_c : natural := 23; -- r/w: Enable parity bit + constant ctrl_prsc0_c : natural := 24; -- r/w: baud prsc bit 0 + constant ctrl_prsc1_c : natural := 25; -- r/w: baud prsc bit 1 + constant ctrl_prsc2_c : natural := 26; -- r/w: baud prsc bit 2 + constant ctrl_cts_c : natural := 27; -- r/-: current state of CTS input + constant ctrl_en_c : natural := 28; -- r/w: UART enable + constant ctrl_rx_irq_c : natural := 29; -- r/w: RX IRQ mode: 1=FIFO at least half-full; 0=FIFO not empty + constant ctrl_tx_irq_c : natural := 30; -- r/w: TX IRQ mode: 1=FIFO less than half-full; 0=FIFO not full + constant ctrl_tx_busy_c : natural := 31; -- r/-: UART transmitter is busy + + -- data register flags -- + constant data_lsb_c : natural := 0; -- r/-: received char LSB + constant data_msb_c : natural := 7; -- r/-: received char MSB + -- ... + constant data_rx_perr_c : natural := 28; -- r/-: RX parity error + constant data_rx_ferr_c : natural := 29; -- r/-: RX frame error + constant data_rx_overr_c : natural := 30; -- r/-: RX data overrun + constant data_rx_avail_c : natural := 31; -- r/-: RX data available + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- clock generator -- + signal uart_clk : std_ulogic; + + -- numbers of bits in transmission frame -- + signal num_bits : std_ulogic_vector(3 downto 0); + + -- hardware flow-control IO buffer -- + signal uart_cts_ff : std_ulogic_vector(1 downto 0); + signal uart_rts : std_ulogic; + + -- UART transmitter -- + type tx_state_t is (S_TX_IDLE, S_TX_GET, S_TX_CHECK, S_TX_TRANSMIT, S_TX_SIM); + type tx_engine_t is record + state : tx_state_t; + busy : std_ulogic; + done : std_ulogic; + bitcnt : std_ulogic_vector(03 downto 0); + sreg : std_ulogic_vector(10 downto 0); + baud_cnt : std_ulogic_vector(11 downto 0); + cts : std_ulogic; -- allow new transmission when 1 + end record; + signal tx_engine : tx_engine_t; + + -- UART receiver -- + type rx_state_t is (S_RX_IDLE, S_RX_RECEIVE); + type rx_engine_t is record + state : rx_state_t; + done : std_ulogic; + sync : std_ulogic_vector(04 downto 0); + bitcnt : std_ulogic_vector(03 downto 0); + sreg : std_ulogic_vector(09 downto 0); + baud_cnt : std_ulogic_vector(11 downto 0); + overr : std_ulogic; + rtr : std_ulogic; -- ready to receive when 1 + end record; + signal rx_engine : rx_engine_t; + + -- TX FIFO -- + type tx_buffer_t is record + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + clear : std_ulogic; -- sync reset, high-active + wdata : std_ulogic_vector(31 downto 0); -- write data + rdata : std_ulogic_vector(31 downto 0); -- read data + avail : std_ulogic; -- data available? + free : std_ulogic; -- free entry available? + half : std_ulogic; -- half full + end record; + signal tx_buffer : tx_buffer_t; + + -- RX FIFO -- + type rx_buffer_t is record + we : std_ulogic; -- write enable + re : std_ulogic; -- read enable + clear : std_ulogic; -- sync reset, high-active + wdata : std_ulogic_vector(9 downto 0); -- write data + rdata : std_ulogic_vector(9 downto 0); -- read data + avail : std_ulogic; -- data available? + free : std_ulogic; -- free entry available? + half : std_ulogic; -- half full + end record; + signal rx_buffer : rx_buffer_t; + + -- interrupt generator -- + type irq_t is record + set : std_ulogic; + buf : std_ulogic_vector(1 downto 0); + end record; + signal rx_irq, tx_irq : irq_t; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (is_power_of_two_f(UART_RX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: UART" & + cond_sel_string_f(UART_PRIMARY, "0", "1") & " has to be a power of two." severity error; + assert not (is_power_of_two_f(UART_TX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: UART" & + cond_sel_string_f(UART_PRIMARY, "0", "1") & " has to be a power of two." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = uart_id_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= uart_id_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus access acknowledge -- + ack_o <= wren or rden; + + -- write access -- + if (wren = '1') then + if (addr = uart_id_ctrl_addr_c) then + ctrl <= (others => '0'); + ctrl(ctrl_baud11_c downto ctrl_baud00_c) <= data_i(ctrl_baud11_c downto ctrl_baud00_c); + ctrl(ctrl_sim_en_c) <= data_i(ctrl_sim_en_c); + ctrl(ctrl_pmode1_c downto ctrl_pmode0_c) <= data_i(ctrl_pmode1_c downto ctrl_pmode0_c); + ctrl(ctrl_prsc2_c downto ctrl_prsc0_c) <= data_i(ctrl_prsc2_c downto ctrl_prsc0_c); + ctrl(ctrl_rts_en_c) <= data_i(ctrl_rts_en_c); + ctrl(ctrl_cts_en_c) <= data_i(ctrl_cts_en_c); + ctrl(ctrl_rx_irq_c) <= data_i(ctrl_rx_irq_c); + ctrl(ctrl_tx_irq_c) <= data_i(ctrl_tx_irq_c); + ctrl(ctrl_en_c) <= data_i(ctrl_en_c); + end if; + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + if (addr = uart_id_ctrl_addr_c) then + data_o(ctrl_baud11_c downto ctrl_baud00_c) <= ctrl(ctrl_baud11_c downto ctrl_baud00_c); + data_o(ctrl_sim_en_c) <= ctrl(ctrl_sim_en_c); + data_o(ctrl_pmode1_c downto ctrl_pmode0_c) <= ctrl(ctrl_pmode1_c downto ctrl_pmode0_c); + data_o(ctrl_prsc2_c downto ctrl_prsc0_c) <= ctrl(ctrl_prsc2_c downto ctrl_prsc0_c); + data_o(ctrl_rts_en_c) <= ctrl(ctrl_rts_en_c); + data_o(ctrl_cts_en_c) <= ctrl(ctrl_cts_en_c); + data_o(ctrl_rx_empty_c) <= not rx_buffer.avail; + data_o(ctrl_rx_half_c) <= rx_buffer.half; + data_o(ctrl_rx_full_c) <= not rx_buffer.free; + data_o(ctrl_tx_empty_c) <= not tx_buffer.avail; + data_o(ctrl_tx_half_c) <= tx_buffer.half; + data_o(ctrl_tx_full_c) <= not tx_buffer.free; + data_o(ctrl_en_c) <= ctrl(ctrl_en_c); + data_o(ctrl_rx_irq_c) <= ctrl(ctrl_rx_irq_c) and bool_to_ulogic_f(boolean(UART_RX_FIFO > 1)); -- tie to zero if UART_RX_FIFO = 1 + data_o(ctrl_tx_irq_c) <= ctrl(ctrl_tx_irq_c) and bool_to_ulogic_f(boolean(UART_TX_FIFO > 1)); -- tie to zero if UART_TX_FIFO = 1 + data_o(ctrl_tx_busy_c) <= tx_engine.busy; + data_o(ctrl_cts_c) <= uart_cts_ff(1); + else -- uart_id_rtx_addr_c + data_o(data_msb_c downto data_lsb_c) <= rx_buffer.rdata(7 downto 0); + data_o(data_rx_perr_c) <= rx_buffer.rdata(8); + data_o(data_rx_ferr_c) <= rx_buffer.rdata(9); + data_o(data_rx_overr_c) <= rx_engine.overr; + data_o(data_rx_avail_c) <= rx_buffer.avail; -- data available (valid?) + end if; + end if; + end if; + end process rw_access; + + -- number of bits to be sampled -- + -- if parity flag is ENABLED: 11 bit -> "1011" (1 start bit + 8 data bits + 1 parity bit + 1 stop bit) + -- if parity flag is DISABLED: 10 bit -> "1010" (1 start bit + 8 data bits + 1 stop bit) + num_bits <= "1011" when (ctrl(ctrl_pmode1_c) = '1') else "1010"; + + + -- Clock Selection ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- clock enable -- + clkgen_en_o <= ctrl(ctrl_en_c); + + -- uart clock select -- + uart_clk <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c)))); + + + -- TX FIFO -------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + tx_engine_fifo_inst: neorv32_fifo + generic map ( + FIFO_DEPTH => UART_TX_FIFO, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => 32, -- size of data elements in fifo (32-bit only for simulation) + FIFO_RSYNC => false, -- async read + FIFO_SAFE => true -- safe access + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => tx_buffer.clear, -- sync reset, high-active + level_o => open, + half_o => tx_buffer.half, -- FIFO at least half-full + -- write port -- + wdata_i => tx_buffer.wdata, -- write data + we_i => tx_buffer.we, -- write enable + free_o => tx_buffer.free, -- at least one entry is free when set + -- read port -- + re_i => tx_buffer.re, -- read enable + rdata_o => tx_buffer.rdata, -- read data + avail_o => tx_buffer.avail -- data available when set + ); + + -- control -- + tx_buffer.clear <= not ctrl(ctrl_en_c); + + -- write access -- + tx_buffer.we <= '1' when (wren = '1') and (addr = uart_id_rtx_addr_c) else '0'; + tx_buffer.wdata <= data_i; + + + -- UART Transmitter Engine ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + uart_tx_engine: process(clk_i) + begin + if rising_edge(clk_i) then + -- defaults -- + uart_txd_o <= '1'; -- keep TX line idle (=high) if waiting for permission to start sending (->CTS) + tx_buffer.re <= '0'; + tx_engine.done <= '0'; + + -- FSM -- + if (ctrl(ctrl_en_c) = '0') then -- disabled + tx_engine.state <= S_TX_IDLE; + else + case tx_engine.state is + + when S_TX_IDLE => -- wait for new data to send + -- ------------------------------------------------------------ + if (tx_buffer.avail = '1') then -- new data available + if (ctrl(ctrl_sim_en_c) = '0') then -- normal mode + tx_engine.state <= S_TX_GET; + else -- simulation mode + tx_engine.state <= S_TX_SIM; + end if; + tx_buffer.re <= '1'; + end if; + + when S_TX_GET => -- get new data from buffer and prepare transmission + -- ------------------------------------------------------------ + tx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c); + tx_engine.bitcnt <= num_bits; + if (ctrl(ctrl_pmode1_c) = '1') then -- add parity flag + -- stop bit & parity bit & data (8-bit) & start bit + tx_engine.sreg <= '1' & (xor_reduce_f(tx_buffer.rdata(7 downto 0)) xor ctrl(ctrl_pmode0_c)) & tx_buffer.rdata(7 downto 0) & '0'; + else + -- (dummy fill-bit &) stop bit & data (8-bit) & start bit + tx_engine.sreg <= '1' & '1' & tx_buffer.rdata(7 downto 0) & '0'; + end if; + tx_engine.state <= S_TX_CHECK; + + when S_TX_CHECK => -- check if allowed to send + -- ------------------------------------------------------------ + if (tx_engine.cts = '1') then -- clear to send + tx_engine.state <= S_TX_TRANSMIT; + end if; + + when S_TX_TRANSMIT => -- transmit data + -- ------------------------------------------------------------ + if (uart_clk = '1') then + if (or_reduce_f(tx_engine.baud_cnt) = '0') then -- bit done? + tx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c); + tx_engine.bitcnt <= std_ulogic_vector(unsigned(tx_engine.bitcnt) - 1); + tx_engine.sreg <= '1' & tx_engine.sreg(tx_engine.sreg'left downto 1); + else + tx_engine.baud_cnt <= std_ulogic_vector(unsigned(tx_engine.baud_cnt) - 1); + end if; + end if; + uart_txd_o <= tx_engine.sreg(0); + if (or_reduce_f(tx_engine.bitcnt) = '0') then -- all bits send? + tx_engine.done <= '1'; -- sending done + tx_engine.state <= S_TX_IDLE; + end if; + + when S_TX_SIM => -- simulation mode output + -- ------------------------------------------------------------ + tx_engine.state <= S_TX_IDLE; + + when others => -- undefined + -- ------------------------------------------------------------ + tx_engine.state <= S_TX_IDLE; + + end case; + end if; + end if; + end process uart_tx_engine; + + -- transmitter busy -- + tx_engine.busy <= '0' when (tx_engine.state = S_TX_IDLE) else '1'; + + + -- UART Receiver Engine ------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + uart_rx_engine: process(clk_i) + begin + if rising_edge(clk_i) then + -- input synchronizer -- + rx_engine.sync <= uart_rxd_i & rx_engine.sync(rx_engine.sync'left downto 1); + + -- default -- + rx_engine.done <= '0'; + + -- FSM -- + if (ctrl(ctrl_en_c) = '0') then -- disabled + rx_engine.overr <= '0'; + rx_engine.state <= S_RX_IDLE; + else + case rx_engine.state is + + when S_RX_IDLE => -- idle; prepare receive + -- ------------------------------------------------------------ + rx_engine.baud_cnt <= '0' & ctrl(ctrl_baud11_c downto ctrl_baud01_c); -- half baud delay at the beginning to sample in the middle of each bit + rx_engine.bitcnt <= num_bits; + if (rx_engine.sync(3 downto 0) = "0011") then -- start bit? (falling edge) + rx_engine.state <= S_RX_RECEIVE; + end if; + + when S_RX_RECEIVE => -- receive data + -- ------------------------------------------------------------ + if (uart_clk = '1') then + if (or_reduce_f(rx_engine.baud_cnt) = '0') then -- bit done + rx_engine.baud_cnt <= ctrl(ctrl_baud11_c downto ctrl_baud00_c); + rx_engine.bitcnt <= std_ulogic_vector(unsigned(rx_engine.bitcnt) - 1); + rx_engine.sreg <= rx_engine.sync(2) & rx_engine.sreg(rx_engine.sreg'left downto 1); + else + rx_engine.baud_cnt <= std_ulogic_vector(unsigned(rx_engine.baud_cnt) - 1); + end if; + end if; + if (or_reduce_f(rx_engine.bitcnt) = '0') then -- all bits received? + rx_engine.done <= '1'; -- receiving done + rx_engine.state <= S_RX_IDLE; + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + rx_engine.state <= S_RX_IDLE; + + end case; + + -- overrun flag -- + if (rden = '1') and (addr = uart_id_rtx_addr_c) then -- clear when reading data register + rx_engine.overr <= '0'; + elsif (rx_buffer.we = '1') and (rx_buffer.free = '0') then -- write to full FIFO + rx_engine.overr <= '1'; + end if; + end if; + end if; + end process uart_rx_engine; + + -- RX engine ready for a new char? -- + rx_engine.rtr <= '1' when (rx_engine.state = S_RX_IDLE) and (ctrl(ctrl_en_c) = '1') else '0'; + + + -- RX FIFO -------------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rx_engine_fifo_inst: neorv32_fifo + generic map ( + FIFO_DEPTH => UART_RX_FIFO, -- number of fifo entries; has to be a power of two; min 1 + FIFO_WIDTH => 10, -- size of data elements in fifo + FIFO_RSYNC => false, -- async read + FIFO_SAFE => true -- safe access + ) + port map ( + -- control -- + clk_i => clk_i, -- clock, rising edge + rstn_i => '1', -- async reset, low-active + clear_i => rx_buffer.clear, -- sync reset, high-active + level_o => open, + half_o => rx_buffer.half, -- FIFO at least half-full + -- write port -- + wdata_i => rx_buffer.wdata, -- write data + we_i => rx_buffer.we, -- write enable + free_o => rx_buffer.free, -- at least one entry is free when set + -- read port -- + re_i => rx_buffer.re, -- read enable + rdata_o => rx_buffer.rdata, -- read data + avail_o => rx_buffer.avail -- data available when set + ); + + -- control -- + rx_buffer.clear <= not ctrl(ctrl_en_c); + + -- read/write access -- + rx_buffer.wdata(7 downto 0) <= rx_engine.sreg(7 downto 0) when (ctrl(ctrl_pmode1_c) = '1') else rx_engine.sreg(8 downto 1); -- RX data + rx_buffer.wdata(8) <= ctrl(ctrl_pmode1_c) and (xor_reduce_f(rx_engine.sreg(8 downto 0)) xor ctrl(ctrl_pmode0_c)); -- parity error flag + rx_buffer.wdata(9) <= not rx_engine.sreg(9); -- frame error flag: check stop bit (error if not set) + rx_buffer.we <= '1' when (rx_engine.bitcnt = "0000") and (rx_engine.state = S_RX_RECEIVE) else '0'; -- RX complete + rx_buffer.re <= '1' when (rden = '1') and (addr = uart_id_rtx_addr_c) else '0'; + + + -- Hardware Flow Control ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + tx_engine.cts <= (not uart_cts_ff(1)) when (ctrl(ctrl_cts_en_c) = '1') else '1'; -- input is low-active, internal signal is high-active + uart_rts <= (not rx_engine.rtr) when (ctrl(ctrl_rts_en_c) = '1') else '0'; -- output is low-active + + -- flow-control input/output synchronizer -- + flow_control_buffer: process(clk_i) + begin + if rising_edge(clk_i) then -- should be mapped to IOBs + uart_cts_ff <= uart_cts_ff(0) & uart_cts_i; + uart_rts_o <= uart_rts; + end if; + end process flow_control_buffer; + + + -- Interrupt Generator -------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + irq_type: process(ctrl, tx_buffer, rx_buffer, tx_engine.done) + begin + -- TX interrupt -- + if (UART_TX_FIFO = 1) or (ctrl(ctrl_tx_irq_c) = '0') then + tx_irq.set <= tx_buffer.free and tx_engine.done; -- fire IRQ if FIFO is not full + else + tx_irq.set <= (not tx_buffer.half) and tx_engine.done; -- fire IRQ if FIFO is less than half-full + end if; + -- RX interrupt -- + if (UART_RX_FIFO = 1) or (ctrl(ctrl_rx_irq_c) = '0') then + rx_irq.set <= rx_buffer.avail; -- fire IRQ if FIFO is not empty + else + rx_irq.set <= rx_buffer.half; -- fire IRQ if FIFO is at least half-full + end if; + end process irq_type; + + -- interrupt edge detector -- + irq_detect: process(clk_i) + begin + if rising_edge(clk_i) then + if (ctrl(ctrl_en_c) = '0') then + tx_irq.buf <= "00"; + rx_irq.buf <= "00"; + else + tx_irq.buf <= tx_irq.buf(0) & tx_irq.set; + rx_irq.buf <= rx_irq.buf(0) & rx_irq.set; + end if; + end if; + end process irq_detect; + + -- IRQ requests to CPU -- + irq_txd_o <= '1' when (tx_irq.buf = "01") else '0'; + irq_rxd_o <= '1' when (rx_irq.buf = "01") else '0'; + + + -- SIMULATION Transmitter ----------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- +-- pragma translate_off +-- synthesis translate_off +-- RTL_SYNTHESIS OFF + sim_output: process(clk_i) -- for SIMULATION ONLY! + file file_uart_text_out : text open write_mode is sim_uart_text_file_c; + file file_uart_data_out : text open write_mode is sim_uart_data_file_c; + variable char_v : integer; + variable line_screen_v : line; -- we need several line variables here since "writeline" seems to flush the source variable + variable line_text_v : line; + variable line_data_v : line; + begin + if rising_edge(clk_i) then + if (tx_engine.state = S_TX_SIM) then -- UART simulation mode + + -- print lowest byte as ASCII char -- + char_v := to_integer(unsigned(tx_buffer.rdata(7 downto 0))); + if (char_v >= 128) then -- out of range? + char_v := 0; + end if; + + if (char_v /= 10) and (char_v /= 13) then -- skip line breaks - they are issued via "writeline" + if (sim_screen_output_en_c = true) then + write(line_screen_v, character'val(char_v)); + end if; + if (sim_text_output_en_c = true) then + write(line_text_v, character'val(char_v)); + end if; + end if; + + if (char_v = 10) then -- line break: write to screen and text file + if (sim_screen_output_en_c = true) then + writeline(output, line_screen_v); + end if; + if (sim_text_output_en_c = true) then + writeline(file_uart_text_out, line_text_v); + end if; + end if; + + -- dump raw data as 8 hex chars to file -- + if (sim_data_output_en_c = true) then + for x in 7 downto 0 loop + write(line_data_v, to_hexchar_f(tx_buffer.rdata(3+x*4 downto 0+x*4))); -- write in hex form + end loop; -- x + writeline(file_uart_data_out, line_data_v); + end if; + + end if; + end if; + end process sim_output; +-- RTL_SYNTHESIS ON +-- synthesis translate_on +-- pragma translate_on + +end neorv32_uart_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_wdt.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_wdt.vhd new file mode 100644 index 0000000..3d0e79a --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_wdt.vhd @@ -0,0 +1,244 @@ +-- ################################################################################################# +-- # << NEORV32 - Watch Dog Timer (WDT) >> # +-- # ********************************************************************************************* # +-- # Watchdog counter to trigger an action if the CPU gets stuck. # +-- # The internal counter is 20-bit wide. If this counter overflows one of two possible actions is # +-- # triggered: Generate an IRQ or force a hardware reset of the system. # +-- # A WDT action can also be triggered manually at any time by setting the FORCE bit. # +-- # # +-- # Access to the control register can be permanently locked by setting the lock bit. This bit # +-- # can only be cleared by a hardware reset (external or caused by the watchdog itself). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_wdt is + generic ( + DEBUG_EN : boolean -- CPU debug mode implemented? + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- CPU in debug mode? -- + cpu_debug_i : in std_ulogic; + -- clock generator -- + clkgen_en_o : out std_ulogic; -- enable clock generator + clkgen_i : in std_ulogic_vector(07 downto 0); + -- timeout event -- + irq_o : out std_ulogic; -- timeout IRQ + rstn_o : out std_ulogic -- timeout reset, low_active, use as async + ); +end neorv32_wdt; + +architecture neorv32_wdt_rtl of neorv32_wdt is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(wdt_size_c); -- low address boundary bit + + -- Control register bits -- + constant ctrl_enable_c : natural := 0; -- r/w: WDT enable + constant ctrl_clksel0_c : natural := 1; -- r/w: prescaler select bit 0 + constant ctrl_clksel1_c : natural := 2; -- r/w: prescaler select bit 1 + constant ctrl_clksel2_c : natural := 3; -- r/w: prescaler select bit 2 + constant ctrl_mode_c : natural := 4; -- r/w: 0: WDT timeout triggers interrupt, 1: WDT timeout triggers hard reset + constant ctrl_rcause_c : natural := 5; -- r/-: cause of last action (reset/IRQ): 0=external reset, 1=watchdog overflow + constant ctrl_reset_c : natural := 6; -- -/w: reset WDT if set + constant ctrl_force_c : natural := 7; -- -/w: force WDT action + constant ctrl_lock_c : natural := 8; -- r/w: lock access to control register when set + constant ctrl_dben_c : natural := 9; -- r/w: allow WDT to continue operation even when in debug mode + constant ctrl_half_c : natural := 10; -- r/-: set if at least half of the max. timeout counter value has been reached + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal wren : std_ulogic; + signal rden : std_ulogic; + + -- control register -- + type ctrl_t is record + enable : std_ulogic; -- 1=WDT enabled + clk_sel : std_ulogic_vector(2 downto 0); + mode : std_ulogic; -- 0=trigger IRQ on overflow; 1=trigger hard reset on overflow + rcause : std_ulogic; -- cause of last system reset: '0' = external, '1' = watchdog + reset : std_ulogic; -- reset WDT + enforce : std_ulogic; -- force action + lock : std_ulogic; -- lock control register + dben : std_ulogic; -- allow operation also in debug mode + end record; + signal ctrl : ctrl_t; + + -- prescaler clock generator -- + signal prsc_tick : std_ulogic; + + -- WDT core -- + signal wdt_cnt : std_ulogic_vector(20 downto 0); + signal hw_rst : std_ulogic; + signal rst_gen : std_ulogic_vector(03 downto 0); + signal cnt_en : std_ulogic; + + -- internal reset (sync, low-active) -- + signal rstn_sync : std_ulogic; + +begin + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = wdt_base_c(hi_abb_c downto lo_abb_c)) else '0'; + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Write Access --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + write_access: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.reset <= '1'; -- reset counter on start-up + ctrl.enforce <= '0'; + ctrl.enable <= '0'; -- disable WDT + ctrl.mode <= '0'; + ctrl.clk_sel <= (others => '0'); + ctrl.lock <= '0'; + ctrl.dben <= '0'; + elsif rising_edge(clk_i) then + if (rstn_sync = '0') then -- internal reset + ctrl.reset <= '1'; -- reset counter on start-up + ctrl.enforce <= '0'; + ctrl.enable <= '0'; -- disable WDT + ctrl.mode <= '0'; + ctrl.clk_sel <= (others => '0'); + ctrl.lock <= '0'; + ctrl.dben <= '0'; + else + -- auto-clear WDT reset and WDT force flags -- + ctrl.reset <= '0'; + ctrl.enforce <= '0'; + -- actual write access -- + if (wren = '1') then + ctrl.reset <= data_i(ctrl_reset_c); + ctrl.enforce <= data_i(ctrl_force_c); + if (ctrl.lock = '0') then -- update configuration only if not locked + ctrl.enable <= data_i(ctrl_enable_c); + ctrl.mode <= data_i(ctrl_mode_c); + ctrl.clk_sel <= data_i(ctrl_clksel2_c downto ctrl_clksel0_c); + ctrl.lock <= data_i(ctrl_lock_c); + ctrl.dben <= data_i(ctrl_dben_c) and bool_to_ulogic_f(DEBUG_EN); + end if; + end if; + end if; + end if; + end process write_access; + + -- clock generator -- + clkgen_en_o <= ctrl.enable; -- enable clock generator + prsc_tick <= clkgen_i(to_integer(unsigned(ctrl.clk_sel))); -- clock enable tick + + + -- Watchdog Counter ----------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + wdt_counter: process(clk_i) + begin + if rising_edge(clk_i) then + if (ctrl.reset = '1') then -- watchdog reset + wdt_cnt <= (others => '0'); + elsif (cnt_en = '1') then + wdt_cnt <= std_ulogic_vector(unsigned('0' & wdt_cnt(wdt_cnt'left-1 downto 0)) + 1); + end if; + end if; + end process wdt_counter; + + -- WDT counter enable -- + cnt_en <= ctrl.enable and prsc_tick and ((not cpu_debug_i) or ctrl.dben); + + -- action trigger -- + irq_o <= ctrl.enable and (wdt_cnt(wdt_cnt'left) or ctrl.enforce) and (not ctrl.mode); -- mode 0: IRQ + hw_rst <= ctrl.enable and (wdt_cnt(wdt_cnt'left) or ctrl.enforce) and ( ctrl.mode); -- mode 1: RESET + + + -- Reset Generator & Action Cause Indicator ----------------------------------------------- + -- ------------------------------------------------------------------------------------------- + reset_generator: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.rcause <= '0'; + rst_gen <= (others => '1'); -- do NOT fire on reset! + rstn_sync <= '1'; + elsif rising_edge(clk_i) then + ctrl.rcause <= ctrl.rcause or hw_rst; -- sticky-set on WDT timeout/force + if (hw_rst = '1') then + rst_gen <= (others => '0'); + else + rst_gen <= rst_gen(rst_gen'left-1 downto 0) & '1'; + end if; + rstn_sync <= rst_gen(rst_gen'left); + end if; + end process reset_generator; + + -- system reset -- + rstn_o <= rst_gen(rst_gen'left); + + + -- Read Access ---------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + read_access: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= rden or wren; + if (rden = '1') then + data_o(ctrl_enable_c) <= ctrl.enable; + data_o(ctrl_mode_c) <= ctrl.mode; + data_o(ctrl_rcause_c) <= ctrl.rcause; + data_o(ctrl_clksel2_c downto ctrl_clksel0_c) <= ctrl.clk_sel; + data_o(ctrl_lock_c) <= ctrl.lock; + data_o(ctrl_dben_c) <= ctrl.dben; + data_o(ctrl_half_c) <= wdt_cnt(wdt_cnt'left-1); + else + data_o <= (others => '0'); + end if; + end if; + end process read_access; + + +end neorv32_wdt_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_wishbone.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_wishbone.vhd new file mode 100644 index 0000000..cb9913d --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_wishbone.vhd @@ -0,0 +1,274 @@ +-- ################################################################################################# +-- # << NEORV32 - External Bus Interface (WISHBONE) >> # +-- # ********************************************************************************************* # +-- # All bus accesses from the CPU, which do not target the internal IO region / the internal # +-- # bootloader / the internal instruction or data memories (if implemented), are delegated via # +-- # this Wishbone gateway to the external bus interface. Accessed peripherals can have a response # +-- # latency of up to BUS_TIMEOUT - 1 cycles. # +-- # # +-- # Even when all processor-internal memories and IO devices are disabled, the EXTERNAL address # +-- # space ENDS at address 0xffff0000 (begin of internal BOOTROM address space). # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_wishbone is + generic ( + -- Internal instruction memory -- + MEM_INT_IMEM_EN : boolean; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes + -- Internal data memory -- + MEM_INT_DMEM_EN : boolean; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes + -- Interface Configuration -- + BUS_TIMEOUT : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception + PIPE_MODE : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + BIG_ENDIAN : boolean; -- byte order: true=big-endian, false=little-endian + ASYNC_RX : boolean -- use register buffer for RX data when false + ); + port ( + -- global control -- + clk_i : in std_ulogic; -- global clock line + rstn_i : in std_ulogic; -- global reset line, low-active + -- host access -- + src_i : in std_ulogic; -- access type (0: data, 1:instruction) + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + ben_i : in std_ulogic_vector(03 downto 0); -- byte write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + lock_i : in std_ulogic; -- exclusive access request + ack_o : out std_ulogic; -- transfer acknowledge + err_o : out std_ulogic; -- transfer error + tmo_o : out std_ulogic; -- transfer timeout + priv_i : in std_ulogic_vector(01 downto 0); -- current CPU privilege level + ext_o : out std_ulogic; -- active external access + -- wishbone interface -- + wb_tag_o : out std_ulogic_vector(02 downto 0); -- request tag + wb_adr_o : out std_ulogic_vector(31 downto 0); -- address + wb_dat_i : in std_ulogic_vector(31 downto 0); -- read data + wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data + wb_we_o : out std_ulogic; -- read/write + wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable + wb_stb_o : out std_ulogic; -- strobe + wb_cyc_o : out std_ulogic; -- valid cycle + wb_lock_o : out std_ulogic; -- exclusive access request + wb_ack_i : in std_ulogic; -- transfer acknowledge + wb_err_i : in std_ulogic -- transfer error + ); +end neorv32_wishbone; + +architecture neorv32_wishbone_rtl of neorv32_wishbone is + + -- timeout enable -- + constant timeout_en_c : boolean := boolean(BUS_TIMEOUT /= 0); -- timeout enabled if BUS_TIMEOUT > 0 + + -- access control -- + signal int_imem_acc : std_ulogic; + signal int_dmem_acc : std_ulogic; + signal int_boot_acc : std_ulogic; + signal xbus_access : std_ulogic; + + -- bus arbiter + type ctrl_state_t is (IDLE, BUSY); + type ctrl_t is record + state : ctrl_state_t; + state_ff : ctrl_state_t; + we : std_ulogic; + adr : std_ulogic_vector(31 downto 0); + wdat : std_ulogic_vector(31 downto 0); + rdat : std_ulogic_vector(31 downto 0); + sel : std_ulogic_vector(03 downto 0); + ack : std_ulogic; + err : std_ulogic; + tmo : std_ulogic; + timeout : std_ulogic_vector(index_size_f(BUS_TIMEOUT) downto 0); + src : std_ulogic; + lock : std_ulogic; + priv : std_ulogic_vector(01 downto 0); + end record; + signal ctrl : ctrl_t; + signal stb_int : std_ulogic; + signal cyc_int : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + + -- async RX mode -- + signal ack_gated : std_ulogic; + signal rdata_gated : std_ulogic_vector(31 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- protocol -- + assert not (PIPE_MODE = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing STANDARD Wishbone protocol." severity note; + assert not (PIPE_MODE = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing PIEPLINED Wishbone protocol." severity note; + + -- bus timeout -- + assert not (BUS_TIMEOUT /= 0) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing auto-timeout (" & integer'image(BUS_TIMEOUT) & " cycles)." severity note; + assert not (BUS_TIMEOUT = 0) report "NEORV32 PROCESSOR CONFIG WARNING: External Bus Interface - Implementing NO auto-timeout (can cause permanent CPU stall!)." severity warning; + + -- endianness -- + assert not (BIG_ENDIAN = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing LITTLE-endian byte order." severity note; + assert not (BIG_ENDIAN = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing BIG-endian byte." severity note; + + -- async RX -- + assert not (ASYNC_RX = false) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing registered RX path." severity note; + assert not (ASYNC_RX = true) report "NEORV32 PROCESSOR CONFIG NOTE: External Bus Interface - Implementing ASYNC RX path." severity note; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- access to processor-internal IMEM or DMEM? -- + int_imem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_IMEM_SIZE)) = imem_base_c(31 downto index_size_f(MEM_INT_IMEM_SIZE))) and (MEM_INT_IMEM_EN = true) else '0'; + int_dmem_acc <= '1' when (addr_i(31 downto index_size_f(MEM_INT_DMEM_SIZE)) = dmem_base_c(31 downto index_size_f(MEM_INT_DMEM_SIZE))) and (MEM_INT_DMEM_EN = true) else '0'; + -- access to processor-internal BOOTROM or IO devices? -- + int_boot_acc <= '1' when (addr_i(31 downto 16) = boot_rom_base_c(31 downto 16)) else '0'; -- hacky! + -- actual external bus access? -- + xbus_access <= (not int_imem_acc) and (not int_dmem_acc) and (not int_boot_acc); + + + -- Bus Arbiter ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_arbiter: process(rstn_i, clk_i) + begin + if (rstn_i = '0') then + ctrl.state <= IDLE; + ctrl.state_ff <= IDLE; + ctrl.we <= def_rst_val_c; + ctrl.adr <= (others => def_rst_val_c); + ctrl.wdat <= (others => def_rst_val_c); + ctrl.rdat <= (others => def_rst_val_c); + ctrl.sel <= (others => def_rst_val_c); + ctrl.timeout <= (others => def_rst_val_c); + ctrl.ack <= def_rst_val_c; + ctrl.err <= def_rst_val_c; + ctrl.tmo <= def_rst_val_c; + ctrl.src <= def_rst_val_c; + ctrl.lock <= def_rst_val_c; + ctrl.priv <= (others => def_rst_val_c); + elsif rising_edge(clk_i) then + -- defaults -- + ctrl.state_ff <= ctrl.state; + ctrl.rdat <= (others => '0'); -- required for internal output gating + ctrl.ack <= '0'; + ctrl.err <= '0'; + ctrl.tmo <= '0'; + ctrl.timeout <= std_ulogic_vector(to_unsigned(BUS_TIMEOUT, index_size_f(BUS_TIMEOUT)+1)); + + -- state machine -- + case ctrl.state is + + when IDLE => -- waiting for host request + -- ------------------------------------------------------------ + -- buffer all outgoing signals -- + ctrl.we <= wren_i; + ctrl.adr <= addr_i; + if (BIG_ENDIAN = true) then -- big-endian + ctrl.wdat <= bswap32_f(data_i); + ctrl.sel <= bit_rev_f(ben_i); + else -- little-endian + ctrl.wdat <= data_i; + ctrl.sel <= ben_i; + end if; + ctrl.src <= src_i; + ctrl.lock <= lock_i; + ctrl.priv <= priv_i; + -- valid new or buffered read/write request -- + if ((xbus_access and (wren_i or rden_i)) = '1') then + ctrl.state <= BUSY; + end if; + + when BUSY => -- transfer in progress + -- ------------------------------------------------------------ + ctrl.rdat <= wb_dat_i; + if (wb_err_i = '1') then -- abnormal bus termination + ctrl.err <= '1'; + ctrl.state <= IDLE; + elsif (timeout_en_c = true) and (or_reduce_f(ctrl.timeout) = '0') then -- enabled timeout + ctrl.tmo <= '1'; + ctrl.state <= IDLE; + elsif (wb_ack_i = '1') then -- normal bus termination + ctrl.ack <= '1'; + ctrl.state <= IDLE; + end if; + -- timeout counter -- + if (timeout_en_c = true) then + ctrl.timeout <= std_ulogic_vector(unsigned(ctrl.timeout) - 1); -- timeout counter + end if; + + when others => -- undefined + -- ------------------------------------------------------------ + ctrl.state <= IDLE; + + end case; + end if; + end process bus_arbiter; + + -- host access -- + ack_gated <= wb_ack_i when (ctrl.state = BUSY) else '0'; -- CPU ack gate for "async" RX + rdata_gated <= wb_dat_i when (ctrl.state = BUSY) else (others => '0'); -- CPU read data gate for "async" RX + rdata <= ctrl.rdat when (ASYNC_RX = false) else rdata_gated; + + ext_o <= '1' when (ctrl.state = BUSY) else '0'; -- active external access + + data_o <= rdata when (BIG_ENDIAN = false) else bswap32_f(rdata); -- endianness conversion + ack_o <= ctrl.ack when (ASYNC_RX = false) else ack_gated; + err_o <= ctrl.err; + tmo_o <= ctrl.tmo; + + -- wishbone interface -- + wb_tag_o(0) <= '0' when (ctrl.priv = priv_mode_u_c) else '1'; -- unprivileged access when in user mode + wb_tag_o(1) <= '0'; -- 0 = secure, 1 = non-secure + wb_tag_o(2) <= ctrl.src; -- 0 = data access, 1 = instruction access + + wb_lock_o <= ctrl.lock; -- 1 = exclusive access request + + wb_adr_o <= ctrl.adr; + wb_dat_o <= ctrl.wdat; + wb_we_o <= ctrl.we; + wb_sel_o <= ctrl.sel; + wb_stb_o <= stb_int when (PIPE_MODE = true) else cyc_int; + wb_cyc_o <= cyc_int; + + stb_int <= '1' when (ctrl.state = BUSY) and (ctrl.state_ff /= BUSY) else '0'; + cyc_int <= '1' when (ctrl.state = BUSY) else '0'; + + +end neorv32_wishbone_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/core/neorv32_xirq.vhd b/Libs/RiscV/NEORV32/rtl/core/neorv32_xirq.vhd new file mode 100644 index 0000000..9192ba1 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/core/neorv32_xirq.vhd @@ -0,0 +1,229 @@ +-- ################################################################################################# +-- # << NEORV32 - External Interrupt Controller (XIRQ) >> # +-- # ********************************************************************************************* # +-- # Simple interrupt controller for platform (processor-external) interrupts. Up to 32 channels # +-- # are supported that get (optionally) prioritized into a single CPU interrupt. # +-- # # +-- # The actual trigger configuration has to be done BEFORE synthesis using the XIRQ_TRIGGER_TYPE # +-- # and XIRQ_TRIGGER_POLARITY generics. These allow to configure channel-independent low-level, # +-- # high-level, falling-edge and rising-edge triggers. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_xirq is + generic ( + XIRQ_NUM_CH : natural; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + ); + port ( + -- host access -- + clk_i : in std_ulogic; -- global clock line + addr_i : in std_ulogic_vector(31 downto 0); -- address + rden_i : in std_ulogic; -- read enable + wren_i : in std_ulogic; -- write enable + data_i : in std_ulogic_vector(31 downto 0); -- data in + data_o : out std_ulogic_vector(31 downto 0); -- data out + ack_o : out std_ulogic; -- transfer acknowledge + -- external interrupt lines -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + -- CPU interrupt -- + cpu_irq_o : out std_ulogic + ); +end neorv32_xirq; + +architecture neorv32_xirq_rtl of neorv32_xirq is + + -- IO space: module base address -- + constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(xirq_size_c); -- low address boundary bit + + -- access control -- + signal acc_en : std_ulogic; -- module access enable + signal addr : std_ulogic_vector(31 downto 0); -- access address + signal wren : std_ulogic; -- word write enable + signal rden : std_ulogic; -- read enable + + -- control registers -- + signal irq_enable : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); -- r/w: interrupt enable + signal clr_pending : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); -- r/w: clear pending IRQs + signal irq_src : std_ulogic_vector(4 downto 0); -- r/w: source IRQ, ACK on any write + + -- interrupt trigger -- + signal irq_sync : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + signal irq_sync2 : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + signal irq_trig : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + + -- interrupt buffer -- + signal irq_buf : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + signal irq_fire : std_ulogic; + + -- interrupt source -- + signal irq_src_nxt : std_ulogic_vector(4 downto 0); + + -- arbiter -- + signal irq_run : std_ulogic; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not ((XIRQ_NUM_CH < 0) or (XIRQ_NUM_CH > 32)) report "NEORV32 PROCESSOR CONFIG ERROR: Number of XIRQ inputs has to be 0..32." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = xirq_base_c(hi_abb_c downto lo_abb_c)) else '0'; + addr <= xirq_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned + wren <= acc_en and wren_i; + rden <= acc_en and rden_i; + + + -- Read/Write Access ---------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + rw_access: process(clk_i) + begin + if rising_edge(clk_i) then + -- bus handshake -- + ack_o <= rden or wren; + + -- write access -- + clr_pending <= (others => '1'); + if (wren = '1') then + -- channel-enable -- + if (addr = xirq_enable_addr_c) then + irq_enable <= data_i(XIRQ_NUM_CH-1 downto 0); + end if; + -- clear pending IRQs -- + if (addr = xirq_pending_addr_c) then + clr_pending <= data_i(XIRQ_NUM_CH-1 downto 0); -- set zero to clear pending IRQ + end if; + end if; + + -- read access -- + data_o <= (others => '0'); + if (rden = '1') then + case addr is + when xirq_enable_addr_c => data_o(XIRQ_NUM_CH-1 downto 0) <= irq_enable; -- channel-enable + when xirq_pending_addr_c => data_o(XIRQ_NUM_CH-1 downto 0) <= irq_buf; -- pending IRQs + when xirq_source_addr_c => data_o(4 downto 0) <= irq_src; -- source IRQ + when others => NULL; + end case; + end if; + end if; + end process rw_access; + + + -- IRQ Trigger -------------------------------------------------------------- + -- ----------------------------------------------------------------------------- + irq_trigger: process(clk_i) + begin + if rising_edge(clk_i) then + irq_sync <= xirq_i; + irq_sync2 <= irq_sync; + end if; + end process irq_trigger; + + irq_trigger_comb: process(irq_sync, irq_sync2) + variable sel_v : std_ulogic_vector(1 downto 0); + begin + for i in 0 to XIRQ_NUM_CH-1 loop + sel_v := XIRQ_TRIGGER_TYPE(i) & XIRQ_TRIGGER_POLARITY(i); + case sel_v is + when "00" => irq_trig(i) <= not irq_sync(i); -- low-level + when "01" => irq_trig(i) <= irq_sync(i); -- high-level + when "10" => irq_trig(i) <= (not irq_sync(i)) and irq_sync2(i); -- falling-edge + when "11" => irq_trig(i) <= irq_sync(i) and (not irq_sync2(i)); -- rising-edge + when others => irq_trig(i) <= '0'; + end case; + end loop; + end process irq_trigger_comb; + + + -- IRQ Buffer --------------------------------------------------------------- + -- ----------------------------------------------------------------------------- + irq_buffer: process(clk_i) + begin + if rising_edge(clk_i) then + irq_buf <= (irq_buf or (irq_trig and irq_enable)) and clr_pending; + end if; + end process irq_buffer; + + -- anyone firing? -- + irq_fire <= or_reduce_f(irq_buf); + + + -- IRQ Priority Encoder ----------------------------------------------------- + -- ----------------------------------------------------------------------------- + irq_priority: process(irq_buf) + begin + irq_src_nxt <= (others => '0'); + if (XIRQ_NUM_CH > 1) then + for i in 0 to XIRQ_NUM_CH-1 loop + if (irq_buf(i) = '1') then + irq_src_nxt(index_size_f(XIRQ_NUM_CH)-1 downto 0) <= std_ulogic_vector(to_unsigned(i, index_size_f(XIRQ_NUM_CH))); + exit; + end if; + end loop; + end if; + end process irq_priority; + + + -- IRQ Arbiter -------------------------------------------------------------- + -- ----------------------------------------------------------------------------- + irq_arbiter: process(clk_i) + begin + if rising_edge(clk_i) then + cpu_irq_o <= '0'; + if (irq_run = '0') then -- no active IRQ + if (irq_fire = '1') then + cpu_irq_o <= '1'; + irq_run <= '1'; + irq_src <= irq_src_nxt; + end if; + else -- active IRQ, wait for CPU to acknowledge + if (wren = '1') and (addr = xirq_source_addr_c) then -- write _any_ value to acknowledge + irq_run <= '0'; + end if; + end if; + end if; + end process irq_arbiter; + + +end neorv32_xirq_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/processor_templates/README.md b/Libs/RiscV/NEORV32/rtl/processor_templates/README.md new file mode 100644 index 0000000..291164a --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/processor_templates/README.md @@ -0,0 +1,35 @@ +# SoC/Processor Templates + +This folder provides exemplary templates that wrap the processor top entity and provide a simplified +set of configuration generics and IOs. These setups are intended to allow beginner an easy start by +hiding much of the processor's configuration complexity. Furthermore, these setups are used by many +of the provided [example setups](https://github.com/stnolting/neorv32/tree/master/setups). + +Alternatively, you can directly instantiate the processor's top entity +[`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) +to have full access to _all_ features. + +### [`neorv32_ProcessorTop_Minimal.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd) + +This setup used the ["Direct Boot Configuration"](https://stnolting.github.io/neorv32/#_boot_configuration). +Application software is installed directly into the processor-internal instruction memory (IMEM) during +synthesis. This memory is implemented as ROM and these is no bootloader available. Hence, the executable +remains unchangeable is executed right after reset. + +The setup only provides 3 PWM channels as IO. + +### [`neorv32_ProcessorTop_MinimalBoot.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd) + +This setup used the ["Indirect Boot Configuration"](https://stnolting.github.io/neorv32/#_boot_configuration). +The NEORV32 bootloader is enabled in this setup allowing to upload new application software at any time +via a UART connection. + +The setup provides 8 GPIO outputs and the UART communication lines as IO. + +### [`neorv32_ProcessorTop_UP5KDemo.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd) + +This is a more complex template that implements a small microcontroller-like NEORV32. +It was originally designed for _UPDuino V3_ board, which features a Lattice iCE40up5k FPGA, but has +also been ported to other boards that provide the same FPGA. + +This setup provides a rich set of IOs including GPIO, SPI, TWI and PWM. diff --git a/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd new file mode 100644 index 0000000..143ae8b --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd @@ -0,0 +1,246 @@ +-- ################################################################################################# +-- # << NEORV32 - Minimal setup without a bootloader >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; + +entity neorv32_ProcessorTop_Minimal is + generic ( + CLOCK_FREQUENCY : natural := 0; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 34; -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 8*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean := true; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean := true; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 64*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- Processor peripherals -- + IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? + IO_PWM_NUM_CH : natural := 3; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := false -- implement watch dog timer (WDT)? + ); + port ( + clk_i : in std_logic; + rstn_i : in std_logic; + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0) + ); +end entity; + +architecture neorv32_ProcessorTop_Minimal_rtl of neorv32_ProcessorTop_Minimal is + +begin + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity neorv32.neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => false, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id (32-bit) + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => false, -- implement on-chip debugger? + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement mul/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH, -- total size of HPM counters (1..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- External memory interface -- + MEM_EXT_EN => false, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled) + + -- Processor peripherals -- + IO_GPIO_EN => false, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => false, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN => false, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN => false, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => false, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- implement true random number generator (TRNG)? + IO_CFS_EN => false, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => x"00000000", -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => false -- implement NeoPixel-compatible smart LED interface (NEOLED)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '0', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => open, -- request tag + wb_adr_o => open, -- address + wb_dat_i => (others => '0'), -- read data + wb_dat_o => open, -- write data + wb_we_o => open, -- read/write + wb_sel_o => open, -- byte enable + wb_stb_o => open, -- strobe + wb_cyc_o => open, -- valid cycle + wb_lock_o => open, -- exclusive access request + wb_ack_i => '0', -- transfer acknowledge + wb_err_i => '0', -- transfer error + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => open, -- parallel output + gpio_i => (others => '0'), -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => open, -- UART0 send data + uart0_rxd_i => '0', -- UART0 receive data + uart0_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => open, -- UART1 send data + uart1_rxd_i => '0', -- UART1 receive data + uart1_rts_o => open, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => '0', -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => open, -- SPI serial clock + spi_sdo_o => open, -- controller data out, peripheral data in + spi_sdi_i => '0', -- controller data in, peripheral data out + spi_csn_o => open, -- SPI CS + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => open, -- twi serial data line + twi_scl_io => open, -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o, -- pwm channels + + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom CFS inputs conduit + cfs_out_o => open, -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- Interrupts -- + mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => '0', -- machine software interrupt + mext_irq_i => '0' -- machine external interrupt + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd new file mode 100644 index 0000000..b0a0aac --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd @@ -0,0 +1,267 @@ +-- ################################################################################################# +-- # << NEORV32 - Minimal setup with the bootloader enabled >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; + +entity neorv32_ProcessorTop_MinimalBoot is + generic ( + CLOCK_FREQUENCY : natural := 0; -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN : boolean := true; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := true; -- implement atomic extension? + CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := true; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 34; -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean := true; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 64*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean := true; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 64*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- Processor peripherals -- + IO_GPIO_EN : boolean := true; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := true; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := true; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_PWM_NUM_CH : natural := 3; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := true -- implement watch dog timer (WDT)? + ); + port ( + clk_i : in std_logic; + rstn_i : in std_logic; + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_ulogic_vector(3 downto 0); + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart_txd_o : out std_ulogic; -- UART0 send data + uart_rxd_i : in std_ulogic := '0'; -- UART0 receive data + uart_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i : in std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0) + ); +end entity; + +architecture neorv32_ProcessorTop_MinimalBoot_rtl of neorv32_ProcessorTop_MinimalBoot is + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(63 downto 0); + +begin + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- GPIO -- + gpio_o <= con_gpio_o(3 downto 0); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity neorv32.neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => INT_BOOTLOADER_EN,-- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id (32-bit) + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => false, -- implement on-chip debugger? + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement mul/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH, -- total size of HPM counters (1..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- External memory interface -- + MEM_EXT_EN => false, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled) + + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => IO_UART0_EN, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN => false, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN => false, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => false, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- implement true random number generator (TRNG)? + IO_CFS_EN => false, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => x"00000000", -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => false -- implement NeoPixel-compatible smart LED interface (NEOLED)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '0', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => open, -- request tag + wb_adr_o => open, -- address + wb_dat_i => (others => '0'), -- read data + wb_dat_o => open, -- write data + wb_we_o => open, -- read/write + wb_sel_o => open, -- byte enable + wb_stb_o => open, -- strobe + wb_cyc_o => open, -- valid cycle + wb_lock_o => open, -- exclusive access request + wb_ack_i => '0', -- transfer acknowledge + wb_err_i => '0', -- transfer error + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o, -- parallel output + gpio_i => (others => '0'), -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart_txd_o, -- UART0 send data + uart0_rxd_i => uart_rxd_i, -- UART0 receive data + uart0_rts_o => uart_rts_o, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart_cts_i, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => open, -- UART1 send data + uart1_rxd_i => '0', -- UART1 receive data + uart1_rts_o => open, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => '0', -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => open, -- SPI serial clock + spi_sdo_o => open, -- controller data out, peripheral data in + spi_sdi_i => '0', -- controller data in, peripheral data out + spi_csn_o => open, -- SPI CS + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => open, -- twi serial data line + twi_scl_io => open, -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o, -- pwm channels + + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom CFS inputs conduit + cfs_out_o => open, -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- Interrupts -- + mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => '0', -- machine software interrupt + mext_irq_i => '0' -- machine external interrupt + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd new file mode 100644 index 0000000..f09428b --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd @@ -0,0 +1,307 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for boards with UP5K devices >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; + +entity neorv32_ProcessorTop_UP5KDemo is + generic ( + CLOCK_FREQUENCY : natural := 0; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger? + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := true; -- implement atomic extension? + CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := true; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 34; -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean := true; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 64*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean := true; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 64*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- Processor peripherals -- + IO_GPIO_EN : boolean := true; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := true; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := true; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_SPI_EN : boolean := true; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := true; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 3; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := true -- implement watch dog timer (WDT)? + ); + port ( + clk_i : in std_logic; + rstn_i : in std_logic; + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_i : in std_ulogic_vector(3 downto 0); + gpio_o : out std_ulogic_vector(3 downto 0); + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart_txd_o : out std_ulogic; -- UART0 send data + uart_rxd_i : in std_ulogic := '0'; -- UART0 receive data + uart_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i : in std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- SPI to on-board flash -- + flash_sck_o : out std_ulogic; + flash_sdo_o : out std_ulogic; + flash_sdi_i : in std_ulogic; + flash_csn_o : out std_ulogic; -- NEORV32.SPI_CS(0) + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_ulogic; + spi_sdo_o : out std_ulogic; + spi_sdi_i : in std_ulogic; + spi_csn_o : out std_ulogic; -- NEORV32.SPI_CS(1) + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic; + twi_scl_io : inout std_logic; + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0) + ); +end entity; + +architecture neorv32_ProcessorTop_UP5KDemo_rtl of neorv32_ProcessorTop_UP5KDemo is + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(63 downto 0); + signal con_gpio_i : std_ulogic_vector(63 downto 0); + signal con_spi_sck : std_ulogic; + signal con_spi_sdi : std_ulogic; + signal con_spi_sdo : std_ulogic; + signal con_spi_csn : std_ulogic_vector(07 downto 0); + +begin + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- SPI: on-board flash -- + flash_sck_o <= con_spi_sck; + flash_sdo_o <= con_spi_sdo; + flash_csn_o <= con_spi_csn(0); + + -- SPI: user port -- + spi_sck_o <= con_spi_sck; + spi_sdo_o <= con_spi_sdo; + spi_csn_o <= con_spi_csn(1); + + con_spi_sdi <= flash_sdi_i when (con_spi_csn(0) = '0') else spi_sdi_i; + + -- GPIO -- + gpio_o <= con_gpio_o(3 downto 0); + con_gpio_i(03 downto 0) <= gpio_i; + con_gpio_i(63 downto 4) <= (others => '0'); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity neorv32.neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => true, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id (32-bit) + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN, -- implement on-chip debugger? + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement mul/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH, -- total size of HPM counters (1..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- External memory interface -- + MEM_EXT_EN => false, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled) + + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => IO_UART0_EN, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN => false, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN => IO_SPI_EN, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => IO_TWI_EN, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- implement true random number generator (TRNG)? + IO_CFS_EN => false, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => x"00000000", -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => false -- implement NeoPixel-compatible smart LED interface (NEOLED)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '0', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => open, -- request tag + wb_adr_o => open, -- address + wb_dat_i => (others => '0'), -- read data + wb_dat_o => open, -- write data + wb_we_o => open, -- read/write + wb_sel_o => open, -- byte enable + wb_stb_o => open, -- strobe + wb_cyc_o => open, -- valid cycle + wb_lock_o => open, -- exclusive access request + wb_ack_i => '0', -- transfer acknowledge + wb_err_i => '0', -- transfer error + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o, -- parallel output + gpio_i => con_gpio_i, -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart_txd_o, -- UART0 send data + uart0_rxd_i => uart_rxd_i, -- UART0 receive data + uart0_rts_o => uart_rts_o, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart_cts_i, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => open, -- UART1 send data + uart1_rxd_i => '0', -- UART1 receive data + uart1_rts_o => open, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => '0', -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => con_spi_sck, -- SPI serial clock + spi_sdo_o => con_spi_sdo, -- controller data out, peripheral data in + spi_sdi_i => con_spi_sdi, -- controller data in, peripheral data out + spi_csn_o => con_spi_csn, -- SPI CS + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda_io, -- twi serial data line + twi_scl_io => twi_scl_io, -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o, -- pwm channels + + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom CFS inputs conduit + cfs_out_o => open, -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- Interrupts -- + mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => '0', -- machine software interrupt + mext_irq_i => '0' -- machine external interrupt + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd new file mode 100644 index 0000000..4e56831 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd @@ -0,0 +1,486 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_ProcessorTop_stdlogic is + generic ( + -- General -- + CLOCK_FREQUENCY : natural := 0; -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN : boolean := true; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement muld/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean := true; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean := false; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean := true; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean := true; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN : boolean := false; -- implement external memory bus interface? + MEM_EXT_TIMEOUT : natural := 255; -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE : boolean := false; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN : boolean := false; -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX : boolean := false; -- use register buffer for RX data when false + -- Stream link interface -- + SLINK_NUM_TX : natural := 0; -- number of TX links (0..8) + SLINK_NUM_RX : natural := 0; -- number of TX links (0..8) + SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN : boolean := true; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := true; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := true; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN : boolean := true; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN : boolean := true; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := true; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 4; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := true; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := true; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)? + ); + port ( + -- Global control -- + clk_i : in std_logic := '0'; -- global clock, rising edge + rstn_i : in std_logic := '0'; -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i : in std_logic := '0'; -- low-active TAP reset (optional) + jtag_tck_i : in std_logic := '0'; -- serial clock + jtag_tdi_i : in std_logic := '0'; -- serial data input + jtag_tdo_o : out std_logic; -- serial data output + jtag_tms_i : in std_logic := '0'; -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o : out std_logic_vector(02 downto 0); -- tag + wb_adr_o : out std_logic_vector(31 downto 0); -- address + wb_dat_i : in std_logic_vector(31 downto 0) := (others => '0'); -- read data + wb_dat_o : out std_logic_vector(31 downto 0); -- write data + wb_we_o : out std_logic; -- read/write + wb_sel_o : out std_logic_vector(03 downto 0); -- byte enable + wb_stb_o : out std_logic; -- strobe + wb_cyc_o : out std_logic; -- valid cycle + wb_lock_o : out std_logic; -- exclusive access request + wb_ack_i : in std_logic := '0'; -- transfer acknowledge + wb_err_i : in std_logic := '0'; -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o : out std_logic; -- indicates an executed FENCE operation + fencei_o : out std_logic; -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o : out sdata_8x32r_t; -- output data + slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_logic_vector(7 downto 0) := (others => '0'); -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i : in sdata_8x32r_t := (others => (others => '0')); -- input data + slink_rx_val_i : in std_logic_vector(7 downto 0) := (others => '0'); -- valid input + slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_logic_vector(63 downto 0); -- parallel output + gpio_i : in std_logic_vector(63 downto 0) := (others => '0'); -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_logic; -- UART0 send data + uart0_rxd_i : in std_logic := '0'; -- UART0 receive data + uart0_rts_o : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_logic; -- UART1 send data + uart1_rxd_i : in std_logic := '0'; -- UART1 receive data + uart1_rts_o : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_logic; -- SPI serial clock + spi_sdo_o : out std_logic; -- controller data out, peripheral data in + spi_sdi_i : in std_logic := '0'; -- controller data in, peripheral data out + spi_csn_o : out std_logic_vector(07 downto 0); -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic; -- twi serial data line + twi_scl_io : inout std_logic; -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i : in std_logic_vector(IO_CFS_IN_SIZE-1 downto 0); -- custom inputs + cfs_out_o : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_logic; -- async serial data line + -- System time -- + mtime_i : in std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i : in std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i : in std_logic := '0'; -- machine software interrupt + mext_irq_i : in std_logic := '0' -- machine external interrupt + ); +end entity; + +architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is + + -- type conversion -- + constant IO_CFS_CONFIG_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG); + constant XIRQ_TRIGGER_TYPE_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE); + constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY); + -- + signal clk_i_int : std_ulogic; + signal rstn_i_int : std_ulogic; + -- + signal jtag_trst_i_int :std_ulogic; + signal jtag_tck_i_int :std_ulogic; + signal jtag_tdi_i_int :std_ulogic; + signal jtag_tdo_o_int :std_ulogic; + signal jtag_tms_i_int :std_ulogic; + -- + signal wb_tag_o_int : std_ulogic_vector(02 downto 0); + signal wb_adr_o_int : std_ulogic_vector(31 downto 0); + signal wb_dat_i_int : std_ulogic_vector(31 downto 0); + signal wb_dat_o_int : std_ulogic_vector(31 downto 0); + signal wb_we_o_int : std_ulogic; + signal wb_sel_o_int : std_ulogic_vector(03 downto 0); + signal wb_stb_o_int : std_ulogic; + signal wb_cyc_o_int : std_ulogic; + signal wb_lock_o_int : std_ulogic; + signal wb_ack_i_int : std_ulogic; + signal wb_err_i_int : std_ulogic; + -- + signal fence_o_int : std_ulogic; + signal fencei_o_int : std_ulogic; + -- + signal slink_tx_dat_o_int : sdata_8x32_t; + signal slink_tx_val_o_int : std_logic_vector(7 downto 0); + signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0); + signal slink_rx_dat_i_int : sdata_8x32_t; + signal slink_rx_val_i_int : std_logic_vector(7 downto 0); + signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0); + -- + signal gpio_o_int : std_ulogic_vector(63 downto 0); + signal gpio_i_int : std_ulogic_vector(63 downto 0); + -- + signal uart0_txd_o_int : std_ulogic; + signal uart0_rxd_i_int : std_ulogic; + signal uart0_rts_o_int : std_ulogic; + signal uart0_cts_i_int : std_ulogic; + -- + signal uart1_txd_o_int : std_ulogic; + signal uart1_rxd_i_int : std_ulogic; + signal uart1_rts_o_int : std_ulogic; + signal uart1_cts_i_int : std_ulogic; + -- + signal spi_sck_o_int : std_ulogic; + signal spi_sdo_o_int : std_ulogic; + signal spi_sdi_i_int : std_ulogic; + signal spi_csn_o_int : std_ulogic_vector(07 downto 0); + -- + signal pwm_o_int : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); + -- + signal cfs_in_i_int : std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0); + signal cfs_out_o_int : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); + -- + signal neoled_o_int : std_ulogic; + -- + signal mtime_i_int : std_ulogic_vector(63 downto 0); + signal mtime_o_int : std_ulogic_vector(63 downto 0); + -- + signal xirq_i_int : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + -- + signal mtime_irq_i_int : std_ulogic; + signal msw_irq_i_int : std_ulogic; + signal mext_irq_i_int : std_ulogic; + +begin + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => INT_BOOTLOADER_EN, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id (hartid) (32-bit) + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN, -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH, -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN => MEM_EXT_EN, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => MEM_EXT_TIMEOUT, -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE => MEM_EXT_PIPE_MODE, -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX => MEM_EXT_ASYNC_RX, -- use register buffer for RX data when false + -- Stream link interface -- + SLINK_NUM_TX => SLINK_NUM_TX, -- number of TX links (0..8) + SLINK_NUM_RX => SLINK_NUM_RX, -- number of TX links (0..8) + SLINK_TX_FIFO => SLINK_TX_FIFO, -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO => SLINK_RX_FIFO, -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => XIRQ_NUM_CH, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => IO_UART0_EN, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO => IO_UART0_TX_FIFO, -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN => IO_UART1_EN, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO => IO_UART1_TX_FIFO, -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN => IO_SPI_EN, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => IO_TWI_EN, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => IO_TRNG_EN, -- implement true random number generator (TRNG)? + IO_CFS_EN => IO_CFS_EN, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => IO_CFS_CONFIG_INT, -- custom CFS configuration generic + IO_CFS_IN_SIZE => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE, -- size of CFS output conduit in bits + IO_NEOLED_EN => IO_NEOLED_EN, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_GPTMR_EN => IO_GPTMR_EN -- implement general purpose timer (GPTMR)? + ) + port map ( + -- Global control -- + clk_i => clk_i_int, -- global clock, rising edge + rstn_i => rstn_i_int, -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional) + jtag_tck_i => jtag_tck_i_int, -- serial clock + jtag_tdi_i => jtag_tdi_i_int, -- serial data input + jtag_tdo_o => jtag_tdo_o_int, -- serial data output + jtag_tms_i => jtag_tms_i_int, -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_tag_o_int, -- tag + wb_adr_o => wb_adr_o_int, -- address + wb_dat_i => wb_dat_i_int, -- read data + wb_dat_o => wb_dat_o_int, -- write data + wb_we_o => wb_we_o_int, -- read/write + wb_sel_o => wb_sel_o_int, -- byte enable + wb_stb_o => wb_stb_o_int, -- strobe + wb_cyc_o => wb_cyc_o_int, -- valid cycle + wb_lock_o => wb_lock_o_int, -- exclusive access request + wb_ack_i => wb_ack_i_int, -- transfer acknowledge + wb_err_i => wb_err_i_int, -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => fence_o_int, -- indicates an executed FENCE operation + fencei_o => fencei_o_int, -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o => slink_tx_dat_o_int, -- output data + slink_tx_val_o => slink_tx_val_o_int, -- valid output + slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i => slink_rx_dat_i_int, -- input data + slink_rx_val_i => slink_rx_val_i_int, -- valid input + slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio_o_int, -- parallel output + gpio_i => gpio_i_int, -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o_int, -- UART0 send data + uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data + uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd_o_int, -- UART1 send data + uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data + uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => spi_sck_o_int, -- SPI serial clock + spi_sdo_o => spi_sdo_o_int, -- controller data out, peripheral data in + spi_sdi_i => spi_sdi_i_int, -- controller data in, peripheral data out + spi_csn_o => spi_csn_o_int, -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda_io, -- twi serial data line + twi_scl_io => twi_scl_io, -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o_int, -- pwm channels + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i => cfs_in_i_int, -- custom inputs + cfs_out_o => cfs_out_o_int, -- custom outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => neoled_o_int, -- async serial data line + -- System time -- + mtime_i => mtime_i_int, -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => mtime_o_int, -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => xirq_i_int, -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => msw_irq_i_int, -- machine software interrupt + mext_irq_i => mext_irq_i_int -- machine external interrupt + ); + + -- type conversion -- + clk_i_int <= std_ulogic(clk_i); + rstn_i_int <= std_ulogic(rstn_i); + + jtag_trst_i_int <= std_ulogic(jtag_trst_i); + jtag_tck_i_int <= std_ulogic(jtag_tck_i); + jtag_tdi_i_int <= std_ulogic(jtag_tdi_i); + jtag_tdo_o <= std_logic(jtag_tdo_o_int); + jtag_tms_i_int <= std_ulogic(jtag_tms_i); + + wb_tag_o <= std_logic_vector(wb_tag_o_int); + wb_adr_o <= std_logic_vector(wb_adr_o_int); + wb_dat_i_int <= std_ulogic_vector(wb_dat_i); + wb_dat_o <= std_logic_vector(wb_dat_o_int); + wb_we_o <= std_logic(wb_we_o_int); + wb_sel_o <= std_logic_vector(wb_sel_o_int); + wb_stb_o <= std_logic(wb_stb_o_int); + wb_cyc_o <= std_logic(wb_cyc_o_int); + wb_lock_o <= std_logic(wb_lock_o_int); + wb_ack_i_int <= std_ulogic(wb_ack_i); + wb_err_i_int <= std_ulogic(wb_err_i); + + fence_o <= std_logic(fence_o_int); + fencei_o <= std_logic(fencei_o_int); + + slink_tx_val_o <= std_logic_vector(slink_tx_val_o_int); + slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i); + slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i); + slink_rx_rdy_o <= std_logic_vector(slink_rx_rdy_o_int); + + slink_conv: + for i in 0 to 7 generate + slink_tx_dat_o(i) <= std_logic_vector(slink_tx_dat_o_int(i)); + slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i)); + end generate; + + gpio_o <= std_logic_vector(gpio_o_int); + gpio_i_int <= std_ulogic_vector(gpio_i); + + uart0_txd_o <= std_logic(uart0_txd_o_int); + uart0_rxd_i_int <= std_ulogic(uart0_rxd_i); + uart0_rts_o <= std_logic(uart0_rts_o_int); + uart0_cts_i_int <= std_ulogic(uart0_cts_i); + uart1_txd_o <= std_logic(uart1_txd_o_int); + uart1_rxd_i_int <= std_ulogic(uart1_rxd_i); + uart1_rts_o <= std_logic(uart1_rts_o_int); + uart1_cts_i_int <= std_ulogic(uart1_cts_i); + + spi_sck_o <= std_logic(spi_sck_o_int); + spi_sdo_o <= std_logic(spi_sdo_o_int); + spi_sdi_i_int <= std_ulogic(spi_sdi_i); + spi_csn_o <= std_logic_vector(spi_csn_o_int); + + pwm_o <= std_logic_vector(pwm_o_int); + + cfs_in_i_int <= std_ulogic_vector(cfs_in_i); + cfs_out_o <= std_logic_vector(cfs_out_o_int); + + neoled_o <= std_logic(neoled_o_int); + + mtime_i_int <= std_ulogic_vector(mtime_i); + mtime_o <= std_logic_vector(mtime_o_int); + + xirq_i_int <= std_ulogic_vector(xirq_i); + + msw_irq_i_int <= std_ulogic(msw_irq_i); + mext_irq_i_int <= std_ulogic(mext_irq_i); + + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd new file mode 100644 index 0000000..bcab595 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd @@ -0,0 +1,423 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor Top Entity with AvalonMM Compatible Master Interface >> # +-- # ********************************************************************************************* # +-- # (c) "AvalonMM", "NIOS-2", "Qsys", "MegaWizard" and "Platform Designer" # +-- # are trademarks of Intel # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_top_avalonmm is + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + INT_BOOTLOADER_EN : boolean := false; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean := true; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean := false; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean := false; -- implement multiply-only M sub-extension? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural := 2; -- entries is instruction prefetch buffer, has to be a power of 2 + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory (IMEM) -- + MEM_INT_IMEM_EN : boolean := false; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory (DMEM) -- + MEM_INT_DMEM_EN : boolean := false; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory (iCACHE) -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- Stream link interface (SLINK) -- + SLINK_NUM_TX : natural := 0; -- number of TX links (0..8) + SLINK_NUM_RX : natural := 0; -- number of TX links (0..8) + SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two + + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + + -- Processor peripherals -- + IO_GPIO_EN : boolean := false; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := false; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN : boolean := false; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN : boolean := false; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := false; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 0; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := false; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO : natural := 1; -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)? + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i : in std_ulogic := 'U'; -- low-active TAP reset (optional) + jtag_tck_i : in std_ulogic := 'U'; -- serial clock + jtag_tdi_i : in std_ulogic := 'U'; -- serial data input + jtag_tdo_o : out std_ulogic; -- serial data output + jtag_tms_i : in std_ulogic := 'U'; -- mode select + + -- AvalonMM interface + read_o : out std_logic; + write_o : out std_logic; + waitrequest_i : in std_logic := '0'; + byteenable_o : out std_logic_vector(3 downto 0); + address_o : out std_logic_vector(31 downto 0); + writedata_o : out std_logic_vector(31 downto 0); + readdata_i : in std_logic_vector(31 downto 0) := (others => '0'); + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o : out std_ulogic; -- indicates an executed FENCE operation + fencei_o : out std_ulogic; -- indicates an executed FENCEI operation + + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send + + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i : in sdata_8x32_t := (others => (others => 'U')); -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_ulogic_vector(63 downto 0); -- parallel output + gpio_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic := 'U'; -- UART0 receive data + uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_ulogic; -- UART1 send data + uart1_rxd_i : in std_ulogic := 'U'; -- UART1 receive data + uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic := 'U'; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- chip-select + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic := 'U'; -- twi serial data line + twi_scl_io : inout std_logic := 'U'; -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i : in std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0) := (others => 'U'); -- custom CFS inputs conduit + cfs_out_o : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_ulogic; -- async serial data line + + -- System time -- + mtime_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels + + -- CPU interrupts -- + mtime_irq_i : in std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i : in std_ulogic := 'L'; -- machine software interrupt + mext_irq_i : in std_ulogic := 'L' -- machine external interrupt + ); +end neorv32_top_avalonmm; + +architecture neorv32_top_avalonmm_rtl of neorv32_top_avalonmm is + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + signal wb_tag_o : std_ulogic_vector(02 downto 0); -- request tag + signal wb_adr_o : std_ulogic_vector(31 downto 0); -- address + signal wb_dat_i : std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data + signal wb_dat_o : std_ulogic_vector(31 downto 0); -- write data + signal wb_we_o : std_ulogic; -- read/write + signal wb_sel_o : std_ulogic_vector(03 downto 0); -- byte enable + signal wb_stb_o : std_ulogic; -- strobe + signal wb_cyc_o : std_ulogic; -- valid cycle + signal wb_lock_o : std_ulogic; -- exclusive access request + signal wb_ack_i : std_ulogic := 'L'; -- transfer acknowledge + signal wb_err_i : std_ulogic := 'L'; -- transfer error + +begin + + neorv32_top_map : neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, + HW_THREAD_ID => HW_THREAD_ID, + INT_BOOTLOADER_EN => INT_BOOTLOADER_EN, + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN, + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, + CPU_EXTENSION_RISCV_Zmmul => CPU_EXTENSION_RISCV_Zmmul, + + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, + FAST_SHIFT_EN => FAST_SHIFT_EN, + CPU_CNT_WIDTH => CPU_CNT_WIDTH, + CPU_IPB_ENTRIES => CPU_IPB_ENTRIES, + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, + HPM_CNT_WIDTH => HPM_CNT_WIDTH, + + -- Internal Instruction memory (IMEM) -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, + + -- Internal Data memory (DMEM) -- + MEM_INT_DMEM_EN => MEM_INT_IMEM_EN, + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, + + -- Internal Cache memory (iCACHE) -- + ICACHE_EN => ICACHE_EN, + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, + + -- External memory interface (WISHBONE) -- + MEM_EXT_EN => true, + MEM_EXT_TIMEOUT => 0, + MEM_EXT_PIPE_MODE => false, + MEM_EXT_BIG_ENDIAN => false, + MEM_EXT_ASYNC_RX => false, + + -- Stream link interface (SLINK) -- + SLINK_NUM_TX => SLINK_NUM_TX, + SLINK_NUM_RX => SLINK_NUM_RX, + SLINK_TX_FIFO => SLINK_TX_FIFO, + SLINK_RX_FIFO => SLINK_RX_FIFO, + + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => XIRQ_NUM_CH, + XIRQ_TRIGGER_TYPE => XIRQ_TRIGGER_TYPE, + XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY, + + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, + IO_MTIME_EN => IO_MTIME_EN, + IO_UART0_EN => IO_UART0_EN, + IO_UART0_RX_FIFO => IO_UART0_RX_FIFO, + IO_UART0_TX_FIFO => IO_UART0_TX_FIFO, + IO_UART1_EN => IO_UART1_EN, + IO_UART1_RX_FIFO => IO_UART1_RX_FIFO, + IO_UART1_TX_FIFO => IO_UART1_TX_FIFO, + IO_SPI_EN => IO_SPI_EN, + IO_TWI_EN => IO_TWI_EN, + IO_PWM_NUM_CH => IO_PWM_NUM_CH, + IO_WDT_EN => IO_WDT_EN, + IO_TRNG_EN => IO_TRNG_EN, + IO_CFS_EN => IO_CFS_EN, + IO_CFS_CONFIG => IO_CFS_CONFIG, + IO_CFS_IN_SIZE => IO_CFS_IN_SIZE, + IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE, + IO_NEOLED_EN => IO_NEOLED_EN, + IO_NEOLED_TX_FIFO => IO_NEOLED_TX_FIFO, + IO_GPTMR_EN => IO_GPTMR_EN + ) + port map ( + -- Global control -- + clk_i => clk_i, + rstn_i => rstn_i, + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => jtag_trst_i, + jtag_tck_i => jtag_tck_i, + jtag_tdi_i => jtag_tdi_i, + jtag_tdo_o => jtag_tdo_o, + jtag_tms_i => jtag_tms_i, + + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_tag_o, + wb_adr_o => wb_adr_o, + wb_dat_i => wb_dat_i, + wb_dat_o => wb_dat_o, + wb_we_o => wb_we_o, + wb_sel_o => wb_sel_o, + wb_stb_o => wb_stb_o, + wb_cyc_o => wb_cyc_o, + wb_lock_o => wb_lock_o, + wb_ack_i => wb_ack_i, + wb_err_i => wb_err_i, + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => fence_o, + fencei_o => fencei_o, + + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o => slink_tx_dat_o, + slink_tx_val_o => slink_tx_val_o, + slink_tx_rdy_i => slink_tx_rdy_i, + + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i => slink_rx_dat_i, + slink_rx_val_i => slink_rx_val_i, + slink_rx_rdy_o => slink_rx_rdy_o, + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio_o, + gpio_i => gpio_i, + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o, + uart0_rxd_i => uart0_rxd_i, + uart0_rts_o => uart0_rts_o, + uart0_cts_i => uart0_cts_i, + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd_o, + uart1_rxd_i => uart1_rxd_i, + uart1_rts_o => uart1_rts_o, + uart1_cts_i => uart1_cts_i, + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => spi_sck_o, + spi_sdo_o => spi_sdo_o, + spi_sdi_i => spi_sdi_i, + spi_csn_o => spi_csn_o, + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda_io, + twi_scl_io => twi_scl_io, + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o, + + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i => cfs_in_i, + cfs_out_o => cfs_out_o, + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => neoled_o, + + -- System time -- + mtime_i => mtime_i, + mtime_o => mtime_o, + + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => xirq_i, + + -- CPU interrupts -- + mtime_irq_i => mtime_irq_i, + msw_irq_i => msw_irq_i, + mext_irq_i => mext_irq_i + ); + + -- Wishbone to AvalonMM bridge + read_o <= '1' when (wb_stb_o = '1' and wb_we_o = '0') else '0'; + write_o <= '1' when (wb_stb_o = '1' and wb_we_o = '1') else '0'; + address_o <= std_logic_vector(wb_adr_o); + writedata_o <= std_logic_vector(wb_dat_o); + byteenable_o <= std_logic_vector(wb_sel_o); + + wb_dat_i <= std_ulogic_vector(readdata_i); + wb_ack_i <= not(waitrequest_i); + wb_err_i <= '0'; + +end neorv32_top_avalonmm_rtl; diff --git a/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd new file mode 100644 index 0000000..382f97c --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd @@ -0,0 +1,532 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor Top Entity with AXI4-Lite Compatible Master Interface >> # +-- # ********************************************************************************************* # +-- # (c) "AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc. # +-- # Note: External MTIME is not supported. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_SystemTop_axi4lite is + generic ( + -- ------------------------------------------------------------ + -- Configuration Generics -- + -- ------------------------------------------------------------ + -- General -- + CLOCK_FREQUENCY : natural := 0; -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN : boolean := true; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement muld/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr : boolean := true; -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm : boolean := false; -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN : boolean := true; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN : boolean := true; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN : boolean := true; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := true; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := true; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN : boolean := true; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN : boolean := true; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := true; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 4; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := true; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := true; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_logic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := true; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO : natural := 1; -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN : boolean := false -- implement general purpose timer (GPTMR)? + ); + port ( + -- ------------------------------------------------------------ + -- AXI4-Lite-Compatible Master Interface -- + -- ------------------------------------------------------------ + -- Clock and Reset -- + m_axi_aclk : in std_logic; + m_axi_aresetn : in std_logic; + -- Write Address Channel -- + m_axi_awaddr : out std_logic_vector(31 downto 0); + m_axi_awprot : out std_logic_vector(2 downto 0); + m_axi_awvalid : out std_logic; + m_axi_awready : in std_logic; + -- Write Data Channel -- + m_axi_wdata : out std_logic_vector(31 downto 0); + m_axi_wstrb : out std_logic_vector(3 downto 0); + m_axi_wvalid : out std_logic; + m_axi_wready : in std_logic; + -- Read Address Channel -- + m_axi_araddr : out std_logic_vector(31 downto 0); + m_axi_arprot : out std_logic_vector(2 downto 0); + m_axi_arvalid : out std_logic; + m_axi_arready : in std_logic; + -- Read Data Channel -- + m_axi_rdata : in std_logic_vector(31 downto 0); + m_axi_rresp : in std_logic_vector(1 downto 0); + m_axi_rvalid : in std_logic; + m_axi_rready : out std_logic; + -- Write Response Channel -- + m_axi_bresp : in std_logic_vector(1 downto 0); + m_axi_bvalid : in std_logic; + m_axi_bready : out std_logic; + -- ------------------------------------------------------------ + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + -- ------------------------------------------------------------ + jtag_trst_i : in std_logic := '0'; -- low-active TAP reset (optional) + jtag_tck_i : in std_logic := '0'; -- serial clock + jtag_tdi_i : in std_logic := '0'; -- serial data input + jtag_tdo_o : out std_logic; -- serial data output + jtag_tms_i : in std_logic := '0'; -- mode select + -- ------------------------------------------------------------ + -- Processor IO -- + -- ------------------------------------------------------------ + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_logic_vector(63 downto 0); -- parallel output + gpio_i : in std_logic_vector(63 downto 0) := (others => '0'); -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_logic; -- UART0 send data + uart0_rxd_i : in std_logic := '0'; -- UART0 receive data + uart0_rts_o : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_logic; -- UART1 send data + uart1_rxd_i : in std_logic := '0'; -- UART1 receive data + uart1_rts_o : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_logic; -- SPI serial clock + spi_sdo_o : out std_logic; -- controller data out, peripheral data in + spi_sdi_i : in std_logic := '0'; -- controller data in, peripheral data out + spi_csn_o : out std_logic_vector(07 downto 0); -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic; -- twi serial data line + twi_scl_io : inout std_logic; -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i : in std_logic_vector(IO_CFS_IN_SIZE-1 downto 0); -- custom inputs + cfs_out_o : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_logic; -- async serial data line + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels + -- CPU Interrupts -- + msw_irq_i : in std_logic := '0'; -- machine software interrupt + mext_irq_i : in std_logic := '0' -- machine external interrupt + ); +end entity; + +architecture neorv32_SystemTop_axi4lite_rtl of neorv32_SystemTop_axi4lite is + + -- type conversion -- + constant IO_CFS_CONFIG_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG); + constant XIRQ_TRIGGER_TYPE_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE); + constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY); + -- + signal clk_i_int : std_ulogic; + signal rstn_i_int : std_ulogic; + -- + signal jtag_trst_i_int :std_ulogic; + signal jtag_tck_i_int :std_ulogic; + signal jtag_tdi_i_int :std_ulogic; + signal jtag_tdo_o_int :std_ulogic; + signal jtag_tms_i_int :std_ulogic; + -- + signal gpio_o_int : std_ulogic_vector(63 downto 0); + signal gpio_i_int : std_ulogic_vector(63 downto 0); + -- + signal uart0_txd_o_int : std_ulogic; + signal uart0_rxd_i_int : std_ulogic; + signal uart0_rts_o_int : std_ulogic; + signal uart0_cts_i_int : std_ulogic; + -- + signal uart1_txd_o_int : std_ulogic; + signal uart1_rxd_i_int : std_ulogic; + signal uart1_rts_o_int : std_ulogic; + signal uart1_cts_i_int : std_ulogic; + -- + signal spi_sck_o_int : std_ulogic; + signal spi_sdo_o_int : std_ulogic; + signal spi_sdi_i_int : std_ulogic; + signal spi_csn_o_int : std_ulogic_vector(07 downto 0); + -- + signal pwm_o_int : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); + -- + signal cfs_in_i_int : std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0); + signal cfs_out_o_int : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); + -- + signal neoled_o_int : std_ulogic; + -- + signal xirq_i_int : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0); + -- + signal msw_irq_i_int : std_ulogic; + signal mext_irq_i_int : std_ulogic; + + -- internal wishbone bus -- + type wb_bus_t is record + adr : std_ulogic_vector(31 downto 0); -- address + di : std_ulogic_vector(31 downto 0); -- processor input data + do : std_ulogic_vector(31 downto 0); -- processor output data + we : std_ulogic; -- write enable + sel : std_ulogic_vector(03 downto 0); -- byte enable + stb : std_ulogic; -- strobe + cyc : std_ulogic; -- valid cycle + ack : std_ulogic; -- transfer acknowledge + err : std_ulogic; -- transfer error + tag : std_ulogic_vector(02 downto 0); -- tag + lock : std_ulogic; -- exclusive access request + end record; + signal wb_core : wb_bus_t; + + -- AXI bridge control -- + type ctrl_t is record + radr_received : std_ulogic; + wadr_received : std_ulogic; + wdat_received : std_ulogic; + end record; + signal ctrl : ctrl_t; + + signal ack_read, ack_write : std_ulogic; -- normal transfer termination + signal err_read, err_write : std_ulogic; -- error transfer termination + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 PROCESSOR CONFIG WARNING: AXI4-Lite provides NO support for atomic memory operations. LR/SC access via AXI will raise a bus exception." severity warning; + + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => INT_BOOTLOADER_EN, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + HW_THREAD_ID => HW_THREAD_ID, -- hardware thread id (hartid) + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => ON_CHIP_DEBUGGER_EN, -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => CPU_EXTENSION_RISCV_Zfinx, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => CPU_EXTENSION_RISCV_Zicntr, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => CPU_EXTENSION_RISCV_Zihpm, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + -- Extension Options -- + FAST_MUL_EN => FAST_MUL_EN, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => FAST_SHIFT_EN, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => CPU_CNT_WIDTH, -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => PMP_NUM_REGIONS, -- number of regions (0..64) + PMP_MIN_GRANULARITY => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => HPM_NUM_CNTS, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => HPM_CNT_WIDTH, -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => MEM_INT_IMEM_EN, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => MEM_INT_DMEM_EN, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => ICACHE_EN, -- implement instruction cache + ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN => true, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE => false, -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN => false, -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX => false, -- use register buffer for RX data when false + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => XIRQ_NUM_CH, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN => IO_GPIO_EN, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => IO_MTIME_EN, -- implement machine system timer (MTIME)? + IO_UART0_EN => IO_UART0_EN, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO => IO_UART0_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO => IO_UART0_TX_FIFO, -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN => IO_UART1_EN, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO => IO_UART1_RX_FIFO, -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO => IO_UART1_TX_FIFO, -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN => IO_SPI_EN, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => IO_TWI_EN, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => IO_PWM_NUM_CH, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => IO_WDT_EN, -- implement watch dog timer (WDT)? + IO_TRNG_EN => IO_TRNG_EN, -- implement true random number generator (TRNG)? + IO_CFS_EN => IO_CFS_EN, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => IO_CFS_CONFIG_INT, -- custom CFS configuration generic + IO_CFS_IN_SIZE => IO_CFS_IN_SIZE, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => IO_CFS_OUT_SIZE, -- size of CFS output conduit in bits + IO_NEOLED_EN => IO_NEOLED_EN, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO => IO_NEOLED_TX_FIFO, -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN => IO_GPTMR_EN -- implement general purpose timer (GPTMR)? + ) + port map ( + -- Global control -- + clk_i => clk_i_int, -- global clock, rising edge + rstn_i => rstn_i_int, -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional) + jtag_tck_i => jtag_tck_i_int, -- serial clock + jtag_tdi_i => jtag_tdi_i_int, -- serial data input + jtag_tdo_o => jtag_tdo_o_int, -- serial data output + jtag_tms_i => jtag_tms_i_int, -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_core.tag, -- tag + wb_adr_o => wb_core.adr, -- address + wb_dat_i => wb_core.di, -- read data + wb_dat_o => wb_core.do, -- write data + wb_we_o => wb_core.we, -- read/write + wb_sel_o => wb_core.sel, -- byte enable + wb_stb_o => wb_core.stb, -- strobe + wb_cyc_o => wb_core.cyc, -- valid cycle + wb_lock_o => wb_core.lock, -- exclusive access request + wb_ack_i => wb_core.ack, -- transfer acknowledge + wb_err_i => wb_core.err, -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio_o_int, -- parallel output + gpio_i => gpio_i_int, -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o_int, -- UART0 send data + uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data + uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd_o_int, -- UART1 send data + uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data + uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => spi_sck_o_int, -- SPI serial clock + spi_sdo_o => spi_sdo_o_int, -- controller data out, peripheral data in + spi_sdi_i => spi_sdi_i_int, -- controller data in, peripheral data out + spi_csn_o => spi_csn_o_int, -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda_io, -- twi serial data line + twi_scl_io => twi_scl_io, -- twi serial clock line + -- PWM available if IO_PWM_NUM_CH > 0) -- + pwm_o => pwm_o_int, -- pwm channels + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i => cfs_in_i_int, -- custom inputs + cfs_out_o => cfs_out_o_int, -- custom outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => neoled_o_int, -- async serial data line + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => xirq_i_int, -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => msw_irq_i_int, -- machine software interrupt + mext_irq_i => mext_irq_i_int -- machine external interrupt + ); + + -- type conversion -- + gpio_o <= std_logic_vector(gpio_o_int); + gpio_i_int <= std_ulogic_vector(gpio_i); + + jtag_trst_i_int <= std_ulogic(jtag_trst_i); + jtag_tck_i_int <= std_ulogic(jtag_tck_i); + jtag_tdi_i_int <= std_ulogic(jtag_tdi_i); + jtag_tdo_o <= std_logic(jtag_tdo_o_int); + jtag_tms_i_int <= std_ulogic(jtag_tms_i); + + uart0_txd_o <= std_logic(uart0_txd_o_int); + uart0_rxd_i_int <= std_ulogic(uart0_rxd_i); + uart0_rts_o <= std_logic(uart0_rts_o_int); + uart0_cts_i_int <= std_ulogic(uart0_cts_i); + uart1_txd_o <= std_logic(uart1_txd_o_int); + uart1_rxd_i_int <= std_ulogic(uart1_rxd_i); + uart1_rts_o <= std_logic(uart1_rts_o_int); + uart1_cts_i_int <= std_ulogic(uart1_cts_i); + + spi_sck_o <= std_logic(spi_sck_o_int); + spi_sdo_o <= std_logic(spi_sdo_o_int); + spi_sdi_i_int <= std_ulogic(spi_sdi_i); + spi_csn_o <= std_logic_vector(spi_csn_o_int); + + pwm_o <= std_logic_vector(pwm_o_int); + + cfs_in_i_int <= std_ulogic_vector(cfs_in_i); + cfs_out_o <= std_logic_vector(cfs_out_o_int); + + neoled_o <= std_logic(neoled_o_int); + + xirq_i_int <= std_ulogic_vector(xirq_i); + + msw_irq_i_int <= std_ulogic(msw_irq_i); + mext_irq_i_int <= std_ulogic(mext_irq_i); + + + -- Wishbone to AXI4-Lite Bridge ----------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- access arbiter -- + axi_access_arbiter: process(rstn_i_int, clk_i_int) + begin + if (rstn_i_int = '0') then + ctrl.radr_received <= '0'; + ctrl.wadr_received <= '0'; + ctrl.wdat_received <= '0'; + elsif rising_edge(clk_i_int) then + if (wb_core.cyc = '0') then -- idle + ctrl.radr_received <= '0'; + ctrl.wadr_received <= '0'; + ctrl.wdat_received <= '0'; + else -- busy + -- "read address received" flag -- + if (wb_core.we = '0') then -- pending READ + if (m_axi_arready = '1') then -- read address received by interconnect? + ctrl.radr_received <= '1'; + end if; + end if; + -- "write address received" flag -- + if (wb_core.we = '1') then -- pending WRITE + if (m_axi_awready = '1') then -- write address received by interconnect? + ctrl.wadr_received <= '1'; + end if; + end if; + -- "write data received" flag -- + if (wb_core.we = '1') then -- pending WRITE + if (m_axi_wready = '1') then -- write data received by interconnect? + ctrl.wdat_received <= '1'; + end if; + end if; + end if; + end if; + end process axi_access_arbiter; + + + -- AXI4-Lite Global Signals -- + clk_i_int <= std_ulogic(m_axi_aclk); + rstn_i_int <= std_ulogic(m_axi_aresetn); + + + -- AXI4-Lite Read Address Channel -- + m_axi_araddr <= std_logic_vector(wb_core.adr); + m_axi_arvalid <= std_logic((wb_core.cyc and (not wb_core.we)) and (not ctrl.radr_received)); +--m_axi_arprot <= "000"; -- recommended by Xilinx + m_axi_arprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access + m_axi_arprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access + m_axi_arprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access + + -- AXI4-Lite Read Data Channel -- + m_axi_rready <= std_logic(wb_core.cyc and (not wb_core.we)); + wb_core.di <= std_ulogic_vector(m_axi_rdata); + ack_read <= std_ulogic(m_axi_rvalid); + err_read <= '0' when (m_axi_rresp = "00") else '1'; -- read response = ok? check this signal only when m_axi_rvalid = '1' + + + -- AXI4-Lite Write Address Channel -- + m_axi_awaddr <= std_logic_vector(wb_core.adr); + m_axi_awvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wadr_received)); +--m_axi_awprot <= "000"; -- recommended by Xilinx + m_axi_awprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access + m_axi_awprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access + m_axi_awprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access + + -- AXI4-Lite Write Data Channel -- + m_axi_wdata <= std_logic_vector(wb_core.do); + m_axi_wvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wdat_received)); + m_axi_wstrb <= std_logic_vector(wb_core.sel); -- byte-enable + + -- AXI4-Lite Write Response Channel -- + m_axi_bready <= std_logic(wb_core.cyc and wb_core.we); + ack_write <= std_ulogic(m_axi_bvalid); + err_write <= '0' when (m_axi_bresp = "00") else '1'; -- write response = ok? check this signal only when m_axi_bvalid = '1' + + + -- Wishbone transfer termination -- + wb_core.ack <= ack_read or ack_write; + wb_core.err <= (ack_read and err_read) or (ack_write and err_write) or wb_core.lock; + + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/test_setups/README.md b/Libs/RiscV/NEORV32/rtl/test_setups/README.md new file mode 100644 index 0000000..d3ee026 --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/test_setups/README.md @@ -0,0 +1,52 @@ +# Test Setups + +This folder contains very simple test setups that are intended for project beginners +to setup a minimal NEORV32 SoC. These setups are used in the :books: +[NEORV32 User Guide](https://stnolting.github.io/neorv32/ug/). +Note that these setups provides a minimalistic configuration to keep +things at a simple level at first. Additional CPU ISA extensions, performance options and +optional peripheral modules can be enabled by specifying the according :book: +[configuration generics](https://stnolting.github.io/neorv32/#_processor_top_entity_generics). + + +### Setup's Top Entity + +#### Clocking and Reset + +All test setups require an external clock (via `clk_i` signal) and an external +low-active reset (via `rstn_i` signal). + +#### Configuration Generics + +Each setup provides three elementary generics that can/should be adapted to fit +your FPGA/board. + +* The clock speed in Hz **has to be specified** via the `CLOCK_SPEED` generic to fit your clock source. +* The processor-internal instruction memory (IMEM) size _can be modified_ via the `MEM_INT_IMEM_SIZE` generic. +* The processor-internal data memory (DMEM) size _can be modified_ via the `MEM_INT_DMEM_SIZE` generic. +Note that this might require adaption of the NEORV32 linker script. + + +### [`neorv32_test_setup_approm.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_approm.vhd) + +This setup configures a `rv32imc_Zicsr` CPU with 16kB IMEM (as pre-initialized ROM), +8kB DMEM and includes the GPIO module to drive 8 external signals (`gpio_o`) +and the MTIME module for generating timer interrupts. +The setup uses the ["indirect boot"](https://stnolting.github.io/neorv32/#_indirect_boot) +configuration, so software applications are "installed" directly into the +processor-internal IMEM during synthesis. + +:books: See User Guide section [_Installing an Executable Directly Into Memory_](https://stnolting.github.io/neorv32/ug/#_installing_an_executable_directly_into_memory). + + +### [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) + +This setup configures a `rv32imc_Zicsr` CPU with 16kB IMEM (as RAM), 8kB DMEM +and includes the GPIO module to drive 8 external signals (`gpio_o`), the MTIME +module for generating timer interrupts and UART0 to interface with the bootloader +(via `uart0_txd_o` and `uart0_rxd_i`) via a serial terminal. +The setup uses the ["direct boot"](https://stnolting.github.io/neorv32/#_direct_boot) +configuration, so software applications can be uploaded and run at any timer via a serial terminal. + +:books: See User Guide section +[_Uploading and Starting of a Binary Executable Image via UART_](https://stnolting.github.io/neorv32/ug/#_uploading_and_starting_of_a_binary_executable_image_via_uart). diff --git a/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_approm.vhd b/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_approm.vhd new file mode 100644 index 0000000..6f48bef --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_approm.vhd @@ -0,0 +1,98 @@ +-- ################################################################################################# +-- # << NEORV32 - Test Setup using the internal IMEM as ROM to run pre-installed executables >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_test_setup_approm is + generic ( + -- adapt these for your setup -- + CLOCK_FREQUENCY : natural := 100000000; -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + MEM_INT_DMEM_SIZE : natural := 8*1024 -- size of processor-internal data memory in bytes + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- GPIO -- + gpio_o : out std_ulogic_vector(7 downto 0) -- parallel output + ); +end entity; + +architecture neorv32_test_setup_approm_rtl of neorv32_test_setup_approm is + + signal con_gpio_o : std_ulogic_vector(63 downto 0); + +begin + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => false, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_M => true, -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => true, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => true, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true -- implement machine system timer (MTIME)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o -- parallel output + ); + + -- GPIO output -- + gpio_o <= con_gpio_o(7 downto 0); + + +end architecture; diff --git a/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_bootloader.vhd b/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_bootloader.vhd new file mode 100644 index 0000000..1c420af --- /dev/null +++ b/Libs/RiscV/NEORV32/rtl/test_setups/neorv32_test_setup_bootloader.vhd @@ -0,0 +1,105 @@ +-- ################################################################################################# +-- # << NEORV32 - Test Setup using the UART-Bootloader to upload and run executables >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_test_setup_bootloader is + generic ( + -- adapt these for your setup -- + CLOCK_FREQUENCY : natural := 100000000; -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + MEM_INT_DMEM_SIZE : natural := 8*1024 -- size of processor-internal data memory in bytes + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- GPIO -- + gpio_o : out std_ulogic_vector(7 downto 0); -- parallel output + -- UART0 -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic -- UART0 receive data + ); +end entity; + +architecture neorv32_test_setup_bootloader_rtl of neorv32_test_setup_bootloader is + + signal con_gpio_o : std_ulogic_vector(63 downto 0); + +begin + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => true, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_M => true, -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => true, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => true, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => MEM_INT_DMEM_SIZE, -- size of processor-internal data memory in bytes + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => true -- implement primary universal asynchronous receiver/transmitter (UART0)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o, -- parallel output + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o, -- UART0 send data + uart0_rxd_i => uart0_rxd_i -- UART0 receive data + ); + + -- GPIO output -- + gpio_o <= con_gpio_o(7 downto 0); + + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/README.md b/Libs/RiscV/NEORV32/setups/README.md new file mode 100644 index 0000000..d0cc30b --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/README.md @@ -0,0 +1,65 @@ +# Exemplary FPGA Board Setups + +* [Setups using Commercial Toolchains](#Setups-using-Commercial-Toolchains) +* [Setups using Open-Source Toolchains](#Setups-using-Open-Source-Toolchains) +* [Adding Your Project Setup](#Adding-Your-Project-Setup) +* [Setup-Specific NEORV32 Software Framework Modification](#Setup-Specific-NEORV32-Software-Framework-Modification) + +This folder provides exemplary NEORV32 SoC setups and projects for different FPGA platforms/boards. +You can directly use one of the provided setups or use them as starting point to build your own setup. +Project maintainers may make pull requests against this repository to [add or link their setups](#Adding-Your-Project-Setup). + + +## Setups using Commercial Toolchains + +| Setup | Toolchain | Board :books: | FPGA | Author(s) | +|:------|:----------|:--------------|:------|:----------| +| :file_folder: [`de0-nano-test-setup`](https://github.com/stnolting/neorv32/tree/master/setups/quartus/de0-nano-test-setup) | Intel Quartus Prime | [Terasic DE0-Nano](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) | Intel Cyclone IV `EP4CE22F17C6N` | [stnolting](https://github.com/stnolting) | +| :file_folder: [`de0-nano-test-setup-qsys`](quartus/de0-nano-test-setup-qsys) | Intel Quartus Prime | [Terasic DE0-Nano](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) | Intel Cyclone IV `EP4CE22F17C6N` | [torerams](https://github.com/torerams) | +| :file_folder: [`de0-nano-test-setup-avalonmm`](quartus/de0-nano-test-setup-avalonmm-wrapper) | Intel Quartus Prime | [Terasic DE0-Nano](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) | Intel Cyclone IV `EP4CE22F17C6N` | [torerams](https://github.com/torerams) | +| :file_folder: [`terasic-cyclone-V-gx-starter-kit-test-setup`](https://github.com/stnolting/neorv32/tree/master/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup) | Intel Quartus Prime | [Terasic Cyclone-V GX Starter Kit](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=830) | Intel Cyclone V `5CGXFC5C6F27C7N` | zs6mue | +| :file_folder: [`UPduino_v3`](https://github.com/stnolting/neorv32/tree/master/setups/radiant/UPduino_v3) | Lattice Radiant | [tinyVision.ai Inc. UPduino `v3.0`](https://www.tindie.com/products/tinyvision_ai/upduino-v30-low-cost-lattice-ice40-fpga-board/) | Lattice iCE40 UltraPlus `iCE40UP5K-SG48I` | [stnolting](https://github.com/stnolting) | +| :file_folder: [`arty-a7-35-test-setup`](https://github.com/stnolting/neorv32/tree/master/setups/vivado/arty-a7-test-setup) | Xilinx Vivado | [Digilent Arty A7-35](https://reference.digilentinc.com/reference/programmable-logic/arty-a7/start) | Xilinx Artix-7 `XC7A35TICSG324-1L` | [stnolting](https://github.com/stnolting) | +| :file_folder: [`nexys-a7-test-setup`](https://github.com/stnolting/neorv32/tree/master/setups/vivado/nexys-a7-test-setup) | Xilinx Vivado | [Digilent Nexys A7](https://reference.digilentinc.com/reference/programmable-logic/nexys-a7/start) | Xilinx Artix-7 `XC7A50TCSG324-1` | [AWenzel83](https://github.com/AWenzel83) | +| :file_folder: [`nexys-a7-test-setup`](https://github.com/stnolting/neorv32/tree/master/setups/vivado/nexys-a7-test-setup) | Xilinx Vivado | [Digilent Nexys 4 DDR](https://reference.digilentinc.com/reference/programmable-logic/nexys-4-ddr/start) | Xilinx Artix-7 `XC7A100TCSG324-1` | [AWenzel83](https://github.com/AWenzel83) | +| :earth_africa: [custom CRC32 processor module for the nexys-a7 boards (**tutorial**)](https://github.com/motius/neorv32/tree/add-custom-crc32-module) | Xilinx Vivado | [Digilent Nexys A7](https://reference.digilentinc.com/reference/programmable-logic/nexys-a7/start) | Xilinx Artix-7 `XC7A50TCSG324-1` | [motius](https://github.com/motius) ([ikstvn](https://github.com/ikstvn), [turbinenreiter](https://github.com/turbinenreiter)) | +| :earth_africa: [neorv32-examples](https://github.com/emb4fun/neorv32-examples) | Intel Quartus Prime | Different Terasic boards | Different Intel FPGAs | [emb4fun](https://github.com/emb4fun) | + + +## Setups using Open-Source Toolchains + +| Setup | Toolchain | Board :books: | FPGA | Author(s) | +|:------|:----------|:--------------|:------|:----------| +| :file_folder: [`UPduino v3`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [UPduino v3.0](https://www.tindie.com/products/tinyvision_ai/upduino-v30-low-cost-lattice-ice40-fpga-board/) | Lattice iCE40 UltraPlus `iCE40UP5K-SG48I` | [tmeissner](https://github.com/tmeissner) | +| :file_folder: [`FOMU`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [FOMU](https://tomu.im/fomu.html) | Lattice iCE40 UltraPlus `iCE40UP5K-SG48I` | [umarcor](https://github.com/umarcor) | +| :file_folder: [`iCESugar`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [iCESugar](https://github.com/wuxx/icesugar/blob/master/README_en.md) | Lattice iCE40 UltraPlus `iCE40UP5K-SG48I` | [umarcor](https://github.com/umarcor) | +| :file_folder: [`AlhambraII`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [AlhambraII](https://alhambrabits.com/alhambra/) | Lattice iCE40HX4K | [zipotron](https://github.com/zipotron) | +| :file_folder: [`Orange Crab`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [Orange Crab](https://github.com/gregdavill/OrangeCrab) | Lattice ECP5-25F | [umarcor](https://github.com/umarcor), [jeremyherbert](https://github.com/jeremyherbert) | +| :file_folder: [`ULX3S`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) | GHDL, Yosys, nextPNR | [ULX3S](https://radiona.org/ulx3s/) | Lattice ECP5 `LFE5U-85F-6BG381C` | [zipotron](https://github.com/zipotron) | +| :earth_africa: [`ULX3S-SDRAM`](https://github.com/zipotron/neorv32-complex-setups) | GHDL, Yosys, nextPNR | [ULX3S](https://radiona.org/ulx3s/) | Lattice ECP5 `LFE5U-85F-6BG381C` | [zipotron](https://github.com/zipotron) | + +:information_source: All setups using open-source toolchains are located in the +[`osflow`](https://github.com/stnolting/neorv32/tree/master/setups/osflow) folder. +See the README there for more information how to run a specific setup / configuration. + + +## Adding Your Project Setup + +Please respect the following guidelines if you'd like to add (or link) your setup to the list. + +* check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md) +* add a link if the board you are using provides online documentation (and/or can be purchased somewhere) +* use the :file_folder: emoji (`:file_folder:`) if the setup is located *in this* folder; use the :earth_africa: +emoji (`:earth_africa:`) if it is a link to your local project +* please add a `README` to give some brief information about the setup and a `.gitignore` to keep things clean; +take a look at [`UPduino_v3`](https://github.com/stnolting/neorv32/tree/master/setups/radiant/UPduino_v3) to get some ideas what a project setup might look like + + +## Setup-Specific NEORV32 Software Framework Modification + +In order to use the features provided by the setups, minor *optional* changes can be made to the default NEORV32 setup. + +* To change the default data memory size take a look at the :books: User Guide section +[_General Software Framework Setup_](https://stnolting.github.io/neorv32/ug/#_general_software_framework_setup) +* To modify the SPI flash base address for storing/booting software application see :books: User Guide section +[_Customizing the Internal Bootloader_](https://stnolting.github.io/neorv32/ug/#_customizing_the_internal_bootloader) diff --git a/Libs/RiscV/NEORV32/setups/osflow/.gitignore b/Libs/RiscV/NEORV32/setups/osflow/.gitignore new file mode 100644 index 0000000..ff73747 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/.gitignore @@ -0,0 +1,8 @@ +*.asc +*.bit +*.cfg +*.dfu +*.history +*.json +*.svf +*-report.txt diff --git a/Libs/RiscV/NEORV32/setups/osflow/Makefile b/Libs/RiscV/NEORV32/setups/osflow/Makefile new file mode 100644 index 0000000..6890a09 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/Makefile @@ -0,0 +1,134 @@ +TEMPLATES := ../../rtl/processor_templates +MV := mv + +.DEFAULT_GOAL := help + +TASK := clean $(BITSTREAM) + +FOMU_REV ?= pvt +OrangeCrab_REV ?= r02-25F +UPduino_REV ?= v3 + +#ifndef BOARD +#$(error BOARD needs to be set to 'Fomu', 'iCESugar', 'UPDuino', 'iCEBreaker' or 'OrangeCrab' !) +#endif + +run: + $(eval TASK ?= clean $(BITSTREAM)) + $(MAKE) -f common.mk \ + BOARD_SRC=./board_tops/neorv32_$(BOARD)_BoardTop_$(DESIGN).vhd \ + TOP=neorv32_$(BOARD)_BoardTop_$(DESIGN) \ + ID=$(DESIGN) \ + $(TASK) + IMPL="$${BITSTREAM%%.*}"; for item in ".bit" ".svf"; do \ + if [ -f "./$$IMPL$$item" ]; then \ + $(MV) "./$$IMPL$$item" ./; \ + fi \ + done + +# Boards + +Fomu: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(FOMU_REV)_$(DESIGN).bit) +ifeq ($(DESIGN),Minimal) + $(eval IMEM_SRC := ../../rtl/core/mem/neorv32_imem.default.vhd) +else + $(eval IMEM_SRC := devices/ice40/neorv32_imem.ice40up_spram.vhd) +endif + $(eval NEORV32_MEM_SRC ?= ${IMEM_SRC} devices/ice40/neorv32_dmem.ice40up_spram.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +iCESugar: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= devices/ice40/neorv32_imem.ice40up_spram.vhd devices/ice40/neorv32_dmem.ice40up_spram.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +UPduino: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(UPduino_REV)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= devices/ice40/neorv32_imem.ice40up_spram.vhd devices/ice40/neorv32_dmem.ice40up_spram.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +OrangeCrab: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(OrangeCrab_REV)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= ../../rtl/core/mem/neorv32_imem.default.vhd ../../rtl/core/mem/neorv32_dmem.default.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +AlhambraII: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= ../../rtl/core/mem/neorv32_imem.default.vhd ../../rtl/core/mem/neorv32_dmem.default.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +ULX3S: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= ../../rtl/core/mem/neorv32_imem.default.vhd ../../rtl/core/mem/neorv32_dmem.default.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +iCEBreaker: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= devices/ice40/neorv32_imem.ice40up_spram.vhd devices/ice40/neorv32_dmem.ice40up_spram.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run + +# Designs + +Minimal: + $(eval DESIGN ?= $@) + $(eval DESIGN_SRC ?= $(TEMPLATES)/neorv32_ProcessorTop_Minimal*.vhd) + $(MAKE) \ + DESIGN="$(DESIGN)" \ + DESIGN_SRC="$(DESIGN_SRC)" \ + $(BOARD) + +MinimalBoot: + $(eval DESIGN ?= $@) + $(eval DESIGN_SRC ?= $(TEMPLATES)/neorv32_ProcessorTop_MinimalBoot.vhd) + $(MAKE) \ + DESIGN="$(DESIGN)" \ + DESIGN_SRC="$(DESIGN_SRC)" \ + $(BOARD) + +UP5KDemo: + $(eval DESIGN ?= $@) + $(eval DESIGN_SRC ?= $(TEMPLATES)/neorv32_ProcessorTop_UP5KDemo.vhd) + $(MAKE) \ + DESIGN="$(DESIGN)" \ + DESIGN_SRC="$(DESIGN_SRC)" \ + $(BOARD) + +MixedLanguage: + $(eval DESIGN ?= $@) + $(eval DESIGN_SRC ?= $(TEMPLATES)/neorv32_ProcessorTop_Minimal*.vhd) + $(eval NEORV32_VERILOG_SRC ?= devices/ice40/sb_ice40_components.v board_tops/neorv32_Fomu_MixedLanguage_ClkGen.v) + $(MAKE) \ + DESIGN="$(DESIGN)" \ + DESIGN_SRC="$(DESIGN_SRC)" \ + NEORV32_VERILOG_SRC="$(NEORV32_VERILOG_SRC)" \ + $(BOARD) + +# Help + +help: + @echo "Open-Source Synthesis, P&R, Routing and Bitstream Generation" + @echo "Usage: make BOARD= " + @echo "Example: make BOARD=Fomu Minimal" + diff --git a/Libs/RiscV/NEORV32/setups/osflow/PnR_Bit.mk b/Libs/RiscV/NEORV32/setups/osflow/PnR_Bit.mk new file mode 100644 index 0000000..cdfbd4f --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/PnR_Bit.mk @@ -0,0 +1,14 @@ +${IMPL}.${PNR2BIT_EXT}: $(IMPL).json $(CONSTRAINTS) + $(NEXTPNR) \ + $(PNRFLAGS) \ + --$(CONSTRAINTS_FORMAT) $(CONSTRAINTS) \ + --json $(IMPL).json \ + --${NEXTPNR_OUT} $@ 2>&1 | tee nextpnr-report.txt + +${IMPL}.bit: ${IMPL}.${PNR2BIT_EXT} + $(PACKTOOL) $< $@ + +ifeq ($(DEVICE_SERIES),ecp5) +${IMPL}.svf: ${IMPL}.${PNR2BIT_EXT} + $(PACKTOOL) $(PACKARGS) --svf $@ $< +endif diff --git a/Libs/RiscV/NEORV32/setups/osflow/README.md b/Libs/RiscV/NEORV32/setups/osflow/README.md new file mode 100644 index 0000000..4b61357 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/README.md @@ -0,0 +1,145 @@ +# Exemplary FPAG Board Setups - Using Open Source Toolchains + +* [Folder Structure](#Folder-Structure) +* [Prerequisites](#Prerequisites) +* [How To Run](#How-To-Run) +* [Porting to a new FPGA or Board](#Porting-to-a-new-FPGA-or-Board) + +This folder provides the infrastructure for generating bitstream for various FPGAs using +open-source toolchains. Synthesis is based on [ghdl-yosys](https://github.com/ghdl/ghdl-yosys-plugin). + +:information_source: Note that the provided setups just implement very basic SoC configurations. +These setups are intended as minimal example (how to synthesize the processor) for a given FPGA + board +that can be used as starting point to build more complex user-defined SoCs. + +## Folder Structure + +* `.`: Main makefile (main entry point) and partial-makefiles for synthesis, place & route and bitstream generation +* `boards`: board-specific _partial makefiles_ (used by main makefile "`Makefile`") for generating bitstreams +* `board_top`: board-specific top entities (board wrappers; may include FPGA-specific modules) +* `constraints`: physical constraints (mainly pin mappings) +* `devices`: FPGA-specific primitives and optimized processor modules (like memories) + + +## Prerequisites + +:construction: TODO :construction: + +* local installation of the tools +* using containers + + +## How To Run + +:construction: TODO :construction: + +The `Makefile` in this folder is the main entry point. To run the whole process of synthesis, place & route and bitstream +generation run: + +**Prototype:** +``` +make BOARD= +``` + +**Example:** +``` +make BOARD=Fomu Minimal +``` + +`` specifies the actual FPGA board and implicitly sets the FPGA type. The currently supported FPGA board +targets are listed in the `boards/` folder where each partial-makefile corresponds to a supported platform. + +`` is used to define the actual SoC top. Available SoCs are located in +[`rtl/processor_templates`](https://github.com/stnolting/neorv32/tree/master/rtl/processor_templates). + + +## Porting to a new FPGA or Board + +This sections illustrates how to add a new basic setup for a specific FPGA and board. This tutorial used the iCEBreaker +"MinimalBoot" setup as reference. + +#### 1. Setup a board- and FPGA-specific top entity + +1. Write a new top design unit that instantiates one of the provided processor templates from +[`rtl/processor_templates`](https://github.com/stnolting/neorv32/tree/master/rtl/processor_templates). +This new top unit can be a Verilog or VHDL file. +2. _Optional:_ You can also include FPGA-specific primitives like PLLs or block RAMs (but keep it simple). These components +need to be added to a FPGA-specific library in [`setups/osflow/devices`](https://github.com/stnolting/neorv32/tree/master/setups/osflow/devices). +3. Try to keep the external IO at a minimum even if the targeted FPGA boards provides cool features. Besides of clock and reset +you need to add at least one kind of IO interface like a UART, GPIO or PWM. +4. Give your new top entity file a specific name that includes the board's name and the instantiated processor template. +The name scheme is `neorv32_[board-name]_BoardTop_[template-name].[v/vhd]`. +5. Put this file in `setups/osflow/board_tops`. +6. Take a look at the iCEBreaker MinimalBoot top entity as a reference: +[`setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd`](https://github.com/stnolting/neorv32/blob/master/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd) + +#### 2. Pin mapping + +1. Add a new constraints file to define the mapping between the your top unit's IO and the FPGA's physical pins. +You can add _all_ of the FPGA's physical pins even though just a subset is used by the new setup. +2. Name the new constraints file according to the board `[board-name].pcf`. +3. Put this file in `setups/osflow/constraints`. +4. Take a look at the iCEBreaker pin mapping as a reference: +[`setups/osflow/constraints/iCEBreaker.pcf`](https://github.com/stnolting/neorv32/blob/master/setups/osflow/constraints/iCEBreaker.pcf) + +#### 3. Adding a board-specific makefile + +1. Add a board-specific makefile to the `setups/osflow/boards` folder. Name the new constraints file according to the board `[board-name].mk`. +2. The makefile contains (at least) one target to build the final bitstream: +```makefile +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" +``` +3. Take a look at the iCEBreaker pin mapping as a reference: +[` setups/osflow/boards/iCEBreaker.mk`](https://github.com/stnolting/neorv32/blob/master/setups/osflow/boards/iCEBreaker.mk) + +#### 4. Adding a new target to `index.mk` + +1. Add a new conditional section to the boards management makefile `setups/osflow/boards/index.mk`. +2. This board-specific section sets variables that are required to run synthesis, mapping, place & route and bitstream generation: + * `CONSTRAINTS` defines the physical pin mapping file + * `PNRFLAGS` defines the FPGA-specific flags for mapping and place & route + * `IMPL` defines the setup's implementation name +```makefile +ifeq ($(BOARD),iCEBreaker) +$(info Setting constraints and implementation args for BOARD iCEBreaker) + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).pcf +PNRFLAGS ?= --up5k --package sg48 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(ID) + +endif +``` + +#### 5. Adding a new target to the main makefile + +1. As final step add the new setup to the main osflow makefile `setups/osflow/Makefile`. +2. Use the board's name to create a new makefile target. + * The new target should set the final bitstream's name using the `BITSTREAM` variable. + * Alternative _memory_ HDL sources like FPGA-optimized module can be set using the `NEORV32_MEM_SRC` variable. +```makefile +iCEBreaker: + $(eval BITSTREAM ?= neorv32_$(BOARD)_$(DESIGN).bit) + $(eval NEORV32_MEM_SRC ?= devices/ice40/neorv32_imem.ice40up_spram.vhd devices/ice40/neorv32_dmem.ice40up_spram.vhd) + $(MAKE) \ + BITSTREAM="$(BITSTREAM)" \ + NEORV32_MEM_SRC="$(NEORV32_MEM_SRC)" \ + run +``` + +#### 6. _Optional:_ Add the new setup to the automatic "Implementation" github workflow + +If you like you can add the new setup to the automatic build environment of the project. The project's "Implementation" +workflow will generate bitstreams for all configured osflow setups on every repository push. This is used to check for +regressions and also to provide up-to-date bitstreams that can be used right away. + +1. Add the new setup to the job matrix file `.github/generate-job-matrix.py`. +```python +{ + 'board': 'iCEBreaker', + 'design': 'MinimalBoot', + 'bitstream': 'neorv32_iCEBreaker_MinimalBoot.bit' +}, +``` diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_AlhambraII_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_AlhambraII_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..621ab8e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_AlhambraII_BoardTop_MinimalBoot.vhd @@ -0,0 +1,128 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the AlhambraII (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_AlhambraII_BoardTop_MinimalBoot is + port ( + -- external clock (12 MHz) + AlhambraII_CLK : in std_logic; + -- LED outputs + AlhambraII_LED0 : out std_logic; + AlhambraII_LED1 : out std_logic; + AlhambraII_LED2 : out std_logic; + AlhambraII_LED3 : out std_logic; + AlhambraII_LED4 : out std_logic; + AlhambraII_LED5 : out std_logic; + AlhambraII_LED6 : out std_logic; + AlhambraII_LED7 : out std_logic; + -- UART0 + AlhambraII_RX : in std_logic; + AlhambraII_TX : out std_logic + ); +end entity; + +architecture neorv32_AlhambraII_BoardTop_MinimalBoot_rtl of neorv32_AlhambraII_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 12000000; -- clock frequency in Hz + + -- reset generator -- + signal rst_cnt : std_logic_vector(8 downto 0) := (others => '0'); -- initialized by bitstream + signal sys_rstn : std_logic; + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(3 downto 0); + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Reset Generator ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + reset_generator: process(AlhambraII_CLK) + begin + if rising_edge(AlhambraII_CLK) then + if (rst_cnt(rst_cnt'left) = '0') then + rst_cnt <= std_logic_vector(unsigned(rst_cnt) + 1); + end if; + end if; + end process reset_generator; + + sys_rstn <= rst_cnt(rst_cnt'left); + + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE => 4*1024, -- size of processor-internal instruction memory in bytes + MEM_INT_DMEM_SIZE => 2*1024 -- size of processor-internal data memory in bytes + ) + port map ( + -- Global control -- + clk_i => std_ulogic(AlhambraII_CLK), + rstn_i => std_ulogic(sys_rstn), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => AlhambraII_TX, -- UART0 send data + uart_rxd_i => AlhambraII_RX, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + AlhambraII_LED0 <= con_gpio_o(0); + AlhambraII_LED1 <= con_gpio_o(1); + AlhambraII_LED2 <= con_gpio_o(2); + AlhambraII_LED3 <= con_gpio_o(3); + AlhambraII_LED4 <= '0'; -- unused + AlhambraII_LED5 <= con_pwm(0); + AlhambraII_LED6 <= con_pwm(1); + AlhambraII_LED7 <= con_pwm(2); + + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_Minimal.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_Minimal.vhd new file mode 100644 index 0000000..d2c7b78 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_Minimal.vhd @@ -0,0 +1,149 @@ +-- ################################################################################################# +-- # << NEORV32 - Example minimal setup for the Fomu (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_Fomu_BoardTop_Minimal is + port ( + -- 48MHz Clock input + clki : in std_logic; + -- LED outputs + rgb : out std_logic_vector(2 downto 0); + -- USB Pins (which should be statically driven if not being used) + usb_dp : out std_logic; + usb_dn : out std_logic; + usb_dp_pu : out std_logic + ); +end entity; + +architecture neorv32_Fomu_BoardTop_Minimal_rtl of neorv32_Fomu_BoardTop_Minimal is + + -- configuration -- + constant f_clock_c : natural := 22000000; -- PLL output clock frequency in Hz + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect Fomu from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + usb_dp <= '0'; + usb_dn <= '0'; + usb_dp_pu <= '0'; + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 48 -o 21: + -- F_PLLIN: 48.000 MHz (given) + -- F_PLLOUT: 22.000 MHz (requested) + -- F_PLLOUT: 22.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 16.000 MHz + -- F_VCO: 704.000 MHz + -- DIVR: 2 (4'b0010) + -- DIVF: 43 (7'b0101011) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"2", + DIVF => 7x"2B", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => clki, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_Minimal + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => rgb(2), -- O - blue + RGB1 => rgb(1), -- O - red + RGB0 => rgb(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..ae50b9e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MinimalBoot.vhd @@ -0,0 +1,174 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the Fomu (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_Fomu_BoardTop_MinimalBoot is + port ( + -- 48MHz Clock input + clki : in std_logic; + -- LED outputs + rgb : out std_logic_vector(2 downto 0); + -- USB Pins (which should be statically driven if not being used) + usb_dp : out std_logic; + usb_dn : out std_logic; + usb_dp_pu : out std_logic + ); +end entity; + +architecture neorv32_Fomu_BoardTop_MinimalBoot_rtl of neorv32_Fomu_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(3 downto 0); + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect Fomu from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + usb_dp <= '0'; + usb_dn <= '0'; + usb_dp_pu <= '0'; + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => open, -- UART0 send data + uart_rxd_i => '0', -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1) or con_gpio_o(0), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => rgb(2), -- O - blue + RGB1 => rgb(1), -- O - red + RGB0 => rgb(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MixedLanguage.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MixedLanguage.vhd new file mode 100644 index 0000000..de05fdb --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_MixedLanguage.vhd @@ -0,0 +1,139 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the Fomu (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_Fomu_BoardTop_MixedLanguage is + port ( + -- 48MHz Clock input + clki : in std_logic; + -- LED outputs + rgb : out std_logic_vector(2 downto 0); + -- USB Pins (which should be statically driven if not being used) + usb_dp : out std_logic; + usb_dn : out std_logic; + usb_dp_pu : out std_logic + ); +end entity; + +architecture neorv32_Fomu_BoardTop_MixedLanguage_rtl of neorv32_Fomu_BoardTop_MixedLanguage is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + component neorv32_Fomu_MixedLanguage_ClkGen + port ( + clk_o : out std_logic; + rstn_o : out std_logic + ); + end component; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(3 downto 0); + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect Fomu from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + usb_dp <= '0'; + usb_dn <= '0'; + usb_dp_pu <= '0'; + + -- On-Chip HF Oscillator and System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + Clk_inst : neorv32_Fomu_MixedLanguage_ClkGen + port map ( + clk_o => pll_clk, + rstn_o => pll_rstn + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => open, -- UART0 send data + uart_rxd_i => '0', -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1) or con_gpio_o(0), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => rgb(2), -- O - blue + RGB1 => rgb(1), -- O - red + RGB0 => rgb(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_UP5KDemo.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_UP5KDemo.vhd new file mode 100644 index 0000000..e1a8f5a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_Fomu_BoardTop_UP5KDemo.vhd @@ -0,0 +1,185 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the Fomu (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_Fomu_BoardTop_UP5KDemo is + port ( + -- 48MHz Clock input + clki : in std_logic; + -- LED outputs + rgb : out std_logic_vector(2 downto 0); + -- USB Pins (which should be statically driven if not being used) + usb_dp : out std_logic; + usb_dn : out std_logic; + usb_dp_pu : out std_logic + ); +end entity; + +architecture neorv32_Fomu_BoardTop_UP5KDemo_rtl of neorv32_Fomu_BoardTop_UP5KDemo is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_ulogic_vector(2 downto 0); + signal con_gpio_o : std_ulogic_vector(3 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect Fomu from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + usb_dp <= '0'; + usb_dn <= '0'; + usb_dp_pu <= '0'; + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_UP5KDemo + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + -- primary UART -- + uart_txd_o => open, + uart_rxd_i => '0', + uart_rts_o => open, + uart_cts_i => '0', + -- SPI to on-board flash -- + flash_sck_o => open, + flash_sdo_o => open, + flash_sdi_i => '0', + flash_csn_o => open, + -- SPI to IO pins -- + spi_sck_o => open, + spi_sdo_o => open, + spi_sdi_i => '0', + spi_csn_o => open, + -- TWI -- + twi_sda_io => open, + twi_scl_io => open, + -- GPIO -- + gpio_i => (others=>'0'), + gpio_o => con_gpio_o, + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1) or con_gpio_o(0), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => rgb(2), -- O - blue + RGB1 => rgb(1), -- O - red + RGB0 => rgb(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_OrangeCrab_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_OrangeCrab_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..801f129 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_OrangeCrab_BoardTop_MinimalBoot.vhd @@ -0,0 +1,130 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the OrangeCrab (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library ECP5; +use ECP5.components.all; -- for device primitives and macros + +entity neorv32_OrangeCrab_BoardTop_MinimalBoot is + port ( + -- Clock and Reset inputs + OrangeCrab_CLK : in std_logic; + OrangeCrab_RST_N : in std_logic; + -- LED outputs + OrangeCrab_LED_RGB_R : out std_logic; + OrangeCrab_LED_RGB_G : out std_logic; + OrangeCrab_LED_RGB_B : out std_logic; + -- UART0 + OrangeCrab_GPIO_0 : in std_logic; + OrangeCrab_GPIO_1 : out std_logic; + OrangeCrab_GPIO_9 : out std_logic; + -- USB Pins (which should be statically driven if not being used) + OrangeCrab_USB_D_P : out std_logic; + OrangeCrab_USB_D_N : out std_logic; + OrangeCrab_USB_DP_PU : out std_logic + ); +end entity; + +architecture neorv32_OrangeCrab_BoardTop_MinimalBoot_rtl of neorv32_OrangeCrab_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 24000000; -- PLL output clock frequency in Hz + + -- Globals + signal pll_clk: std_logic; + + -- internal IO connection -- + signal con_pwm : std_logic_vector(2 downto 0); + signal con_gpio_o : std_ulogic_vector(3 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect OrangeCrab from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + OrangeCrab_USB_D_P <= '0'; + OrangeCrab_USB_D_N <= '0'; + OrangeCrab_USB_DP_PU <= '0'; + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + PLL_inst: EHXPLLL + generic map ( + CLKI_DIV => 2, -- from `ecppll -i 48 -o 24` + CLKFB_DIV => 1, + CLKOP_DIV => 25 + ) + port map ( + CLKI => OrangeCrab_CLK, + CLKFB => pll_clk, + ENCLKOP => '1', + CLKOP => pll_clk, + LOCK => OrangeCrab_GPIO_9 + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE => 16*1024, + MEM_INT_DMEM_SIZE => 8*1024 + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(OrangeCrab_RST_N), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => OrangeCrab_GPIO_1, -- UART0 send data + uart_rxd_i => OrangeCrab_GPIO_0, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + OrangeCrab_LED_RGB_R <= con_pwm(0) or not con_gpio_o(0); + OrangeCrab_LED_RGB_G <= con_pwm(1); + OrangeCrab_LED_RGB_B <= con_pwm(2); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_ULX3S_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_ULX3S_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..63aaa25 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_ULX3S_BoardTop_MinimalBoot.vhd @@ -0,0 +1,110 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the ULX3S (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library ECP5; +use ECP5.components.all; -- for device primitives and macros + +entity neorv32_ULX3S_BoardTop_MinimalBoot is + port ( + -- Clock and Reset inputs + ULX3S_CLK : in std_logic; + ULX3S_RST_N : in std_logic; + -- LED outputs + ULX3S_LED0 : out std_logic; + ULX3S_LED1 : out std_logic; + ULX3S_LED2 : out std_logic; + ULX3S_LED3 : out std_logic; + ULX3S_LED4 : out std_logic; + ULX3S_LED5 : out std_logic; + ULX3S_LED6 : out std_logic; + ULX3S_LED7 : out std_logic; + -- UART0 + ULX3S_RX : in std_logic; + ULX3S_TX : out std_logic + ); +end entity; + +architecture neorv32_ULX3S_BoardTop_MinimalBoot_rtl of neorv32_ULX3S_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 25000000; -- clock frequency in Hz + + -- internal IO connection -- + signal con_pwm : std_logic_vector(2 downto 0); + signal con_gpio_o : std_ulogic_vector(3 downto 0); + +begin + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE => 16*1024, + MEM_INT_DMEM_SIZE => 8*1024 + ) + port map ( + -- Global control -- + clk_i => std_ulogic(ULX3S_CLK), + rstn_i => std_ulogic(ULX3S_RST_N), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => ULX3S_TX, -- UART0 send data + uart_rxd_i => ULX3S_RX, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ULX3S_LED0 <= con_gpio_o(0); + ULX3S_LED1 <= con_gpio_o(1); + ULX3S_LED2 <= con_gpio_o(2); + ULX3S_LED3 <= con_gpio_o(3); + ULX3S_LED4 <= '0'; -- unused + ULX3S_LED5 <= con_pwm(0); + ULX3S_LED6 <= con_pwm(1); + ULX3S_LED7 <= con_pwm(2); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..315273d --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_MinimalBoot.vhd @@ -0,0 +1,163 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the tinyVision.ai Inc. "UPduino v3" (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_UPduino_BoardTop_MinimalBoot is + port ( + -- UART (uart0) -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- GPIO -- + gpio_o : out std_ulogic_vector(3 downto 0); + -- PWM (to on-board RGB power LED) -- + pwm_o : out std_logic_vector(2 downto 0) + ); +end entity; + +architecture neorv32_UPduino_BoardTop_MinimalBoot_rtl of neorv32_UPduino_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => gpio_o, + + -- primary UART -- + uart_txd_o => uart_txd_o, -- UART0 send data + uart_rxd_i => uart_rxd_i, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB0PWM => con_pwm(1), -- I - green - pwm channel 1 + RGB1PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB2PWM => con_pwm(0), -- I - red - pwm channel 0 + RGB2 => pwm_o(2), -- O - red + RGB1 => pwm_o(1), -- O - blue + RGB0 => pwm_o(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_UP5KDemo.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_UP5KDemo.vhd new file mode 100644 index 0000000..46c1a73 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_UPduino_BoardTop_UP5KDemo.vhd @@ -0,0 +1,204 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the tinyVision.ai Inc. "UPduino v3" (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_UPduino_BoardTop_UP5KDemo is + port ( + -- UART (uart0) -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- SPI to on-board flash -- + flash_sck_o : out std_ulogic; + flash_sdo_o : out std_ulogic; + flash_sdi_i : in std_ulogic; + flash_csn_o : out std_ulogic; -- NEORV32.SPI_CS(0) + -- SPI to IO pins -- + spi_sck_o : out std_ulogic; + spi_sdo_o : out std_ulogic; + spi_sdi_i : in std_ulogic; + spi_csn_o : out std_ulogic; -- NEORV32.SPI_CS(1) + -- TWI -- + twi_sda_io : inout std_logic; + twi_scl_io : inout std_logic; + -- GPIO -- + gpio_i : in std_ulogic_vector(3 downto 0); + gpio_o : out std_ulogic_vector(3 downto 0); + -- PWM (to on-board RGB power LED) -- + pwm_o : out std_ulogic_vector(2 downto 0) + ); +end entity; + +architecture neorv32_UPduino_BoardTop_UP5KDemo_rtl of neorv32_UPduino_BoardTop_UP5KDemo is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_ulogic_vector(2 downto 0); + signal con_spi_sdi : std_ulogic; + signal con_spi_csn : std_ulogic; + +begin + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_UP5KDemo + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- primary UART -- + uart_txd_o => uart_txd_o, + uart_rxd_i => uart_rxd_i, + uart_rts_o => open, + uart_cts_i => '0', + + -- SPI to on-board flash -- + flash_sck_o => flash_sck_o, + flash_sdo_o => flash_sdo_o, + flash_sdi_i => flash_sdi_i, + flash_csn_o => flash_csn_o, + + -- SPI to IO pins -- + spi_sck_o => spi_sck_o, + spi_sdo_o => spi_sdo_o, + spi_sdi_i => con_spi_sdi, + spi_csn_o => con_spi_csn, + + -- TWI -- + twi_sda_io => twi_sda_io, + twi_scl_io => twi_scl_io, + + -- GPIO -- + gpio_i => gpio_i, + gpio_o => gpio_o, + + -- PWM (to on-board RGB power LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- SPI sdi read-back -- + spi_csn_o <= con_spi_csn; + con_spi_sdi <= flash_sdi_i when (con_spi_csn = '0') else spi_sdi_i; + + -- RGB -- + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000001", + RGB1_CURRENT => "0b000001", + RGB2_CURRENT => "0b000001" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB0PWM => con_pwm(1), -- I - green - pwm channel 1 + RGB1PWM => con_pwm(2), -- I - bluee - pwm channel 2 + RGB2PWM => con_pwm(0), -- I - red - pwm channel 0 + RGB2 => pwm_o(2), -- O - red + RGB1 => pwm_o(1), -- O - blue + RGB0 => pwm_o(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..003e1af --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_MinimalBoot.vhd @@ -0,0 +1,163 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the tinyVision.ai Inc. "UPduino v3" (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_iCEBreaker_BoardTop_MinimalBoot is + port ( + -- UART (uart0) -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- GPIO -- + gpio_o : out std_ulogic_vector(3 downto 0); + -- PWM (to on-board RGB power LED) -- + pwm_o : out std_logic_vector(2 downto 0) + ); +end entity; + +architecture neorv32_iCEBreaker_BoardTop_MinimalBoot_rtl of neorv32_iCEBreaker_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => gpio_o, + + -- primary UART -- + uart_txd_o => uart_txd_o, -- UART0 send data + uart_rxd_i => uart_rxd_i, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB0PWM => con_pwm(1), -- I - green - pwm channel 1 + RGB1PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB2PWM => con_pwm(0), -- I - red - pwm channel 0 + RGB2 => pwm_o(2), -- O - red + RGB1 => pwm_o(1), -- O - blue + RGB0 => pwm_o(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_UP5KDemo.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_UP5KDemo.vhd new file mode 100644 index 0000000..880524f --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCEBreaker_BoardTop_UP5KDemo.vhd @@ -0,0 +1,205 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the tinyVision.ai Inc. "UPduino v3" (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_iCEBreaker_BoardTop_UP5KDemo is + port ( + user_reset_btn : in std_ulogic; + -- UART (uart0) -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- SPI to on-board flash -- + flash_sck_o : out std_ulogic; + flash_sdo_o : out std_ulogic; + flash_sdi_i : in std_ulogic; + flash_csn_o : out std_ulogic; -- NEORV32.SPI_CS(0) + -- SPI to IO pins -- + spi_sck_o : out std_ulogic; + spi_sdo_o : out std_ulogic; + spi_sdi_i : in std_ulogic; + spi_csn_o : out std_ulogic; -- NEORV32.SPI_CS(1) + -- TWI -- + twi_sda_io : inout std_logic; + twi_scl_io : inout std_logic; + -- GPIO -- + gpio_i : in std_ulogic_vector(3 downto 0); + gpio_o : out std_ulogic_vector(3 downto 0); + -- PWM (to on-board RGB power LED) -- + pwm_o : out std_ulogic_vector(2 downto 0) + ); +end entity; + +architecture neorv32_iCEBreaker_BoardTop_UP5KDemo_rtl of neorv32_iCEBreaker_BoardTop_UP5KDemo is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_pwm : std_ulogic_vector(2 downto 0); + signal con_spi_sdi : std_ulogic; + signal con_spi_csn : std_ulogic; + +begin + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => user_reset_btn, + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_UP5KDemo + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- primary UART -- + uart_txd_o => uart_txd_o, + uart_rxd_i => uart_rxd_i, + uart_rts_o => open, + uart_cts_i => '0', + + -- SPI to on-board flash -- + flash_sck_o => flash_sck_o, + flash_sdo_o => flash_sdo_o, + flash_sdi_i => flash_sdi_i, + flash_csn_o => flash_csn_o, + + -- SPI to IO pins -- + spi_sck_o => spi_sck_o, + spi_sdo_o => spi_sdo_o, + spi_sdi_i => con_spi_sdi, + spi_csn_o => con_spi_csn, + + -- TWI -- + twi_sda_io => twi_sda_io, + twi_scl_io => twi_scl_io, + + -- GPIO -- + gpio_i => gpio_i, + gpio_o => gpio_o, + + -- PWM (to on-board RGB power LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + -- SPI sdi read-back -- + spi_csn_o <= con_spi_csn; + con_spi_sdi <= flash_sdi_i when (con_spi_csn = '0') else spi_sdi_i; + + -- RGB -- + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000001", + RGB1_CURRENT => "0b000001", + RGB2_CURRENT => "0b000001" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB0PWM => con_pwm(1), -- I - green - pwm channel 1 + RGB1PWM => con_pwm(2), -- I - bluee - pwm channel 2 + RGB2PWM => con_pwm(0), -- I - red - pwm channel 0 + RGB2 => pwm_o(2), -- O - red + RGB1 => pwm_o(1), -- O - blue + RGB0 => pwm_o(0) -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_Minimal.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_Minimal.vhd new file mode 100644 index 0000000..4fe0cb3 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_Minimal.vhd @@ -0,0 +1,172 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup with an external clock, for the iCESugar (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_iCESugar_BoardTop_Minimal is + port ( + -- 48MHz Clock input + iCESugarv15_CLK : in std_logic; + -- UART0 + iCESugarv15_RX : in std_logic; + iCESugarv15_TX : out std_logic; + -- LED outputs + iCESugarv15_LED_R : out std_logic; + iCESugarv15_LED_G : out std_logic; + iCESugarv15_LED_B : out std_logic; + -- USB Pins (which should be statically driven if not being used) + iCESugarv15_USB_DP : out std_logic; + iCESugarv15_USB_DN : out std_logic; + iCESugarv15_USB_DP_PU : out std_logic + ); +end entity; + +architecture neorv32_iCESugar_BoardTop_Minimal_rtl of neorv32_iCESugar_BoardTop_Minimal is + + -- configuration -- + constant f_clock_c : natural := 22000000; -- PLL output clock frequency in Hz + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(3 downto 0); + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect iCESugar from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + iCESugarv15_USB_DP <= '0'; + iCESugarv15_USB_DN <= '0'; + iCESugarv15_USB_DP_PU <= '0'; + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 22: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 22.000 MHz (requested) + -- F_PLLOUT: 22.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 708.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 58 (7'b0111010) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_PAD + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"3A", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + PACKAGEPIN => iCESugarv15_CLK, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + CPU_EXTENSION_RISCV_A => false, + CPU_EXTENSION_RISCV_C => false, + CPU_EXTENSION_RISCV_E => false, + CPU_EXTENSION_RISCV_M => false, + CPU_EXTENSION_RISCV_U => false, + CPU_EXTENSION_RISCV_Zfinx => false, + CPU_EXTENSION_RISCV_Zicsr => true, + CPU_EXTENSION_RISCV_Zifencei => false + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => iCESugarv15_TX, -- UART0 send data + uart_rxd_i => iCESugarv15_RX, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1) or con_gpio_o(0), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => iCESugarv15_LED_B, -- O - blue + RGB1 => iCESugarv15_LED_R, -- O - red + RGB0 => iCESugarv15_LED_G -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_MinimalBoot.vhd b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_MinimalBoot.vhd new file mode 100644 index 0000000..b0ac673 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/board_tops/neorv32_iCESugar_BoardTop_MinimalBoot.vhd @@ -0,0 +1,177 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup including the bootloader, for the iCESugar (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library iCE40; +use iCE40.components.all; -- for device primitives and macros + +entity neorv32_iCESugar_BoardTop_MinimalBoot is + port ( + -- LED outputs + iCESugarv15_LED_R : out std_logic; + iCESugarv15_LED_G : out std_logic; + iCESugarv15_LED_B : out std_logic; + -- UART0 + iCESugarv15_RX : in std_logic; + iCESugarv15_TX : out std_logic; + -- USB Pins (which should be statically driven if not being used) + iCESugarv15_USB_DP : out std_logic; + iCESugarv15_USB_DN : out std_logic; + iCESugarv15_USB_DP_PU : out std_logic + ); +end entity; + +architecture neorv32_iCESugar_BoardTop_MinimalBoot_rtl of neorv32_iCESugar_BoardTop_MinimalBoot is + + -- configuration -- + constant f_clock_c : natural := 18000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- Globals + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- internal IO connection -- + signal con_gpio_o : std_ulogic_vector(3 downto 0); + signal con_pwm : std_logic_vector(2 downto 0); + +begin + + -- Assign USB pins to "0" so as to disconnect iCESugar from + -- the host system. Otherwise it would try to talk to + -- us over USB, which wouldn't work since we have no stack. + iCESugarv15_USB_DP <= '0'; + iCESugarv15_USB_DN <= '0'; + iCESugarv15_USB_DP_PU <= '0'; + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : SB_HFOSC + generic map ( + CLKHF_DIV => "0b10" -- 12 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- Settings generated by icepll -i 12 -o 18: + -- F_PLLIN: 12.000 MHz (given) + -- F_PLLOUT: 18.000 MHz (requested) + -- F_PLLOUT: 18.000 MHz (achieved) + -- FEEDBACK: SIMPLE + -- F_PFD: 12.000 MHz + -- F_VCO: 576.000 MHz + -- DIVR: 0 (4'b0000) + -- DIVF: 47 (7'b0101111) + -- DIVQ: 5 (3'b101) + -- FILTER_RANGE: 1 (3'b001) + Pll_inst : SB_PLL40_CORE + generic map ( + FEEDBACK_PATH => "SIMPLE", + DIVR => x"0", + DIVF => 7x"2F", + DIVQ => 3x"5", + FILTER_RANGE => 3x"1" + ) + port map ( + REFERENCECLK => hf_osc_clk, + PLLOUTCORE => open, + PLLOUTGLOBAL => pll_clk, + EXTFEEDBACK => '0', + DYNAMICDELAY => x"00", + LOCK => pll_rstn, + BYPASS => '0', + RESETB => '1', + LATCHINPUTVALUE => '0', + SDO => open, + SDI => '0', + SCLK => '0' + ); + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + neorv32_inst: entity work.neorv32_ProcessorTop_MinimalBoot + generic map ( + CLOCK_FREQUENCY => f_clock_c -- clock frequency of clk_i in Hz + ) + port map ( + -- Global control -- + clk_i => std_ulogic(pll_clk), + rstn_i => std_ulogic(pll_rstn), + + -- GPIO -- + gpio_o => con_gpio_o, + + -- primary UART -- + uart_txd_o => iCESugarv15_TX, -- UART0 send data + uart_rxd_i => iCESugarv15_RX, -- UART0 receive data + uart_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- PWM (to on-board RGB LED) -- + pwm_o => con_pwm + ); + + -- IO Connection -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + + RGB_inst: SB_RGBA_DRV + generic map ( + CURRENT_MODE => "0b1", + RGB0_CURRENT => "0b000011", + RGB1_CURRENT => "0b000011", + RGB2_CURRENT => "0b000011" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB2PWM => con_pwm(2), -- I - blue - pwm channel 2 + RGB1PWM => con_pwm(1) or con_gpio_o(0), -- I - red - pwm channel 1 || BOOT blink + RGB0PWM => con_pwm(0), -- I - green - pwm channel 0 + RGB2 => iCESugarv15_LED_B, -- O - blue + RGB1 => iCESugarv15_LED_R, -- O - red + RGB0 => iCESugarv15_LED_G -- O - green + ); + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/AlhambraII.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/AlhambraII.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/AlhambraII.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/Fomu.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/Fomu.mk new file mode 100644 index 0000000..b103198 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/Fomu.mk @@ -0,0 +1,16 @@ +.PHONY: all + +# Default target: run all required targets to build the DFU image. +all: $(IMPL).dfu + echo "! Built $(IMPL) for $(BOARD) $(FOMU_REV)" + +# Use dfu-suffix to generate the DFU image from the FPGA bitstream. +${IMPL}.dfu: $(IMPL).bit + $(COPY) $< $@ + dfu-suffix -v 1209 -p 70b1 -a $@ + +# Use df-util to load the DFU image onto the Fomu. +load: $(IMPL).dfu + dfu-util -D $< + +.PHONY: load diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/OrangeCrab.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/OrangeCrab.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/OrangeCrab.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/ULX3S.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/ULX3S.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/ULX3S.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/UPduino.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/UPduino.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/UPduino.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/iCEBreaker.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/iCEBreaker.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/iCEBreaker.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/iCESugar.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/iCESugar.mk new file mode 100644 index 0000000..8c9f85a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/iCESugar.mk @@ -0,0 +1,4 @@ +.PHONY: all + +all: bit + echo "! Built $(IMPL) for $(BOARD)" diff --git a/Libs/RiscV/NEORV32/setups/osflow/boards/index.mk b/Libs/RiscV/NEORV32/setups/osflow/boards/index.mk new file mode 100644 index 0000000..a57645c --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/boards/index.mk @@ -0,0 +1,107 @@ +PCF_PATH ?= constraints + + +ifeq ($(BOARD),Fomu) + +$(info Setting constraints and implementation args for BOARD Fomu) + +# Different Fomu hardware revisions are wired differently and thus +# require different configurations for yosys and nextpnr. +# Configuration is performed by setting the environment variable FOMU_REV accordingly. + +FOMU_REV ?= pvt + +ifeq ($(FOMU_REV),evt1) +YOSYSFLAGS ?= -D EVT=1 -D EVT1=1 -D HAVE_PMOD=1 +PNRFLAGS ?= --up5k --package sg48 +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)-evt2.pcf +else ifeq ($(FOMU_REV),evt2) +YOSYSFLAGS ?= -D EVT=1 -D EVT2=1 -D HAVE_PMOD=1 +PNRFLAGS ?= --up5k --package sg48 +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)-$(FOMU_REV).pcf +else ifeq ($(FOMU_REV),evt3) +YOSYSFLAGS ?= -D EVT=1 -D EVT3=1 -D HAVE_PMOD=1 +PNRFLAGS ?= --up5k --package sg48 +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)-$(FOMU_REV).pcf +else ifeq ($(FOMU_REV),hacker) +YOSYSFLAGS ?= -D HACKER=1 +PNRFLAGS ?= --up5k --package uwg30 +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)-$(FOMU_REV).pcf +else ifeq ($(FOMU_REV),pvt) +YOSYSFLAGS ?= -D PVT=1 +PNRFLAGS ?= --up5k --package uwg30 +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)-$(FOMU_REV).pcf +else +$(error Unrecognized FOMU_REV value. must be "evt1", "evt2", "evt3", "pvt", or "hacker") +endif + +IMPL := neorv32_Fomu_$(FOMU_REV)_$(ID) + +endif + + +ifeq ($(BOARD),iCESugar) +$(info Setting constraints and implementation args for BOARD iCESugar) + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).pcf +PNRFLAGS ?= --up5k --package sg48 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(ID) + +endif + + +ifeq ($(BOARD),UPduino) +$(info Setting constraints and implementation args for BOARD UPduino) + +UPduino_REV ?= v3 + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD)_v3.pcf +PNRFLAGS ?= --up5k --package sg48 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(UPduino_REV)_$(ID) + +endif + +ifeq ($(BOARD),iCEBreaker) +$(info Setting constraints and implementation args for BOARD iCEBreaker) + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).pcf +PNRFLAGS ?= --up5k --package sg48 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(ID) + +endif + + + +ifeq ($(BOARD),OrangeCrab) +$(info Setting constraints and implementation args for BOARD OrangeCrab) + +DEVICE_SERIES = ecp5 + +OrangeCrab_REV ?= r02-25F + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).lpf +PNRFLAGS ?= --25k --package CSFBGA285 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(OrangeCrab_REV)_$(ID) + +endif + +ifeq ($(BOARD),AlhambraII) +$(info Setting constraints and implementation args for BOARD AlhambraII) + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).pcf +PNRFLAGS ?= --hx8k --package tq144:4k --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(ID) + +endif + + +ifeq ($(BOARD),ULX3S) +$(info Setting constraints and implementation args for BOARD ULX3S) + +DEVICE_SERIES = ecp5 + +CONSTRAINTS ?= $(PCF_PATH)/$(BOARD).lpf +PNRFLAGS ?= --85k --freq 25 --package CABGA381 --ignore-loops --timing-allow-fail +IMPL ?= neorv32_$(BOARD)_$(ID) + +endif diff --git a/Libs/RiscV/NEORV32/setups/osflow/common.mk b/Libs/RiscV/NEORV32/setups/osflow/common.mk new file mode 100644 index 0000000..7bf264e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/common.mk @@ -0,0 +1,39 @@ +ID ?= impl_1 + +include boards/index.mk + +ifndef TOP +$(error TOP needs to be specified!) +endif + +include filesets.mk + +ifndef DESIGN_SRC +ifndef BOARD_SRC +$(error Neither DESIGN_SRC nor BOARD_SRC were set!) +endif +endif + +include tools.mk + +ifdef GHDL_PLUGIN_MODULE +YOSYSFLAGS += -m $(GHDL_PLUGIN_MODULE) +endif + +include synthesis.mk +include PnR_Bit.mk + +.PHONY: syn impl bit svf clean + +syn: ${IMPL}.json +impl: ${IMPL}.${PNR2BIT_EXT} +bit: ${IMPL}.bit + +ifeq ($(DEVICE_SERIES),ecp5) +svf: ${IMPL}.svf +endif + +clean: + rm -rf *.{${PNR2BIT_EXT},bit,cf,dfu,history,json,o,svf} *-report.txt + +include boards/$(BOARD).mk diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/AlhambraII.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/AlhambraII.pcf new file mode 100644 index 0000000..8fae040 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/AlhambraII.pcf @@ -0,0 +1,24 @@ +# ----------------------------------------------------------------------------- +#- Alhambra II constraint file (.pcf) +#- By Carlos Dominguez +#- May - 2021 +#- GPL license +#- Repo: https://github.com/zipotron/neorv32 +# ----------------------------------------------------------------------------- + +# UART port (on-board FTDI) +set_io AlhambraII_TX 61 # output (ser-tx) +set_io AlhambraII_RX 62 # input (ser-rx) + +#> External clock (12 MHz) +set_io AlhambraII_CLK 49 + +#> On-Board LEDs +set_io AlhambraII_LED0 45 +set_io AlhambraII_LED1 44 +set_io AlhambraII_LED2 43 +set_io AlhambraII_LED3 42 +set_io AlhambraII_LED4 41 +set_io AlhambraII_LED5 39 +set_io AlhambraII_LED6 38 +set_io AlhambraII_LED7 37 diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt2.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt2.pcf new file mode 100644 index 0000000..f41d27c --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt2.pcf @@ -0,0 +1,34 @@ +# Configuration for the Fomu 'evt2' board +set_io clki 44 +set_io clki_alt 20 +set_io rgb[0] 39 +set_io rgb[1] 40 +set_io rgb[2] 41 +set_io pmod[0] 25 +set_io pmod[1] 26 +set_io pmod[2] 27 +set_io pmod[3] 28 +set_io user[0] 48 +set_io user[1] 47 +set_io user[2] 46 +set_io user[3] 45 +set_io user[4] 42 +set_io user[5] 38 +set_io spi_mosi 14 +set_io spi_miso 17 +set_io spi_clk 15 +set_io spi_cs 16 +set_io spi_io2 18 +set_io spi_io3 19 +set_io uart_tx 21 +set_io uart_rx 13 +set_io usb_dn 37 +set_io usb_dp 34 +set_io usb_dp_pu 35 +set_io usb_dn_pu 36 +set_io dbg[0] 20 +set_io dbg[1] 12 +set_io dbg[2] 11 +set_io dbg[3] 23 +set_io dbg[4] 10 +set_io dbg[5] 9 diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt3.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt3.pcf new file mode 100644 index 0000000..8d6057b --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-evt3.pcf @@ -0,0 +1,34 @@ +# Configuration for the Fomu 'evt3' board +set_io rgb[0] 39 +set_io rgb[1] 40 +set_io rgb[2] 41 +set_io pmod[0] 28 +set_io pmod[1] 27 +set_io pmod[2] 26 +set_io pmod[3] 23 +set_io clki_alt 20 +set_io clki 44 +set_io user[0] 48 +set_io user[1] 47 +set_io user[2] 46 +set_io user[3] 45 +set_io user[4] 42 +set_io user[5] 38 +set_io spi_mosi 14 +set_io spi_miso 17 +set_io spi_clk 15 +set_io spi_io2 18 +set_io spi_io3 19 +set_io spi_cs 16 +set_io uart_tx 21 +set_io uart_rx 13 +set_io usb_dn 37 +set_io usb_dp 34 +set_io usb_dp_pu 35 +set_io usb_dn_pu 36 +set_io dbg[0] 20 +set_io dbg[1] 12 +set_io dbg[2] 11 +set_io dbg[3] 25 +set_io dbg[4] 10 +set_io dbg[5] 9 diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-hacker.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-hacker.pcf new file mode 100644 index 0000000..3b17e6e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-hacker.pcf @@ -0,0 +1,16 @@ +# Configuration for the Fomu 'hacker' board +set_io clki F5 # Clock input from 48MHz Oscillator +set_io rgb[0] A5 # Blue LED +set_io rgb[1] B5 # Green LED +set_io rgb[2] C5 # Red LED +set_io user[0] F4 # User touch pad 1 +set_io user[1] E5 # User touch pad 2 +set_io user[2] E4 # User touch pad 3 +set_io user[3] F2 # User touch pad 4 +set_io spi_mosi F1 # SPI Master Out, Slave In Pin +set_io spi_miso E1 # SPI Master In, Slave Out Pin +set_io spi_clk D1 # SPI Master Clock Output Pin +set_io spi_cs C1 # SPI Chip Select +set_io usb_dn A2 # USB D- pad +set_io usb_dp A4 # USB D+ pad +set_io usb_dp_pu D5 # USB D+ pull up (indicates device connected) diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-pvt.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-pvt.pcf new file mode 100644 index 0000000..d600953 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/Fomu-pvt.pcf @@ -0,0 +1,18 @@ +# Configuration for the Fomu 'pvt' board +set_io clki F4 # Clock input from 48MHz Oscillator +set_io rgb[0] A5 # Blue LED +set_io rgb[1] B5 # Green LED +set_io rgb[2] C5 # Red LED +set_io user[0] E4 # User touch pad 1 +set_io user[1] D5 # User touch pad 2 +set_io user[2] E5 # User touch pad 3 +set_io user[3] F5 # User touch pad 4 +set_io spi_mosi F1 # SPI Master Out, Slave In Pin +set_io spi_miso E1 # SPI Master In, Slave Out Pin +set_io spi_clk D1 # SPI Master Clock Output Pin +set_io spi_cs C1 # SPI Chip Select +set_io spi_io2 F2 +set_io spi_io3 B1 +set_io usb_dn A2 # USB D- pad +set_io usb_dp A1 # USB D+ pad +set_io usb_dp_pu A4 # USB D+ pull up (indicates device connected) diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/OrangeCrab.lpf b/Libs/RiscV/NEORV32/setups/osflow/constraints/OrangeCrab.lpf new file mode 100644 index 0000000..4c559cc --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/OrangeCrab.lpf @@ -0,0 +1,278 @@ +#| OrangeCrab-r02-25F + + +LOCATE COMP "OrangeCrab_CLK" SITE "A9"; +IOBUF PORT "OrangeCrab_CLK" IO_TYPE=LVCMOS33; +FREQUENCY PORT "OrangeCrab_CLK" 48.0 MHz; + +LOCATE COMP "OrangeCrab_RST_N" SITE "V17"; +IOBUF PORT "OrangeCrab_RST_N" IO_TYPE=LVCMOS33; + +LOCATE COMP "OrangeCrab_LED_RGB_R" SITE "K4"; +IOBUF PORT "OrangeCrab_LED_RGB_R" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_LED_RGB_G" SITE "M3"; +IOBUF PORT "OrangeCrab_LED_RGB_G" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_LED_RGB_B" SITE "J3"; +IOBUF PORT "OrangeCrab_LED_RGB_B" IO_TYPE=LVCMOS33; + +LOCATE COMP "OrangeCrab_USR_BTN" SITE "J17"; +IOBUF PORT "OrangeCrab_USR_BTN" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_USB_D_P" SITE "N1"; +IOBUF PORT "OrangeCrab_USB_D_P" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_USB_D_N" SITE "M2"; +IOBUF PORT "OrangeCrab_USB_D_N" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_USB_DP_PU" SITE "N2"; +IOBUF PORT "OrangeCrab_USB_DP_PU" IO_TYPE=LVCMOS33; + +LOCATE COMP "OrangeCrab_GPIO_0" SITE "N17"; +IOBUF PORT "OrangeCrab_GPIO_0" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_0" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_1" SITE "M18"; +IOBUF PORT "OrangeCrab_GPIO_1" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_1" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_5" SITE "B10"; +IOBUF PORT "OrangeCrab_GPIO_5" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_5" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_6" SITE "B9"; +IOBUF PORT "OrangeCrab_GPIO_6" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_6" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_9" SITE "C8"; +IOBUF PORT "OrangeCrab_GPIO_9" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_9" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_10" SITE "B8"; +IOBUF PORT "OrangeCrab_GPIO_10" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_10" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_11" SITE "A8"; +IOBUF PORT "OrangeCrab_GPIO_11" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_11" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_12" SITE "H2"; +IOBUF PORT "OrangeCrab_GPIO_12" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_12" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_13" SITE "J2"; +IOBUF PORT "OrangeCrab_GPIO_13" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_13" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_A0" SITE "L4"; +IOBUF PORT "OrangeCrab_GPIO_A0" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_A0" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_A1" SITE "N3"; +IOBUF PORT "OrangeCrab_GPIO_A1" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_A1" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_A2" SITE "N4"; +IOBUF PORT "OrangeCrab_GPIO_A2" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_A2" PULLMODE=DOWN; +LOCATE COMP "OrangeCrab_GPIO_A3" SITE "H4"; +IOBUF PORT "OrangeCrab_GPIO_A3" IO_TYPE=LVCMOS33; +IOBUF PORT "OrangeCrab_GPIO_A3" PULLMODE=DOWN; + +LOCATE COMP "OrangeCrab_DDRAM_A[0]" SITE "C4"; +IOBUF PORT "OrangeCrab_DDRAM_A[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[0]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[1]" SITE "D2"; +IOBUF PORT "OrangeCrab_DDRAM_A[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[1]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[2]" SITE "D3"; +IOBUF PORT "OrangeCrab_DDRAM_A[2]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[2]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[3]" SITE "A3"; +IOBUF PORT "OrangeCrab_DDRAM_A[3]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[3]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[4]" SITE "A4"; +IOBUF PORT "OrangeCrab_DDRAM_A[4]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[4]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[5]" SITE "D4"; +IOBUF PORT "OrangeCrab_DDRAM_A[5]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[5]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[6]" SITE "C3"; +IOBUF PORT "OrangeCrab_DDRAM_A[6]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[6]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[7]" SITE "B2"; +IOBUF PORT "OrangeCrab_DDRAM_A[7]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[7]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[8]" SITE "B1"; +IOBUF PORT "OrangeCrab_DDRAM_A[8]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[8]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[9]" SITE "D1"; +IOBUF PORT "OrangeCrab_DDRAM_A[9]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[9]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[10]" SITE "A7"; +IOBUF PORT "OrangeCrab_DDRAM_A[10]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[10]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[11]" SITE "C2"; +IOBUF PORT "OrangeCrab_DDRAM_A[11]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[11]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[12]" SITE "B6"; +IOBUF PORT "OrangeCrab_DDRAM_A[12]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[12]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[13]" SITE "C1"; +IOBUF PORT "OrangeCrab_DDRAM_A[13]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[13]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[14]" SITE "A2"; +IOBUF PORT "OrangeCrab_DDRAM_A[14]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[14]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_A[15]" SITE "C7"; +IOBUF PORT "OrangeCrab_DDRAM_A[15]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_A[15]" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_BA[0]" SITE "D6"; +IOBUF PORT "OrangeCrab_DDRAM_BA[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_BA[0]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_BA[1]" SITE "B7"; +IOBUF PORT "OrangeCrab_DDRAM_BA[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_BA[1]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_BA[2]" SITE "A6"; +IOBUF PORT "OrangeCrab_DDRAM_BA[2]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_BA[2]" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_RAS_N" SITE "C12"; +IOBUF PORT "OrangeCrab_DDRAM_RAS_N" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_RAS_N" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_CAS_N" SITE "D13"; +IOBUF PORT "OrangeCrab_DDRAM_CAS_N" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_CAS_N" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_WE_N" SITE "B12"; +IOBUF PORT "OrangeCrab_DDRAM_WE_N" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_WE_N" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_CS_N" SITE "A12"; +IOBUF PORT "OrangeCrab_DDRAM_CS_N" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_CS_N" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_DM[0]" SITE "D16"; +IOBUF PORT "OrangeCrab_DDRAM_DM[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DM[0]" IO_TYPE=SSTL135_I; +LOCATE COMP "OrangeCrab_DDRAM_DM[1]" SITE "G16"; +IOBUF PORT "OrangeCrab_DDRAM_DM[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DM[1]" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_DQ[0]" SITE "C17"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[0]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[0]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[1]" SITE "D15"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[1]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[1]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[2]" SITE "B17"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[2]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[2]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[2]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[3]" SITE "C16"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[3]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[3]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[3]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[4]" SITE "A15"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[4]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[4]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[4]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[5]" SITE "B13"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[5]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[5]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[5]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[6]" SITE "A17"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[6]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[6]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[6]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[7]" SITE "A13"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[7]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[7]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[7]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[8]" SITE "F17"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[8]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[8]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[8]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[9]" SITE "F16"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[9]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[9]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[9]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[10]" SITE "G15"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[10]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[10]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[10]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[11]" SITE "F15"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[11]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[11]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[11]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[12]" SITE "J16"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[12]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[12]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[12]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[13]" SITE "C18"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[13]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[13]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[13]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[14]" SITE "H16"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[14]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[14]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[14]" TERMINATION=OFF; +LOCATE COMP "OrangeCrab_DDRAM_DQ[15]" SITE "F18"; +IOBUF PORT "OrangeCrab_DDRAM_DQ[15]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQ[15]" IO_TYPE=SSTL135_I; +IOBUF PORT "OrangeCrab_DDRAM_DQ[15]" TERMINATION=OFF; + +LOCATE COMP "OrangeCrab_DDRAM_DQS_P[0]" SITE "B15"; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[0]" IO_TYPE=SSTL135D_I; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[0]" TERMINATION=OFF; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[0]" DIFFRESISTOR=100; +LOCATE COMP "OrangeCrab_DDRAM_DQS_P[1]" SITE "G18"; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[1]" IO_TYPE=SSTL135D_I; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[1]" TERMINATION=OFF; +IOBUF PORT "OrangeCrab_DDRAM_DQS_P[1]" DIFFRESISTOR=100; + +LOCATE COMP "OrangeCrab_DDRAM_CLK_P" SITE "J18"; +IOBUF PORT "OrangeCrab_DDRAM_CLK_P" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_CLK_P" IO_TYPE=SSTL135D_I; + +LOCATE COMP "OrangeCrab_DDRAM_CKE" SITE "D18"; +IOBUF PORT "OrangeCrab_DDRAM_CKE" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_CKE" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_ODT" SITE "C13"; +IOBUF PORT "OrangeCrab_DDRAM_ODT" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_ODT" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_RESET_N" SITE "L18"; +IOBUF PORT "OrangeCrab_DDRAM_RESET_N" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_RESET_N" IO_TYPE=SSTL135_I; + +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[0]" SITE "K16"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[0]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[1]" SITE "D17"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[1]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[2]" SITE "K15"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[2]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[2]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[3]" SITE "K17"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[3]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[3]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[4]" SITE "B18"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[4]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[4]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_VCCIO[5]" SITE "C6"; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[5]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_VCCIO[5]" IO_TYPE=SSTL135_II; + +LOCATE COMP "OrangeCrab_DDRAM_GND[0]" SITE "L15"; +IOBUF PORT "OrangeCrab_DDRAM_GND[0]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_GND[0]" IO_TYPE=SSTL135_II; +LOCATE COMP "OrangeCrab_DDRAM_GND[1]" SITE "L16"; +IOBUF PORT "OrangeCrab_DDRAM_GND[1]" SLEWRATE=FAST; +IOBUF PORT "OrangeCrab_DDRAM_GND[1]" IO_TYPE=SSTL135_II; + +LOCATE COMP "OrangeCrab_SPIFLASH_CS_N" SITE "U17"; +IOBUF PORT "OrangeCrab_SPIFLASH_CS_N" IO_TYPE=LVCMOS33; + +LOCATE COMP "OrangeCrab_SPIFLASH_DQ[0]" SITE "U18"; +IOBUF PORT "OrangeCrab_SPIFLASH_DQ[0]" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_SPIFLASH_DQ[1]" SITE "T18"; +IOBUF PORT "OrangeCrab_SPIFLASH_DQ[1]" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_SPIFLASH_DQ[2]" SITE "R18"; +IOBUF PORT "OrangeCrab_SPIFLASH_DQ[2]" IO_TYPE=LVCMOS33; +LOCATE COMP "OrangeCrab_SPIFLASH_DQ[3]" SITE "N18"; +IOBUF PORT "OrangeCrab_SPIFLASH_DQ[3]" IO_TYPE=LVCMOS33; diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/ULX3S.lpf b/Libs/RiscV/NEORV32/setups/osflow/constraints/ULX3S.lpf new file mode 100644 index 0000000..df65329 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/ULX3S.lpf @@ -0,0 +1,598 @@ +BLOCK RESETPATHS; +BLOCK ASYNCPATHS; +## ULX3S v2.x.x and v3.0.x + +# The clock "usb" and "gpdi" sheet +LOCATE COMP "ULX3S_CLK" SITE "G2"; +IOBUF PORT "ULX3S_CLK" PULLMODE=NONE IO_TYPE=LVCMOS33; +FREQUENCY PORT "ULX3S_CLK" 25 MHZ; + +# JTAG and SPI FLASH voltage 3.3V and options to boot from SPI flash +# write to FLASH possible any time from JTAG: +SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 SLAVE_SPI_PORT=DISABLE MASTER_SPI_PORT=ENABLE SLAVE_PARALLEL_PORT=DISABLE; +# write to FLASH possible from user bitstream: +# SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 SLAVE_SPI_PORT=DISABLE MASTER_SPI_PORT=DISABLE SLAVE_PARALLEL_PORT=DISABLE; + +## USBSERIAL FTDI-FPGA serial port "usb" sheet +LOCATE COMP "ULX3S_TX" SITE "L4"; # FPGA transmits to ftdi +LOCATE COMP "ULX3S_RX" SITE "M1"; # FPGA receives from ftdi +LOCATE COMP "ftdi_nrts" SITE "M3"; # FPGA receives +LOCATE COMP "ftdi_ndtr" SITE "N1"; # FPGA receives +LOCATE COMP "ftdi_txden" SITE "L3"; # FPGA receives +IOBUF PORT "ULX3S_TX" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_RX" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_nrts" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_ndtr" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_txden" PULLMODE=UP IO_TYPE=LVCMOS33; + +## LED indicators "blinkey" and "gpio" sheet +LOCATE COMP "ULX3S_LED7" SITE "H3"; +LOCATE COMP "ULX3S_LED6" SITE "E1"; +LOCATE COMP "ULX3S_LED5" SITE "E2"; +LOCATE COMP "ULX3S_LED4" SITE "D1"; +LOCATE COMP "ULX3S_LED3" SITE "D2"; +LOCATE COMP "ULX3S_LED2" SITE "C1"; +LOCATE COMP "ULX3S_LED1" SITE "C2"; +LOCATE COMP "ULX3S_LED0" SITE "B2"; +IOBUF PORT "ULX3S_LED0" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED1" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED2" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED3" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED4" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED5" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED6" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "ULX3S_LED7" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +## Pushbuttons "blinkey", "flash", "power", "gpdi" sheet +LOCATE COMP "ULX3S_RST_N" SITE "D6"; # BTN_PWRn (inverted logic) +LOCATE COMP "btn[1]" SITE "R1"; # FIRE1 +LOCATE COMP "btn[2]" SITE "T1"; # FIRE2 +LOCATE COMP "btn[3]" SITE "R18"; # UP W1->R18 +LOCATE COMP "btn[4]" SITE "V1"; # DOWN +LOCATE COMP "btn[5]" SITE "U1"; # LEFT +LOCATE COMP "btn[6]" SITE "H16"; # RIGHT Y2->H16 +IOBUF PORT "ULX3S_RST_N" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[3]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[4]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[5]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[6]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## DIP switch "blinkey", "gpio" sheet +LOCATE COMP "sw[0]" SITE "E8"; # SW1 +LOCATE COMP "sw[1]" SITE "D8"; # SW2 +LOCATE COMP "sw[2]" SITE "D7"; # SW3 +LOCATE COMP "sw[3]" SITE "E7"; # SW4 +IOBUF PORT "sw[0]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[3]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## SPI OLED DISPLAY SSD1331 (Color) or SSD1306 (B/W) "blinkey", "usb" sheet +LOCATE COMP "oled_clk" SITE "P4"; +LOCATE COMP "oled_mosi" SITE "P3"; +LOCATE COMP "oled_dc" SITE "P1"; +LOCATE COMP "oled_resn" SITE "P2"; +LOCATE COMP "oled_csn" SITE "N2"; +IOBUF PORT "oled_clk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_dc" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_resn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SPI Flash chip "flash" sheet +LOCATE COMP "flash_csn" SITE "R2"; +LOCATE COMP "flash_clk" SITE "U3"; +LOCATE COMP "flash_mosi" SITE "W2"; +LOCATE COMP "flash_miso" SITE "V2"; +LOCATE COMP "flash_holdn" SITE "W1"; +LOCATE COMP "flash_wpn" SITE "Y2"; +#LOCATE COMP "flash_csspin" SITE "AJ3"; +#LOCATE COMP "flash_initn" SITE "AG4"; +#LOCATE COMP "flash_done" SITE "AJ4"; +#LOCATE COMP "flash_programn" SITE "AH4"; +#LOCATE COMP "flash_cfg_select[0]" SITE "AM4"; +#LOCATE COMP "flash_cfg_select[1]" SITE "AL4"; +#LOCATE COMP "flash_cfg_select[2]" SITE "AK4"; +IOBUF PORT "flash_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_clk" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_miso" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_holdn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_wpn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_csspin" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_initn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_done" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_programn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[0]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## SD card "sdcard", "usb" sheet +# wifi_gpio2,4,12,13,14,15 are shared with SD card. +# If any of wifi_gpio2,4,12,13 is used in toplevel, don't use sd_d[]. +# If SD is used in 1-bit SPI mode, wifi_gpio4,12 = sd_d[1,2] are free, +LOCATE COMP "sd_clk" SITE "H2"; # sd_clk WiFi_GPIO14 +LOCATE COMP "sd_cmd" SITE "J1"; # sd_cmd_di (MOSI) WiFi GPIO15 +LOCATE COMP "sd_d[0]" SITE "J3"; # sd_d0_do (MISO) WiFi GPIO2 +LOCATE COMP "sd_d[1]" SITE "H1"; # sd_d1_irq WiFi GPIO4 +LOCATE COMP "sd_d[2]" SITE "K1"; # sd_d2 WiFi_GPIO12 +LOCATE COMP "sd_d[3]" SITE "K2"; # sd_d3_csn WiFi_GPIO13 +LOCATE COMP "sd_wp" SITE "P5"; # not connected +LOCATE COMP "sd_cdn" SITE "N5"; # not connected +IOBUF PORT "sd_clk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_cmd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; # WiFi GPIO12 pulldown bootstrapping without 3.3V efuse +IOBUF PORT "sd_d[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_wp" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_cdn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## ADC SPI (MAX11123) "analog", "ram" sheet +# input lines shared with GP,GN14-17 +LOCATE COMP "adc_csn" SITE "R17"; +LOCATE COMP "adc_mosi" SITE "R16"; +LOCATE COMP "adc_miso" SITE "U16"; +LOCATE COMP "adc_sclk" SITE "P17"; +IOBUF PORT "adc_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_miso" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_sclk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## Audio 4-bit DAC "analog", "gpio" sheet +# output impedance: 75 ohm +# Stereo 16 ohm earphones, analog audio, +# SPDIF digital audio and composite video. +LOCATE COMP "audio_l[3]" SITE "B3"; # JACK TIP (left audio) +LOCATE COMP "audio_l[2]" SITE "C3"; +LOCATE COMP "audio_l[1]" SITE "D3"; +LOCATE COMP "audio_l[0]" SITE "E4"; +LOCATE COMP "audio_r[3]" SITE "C5"; # JACK RING1 (right audio) +LOCATE COMP "audio_r[2]" SITE "D5"; +LOCATE COMP "audio_r[1]" SITE "B5"; +LOCATE COMP "audio_r[0]" SITE "A3"; +LOCATE COMP "audio_v[3]" SITE "E5"; # JACK RING2 (video or digital audio) +LOCATE COMP "audio_v[2]" SITE "F5"; +LOCATE COMP "audio_v[1]" SITE "F2"; +LOCATE COMP "audio_v[0]" SITE "H5"; +IOBUF PORT "audio_l[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; + +## WiFi ESP-32 "wifi", "usb", "flash" sheet +# wifi_gpio2,4,12,13,14,15 are shared with SD card. +# If any of wifi_gpio2,4,12,13 is used in toplevel, don't use sd_d[]. +# If SD is used in 1-bit SPI mode, wifi_gpio4,12 = sd_d[1,2] are free, +# other pins are shared with GP/GN, and JTAG +LOCATE COMP "wifi_en" SITE "F1"; # enable/reset WiFi +LOCATE COMP "wifi_rxd" SITE "K3"; # FPGA transmits to WiFi +LOCATE COMP "wifi_txd" SITE "K4"; # FPGA receives from WiFi +LOCATE COMP "wifi_gpio0" SITE "L2"; +LOCATE COMP "wifi_gpio5" SITE "N4"; # WIFI LED +LOCATE COMP "wifi_gpio16" SITE "L1"; # Serial1 RX +LOCATE COMP "wifi_gpio17" SITE "N3"; # Serial1 TX +# LOCATE COMP "prog_done" SITE "Y3"; # not GPIO, always active +# wifi lines shared with SD card +LOCATE COMP "wifi_gpio2" SITE "J3"; # sd_d0_do (MISO) WiFi GPIO2 +LOCATE COMP "wifi_gpio4" SITE "H1"; # sd_d1_irq WiFi GPIO4 +LOCATE COMP "wifi_gpio12" SITE "K1"; # sd_d2 WiFi_GPIO12 +LOCATE COMP "wifi_gpio13" SITE "K2"; # sd_d3_csn WiFi_GPIO13 +LOCATE COMP "wifi_gpio14" SITE "H2"; # sd_clk WiFi_GPIO14 +LOCATE COMP "wifi_gpio15" SITE "J1"; # sd_cmd_di (MOSI) WiFi GPIO15 +# wifi lines shared with JTAG +# LOCATE COMP "wifi_gpio21" SITE "U5"; # JTAG TMS +# LOCATE COMP "wifi_gpio18" SITE "T5"; # JTAG TCK +# LOCATE COMP "wifi_gpio23" SITE "R5"; # JTAG TDI +# LOCATE COMP "wifi_gpio19" SITE "V4"; # JTAG TDO +IOBUF PORT "wifi_en" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_rxd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_txd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio0" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio5" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; # pull down or drive 0 for esp32 programming +IOBUF PORT "wifi_gpio16" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio17" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +# IOBUF PORT "prog_done" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## PCB antenna 433 MHz (may be also used for FM) "usb" sheet +LOCATE COMP "ant_433mhz" SITE "G1"; +IOBUF PORT "ant_433mhz" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +## Second USB port "US2" going directly into FPGA "usb", "ram" sheet +LOCATE COMP "ULX3S_USB_D_P" SITE "E16"; # single ended or differential input only +LOCATE COMP "ULX3S_USB_D_N" SITE "F16"; +IOBUF PORT "ULX3S_USB_D_P" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=16; +IOBUF PORT "ULX3S_USB_D_N" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=16; +LOCATE COMP "usb_fpga_bd_dp" SITE "D15"; # single-ended bidirectional +LOCATE COMP "usb_fpga_bd_dn" SITE "E15"; +IOBUF PORT "usb_fpga_bd_dp" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "usb_fpga_bd_dn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "ULX3S_USB_DP_PU" SITE "B12"; # pull up/down control +LOCATE COMP "ULX3S_USB_DN_PU" SITE "C12"; +IOBUF PORT "ULX3S_USB_DP_PU" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "ULX3S_USB_DN_PU" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; + +## JTAG ESP-32 "usb" sheet +# connected to FT231X and ESP-32 +# commented out because those are dedicated pins, not directly useable as GPIO +# but could be used by some vendor-specific JTAG bridging (boundary scan) module +#LOCATE COMP "jtag_tdi" SITE "R5"; # FTDI_nRI FPGA receives +#LOCATE COMP "jtag_tdo" SITE "V4"; # FTDI_nCTS FPGA transmits +#LOCATE COMP "jtag_tck" SITE "T5"; # FTDI_nDSR FPGA receives +#LOCATE COMP "jtag_tms" SITE "U5"; # FTDI_nDCD FPGA receives +#IOBUF PORT "jtag_tdi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tdo" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tck" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tms" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SDRAM "ram" sheet +LOCATE COMP "sdram_clk" SITE "F19"; +LOCATE COMP "sdram_cke" SITE "F20"; +LOCATE COMP "sdram_csn" SITE "P20"; +LOCATE COMP "sdram_wen" SITE "T20"; +LOCATE COMP "sdram_rasn" SITE "R20"; +LOCATE COMP "sdram_casn" SITE "T19"; +LOCATE COMP "sdram_a[0]" SITE "M20"; +LOCATE COMP "sdram_a[1]" SITE "M19"; +LOCATE COMP "sdram_a[2]" SITE "L20"; +LOCATE COMP "sdram_a[3]" SITE "L19"; +LOCATE COMP "sdram_a[4]" SITE "K20"; +LOCATE COMP "sdram_a[5]" SITE "K19"; +LOCATE COMP "sdram_a[6]" SITE "K18"; +LOCATE COMP "sdram_a[7]" SITE "J20"; +LOCATE COMP "sdram_a[8]" SITE "J19"; +LOCATE COMP "sdram_a[9]" SITE "H20"; +LOCATE COMP "sdram_a[10]" SITE "N19"; +LOCATE COMP "sdram_a[11]" SITE "G20"; +LOCATE COMP "sdram_a[12]" SITE "G19"; +LOCATE COMP "sdram_ba[0]" SITE "P19"; +LOCATE COMP "sdram_ba[1]" SITE "N20"; +LOCATE COMP "sdram_dqm[0]" SITE "U19"; +LOCATE COMP "sdram_dqm[1]" SITE "E20"; +LOCATE COMP "sdram_d[0]" SITE "J16"; +LOCATE COMP "sdram_d[1]" SITE "L18"; +LOCATE COMP "sdram_d[2]" SITE "M18"; +LOCATE COMP "sdram_d[3]" SITE "N18"; +LOCATE COMP "sdram_d[4]" SITE "P18"; +LOCATE COMP "sdram_d[5]" SITE "T18"; +LOCATE COMP "sdram_d[6]" SITE "T17"; +LOCATE COMP "sdram_d[7]" SITE "U20"; +LOCATE COMP "sdram_d[8]" SITE "E19"; +LOCATE COMP "sdram_d[9]" SITE "D20"; +LOCATE COMP "sdram_d[10]" SITE "D19"; +LOCATE COMP "sdram_d[11]" SITE "C20"; +LOCATE COMP "sdram_d[12]" SITE "E18"; +LOCATE COMP "sdram_d[13]" SITE "F18"; +LOCATE COMP "sdram_d[14]" SITE "J18"; +LOCATE COMP "sdram_d[15]" SITE "J17"; +IOBUF PORT "sdram_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_cke" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_csn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_wen" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_rasn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_casn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_ba[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_ba[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_dqm[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_dqm[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[13]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[14]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[15]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +# GPDI differential interface (Video) "gpdi" sheet +LOCATE COMP "gpdi_dp[0]" SITE "A16"; # Blue + +LOCATE COMP "gpdi_dn[0]" SITE "B16"; # Blue - +LOCATE COMP "gpdi_dp[1]" SITE "A14"; # Green + +LOCATE COMP "gpdi_dn[1]" SITE "C14"; # Green - +LOCATE COMP "gpdi_dp[2]" SITE "A12"; # Red + +LOCATE COMP "gpdi_dn[2]" SITE "A13"; # Red - +LOCATE COMP "gpdi_dp[3]" SITE "A17"; # Clock + +LOCATE COMP "gpdi_dn[3]" SITE "B18"; # Clock - +LOCATE COMP "gpdi_util" SITE "A19"; # add 10k parallel to C +LOCATE COMP "gpdi_hpd" SITE "B20"; # add 549ohm parallel to C +LOCATE COMP "gpdi_cec" SITE "A18"; +LOCATE COMP "gpdi_sda" SITE "B19"; # I2C shared with RTC +LOCATE COMP "gpdi_scl" SITE "E12"; # I2C shared with RTC C12->E12 +IOBUF PORT "gpdi_dp[0]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[0]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[1]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[1]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[2]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[2]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[3]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[3]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_util" IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_hpd" IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_cec" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_sda" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_scl" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +# GPIO (default single-ended) "gpio", "ram", "gpdi" sheet +# Pins enumerated gp[0-27], gn[0-27]. +# With differential mode enabled on Lattice, +# gp[] (+) are used, gn[] (-) are ignored from design +# as they handle inverted signal by default. +# To enable differential, rename LVCMOS33->LVCMOS33D +# FEMALE ANGLED (90 deg PMOD) on TOP or +# MALE VERTICAL ( 0 deg pins) on BOTTOM and flat cable +LOCATE COMP "gp[0]" SITE "B11"; # PCLK +LOCATE COMP "gn[0]" SITE "C11"; # PCLK +LOCATE COMP "gp[1]" SITE "A10"; # PCLK +LOCATE COMP "gn[1]" SITE "A11"; # PCLK +LOCATE COMP "gp[2]" SITE "A9"; # GR_PCLK +LOCATE COMP "gn[2]" SITE "B10"; # GR_PCLK +LOCATE COMP "gp[3]" SITE "B9"; +LOCATE COMP "gn[3]" SITE "C10"; +LOCATE COMP "gp[4]" SITE "A7"; +LOCATE COMP "gn[4]" SITE "A8"; +LOCATE COMP "gp[5]" SITE "C8"; +LOCATE COMP "gn[5]" SITE "B8"; +LOCATE COMP "gp[6]" SITE "C6"; +LOCATE COMP "gn[6]" SITE "C7"; +IOBUF PORT "gp[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[2]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[2]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[4]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[4]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[5]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[5]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[6]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[6]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp[7]" SITE "A6"; +LOCATE COMP "gn[7]" SITE "B6"; +LOCATE COMP "gp[8]" SITE "A4"; # DIFF +LOCATE COMP "gn[8]" SITE "A5"; # DIFF +LOCATE COMP "gp[9]" SITE "A2"; # DIFF +LOCATE COMP "gn[9]" SITE "B1"; # DIFF +LOCATE COMP "gp[10]" SITE "C4"; # DIFF +LOCATE COMP "gn[10]" SITE "B4"; # DIFF +LOCATE COMP "gp[11]" SITE "F4"; # DIFF wifi_gpio26 +LOCATE COMP "gn[11]" SITE "E3"; # DIFF wifi_gpio25 +LOCATE COMP "gp[12]" SITE "G3"; # DIFF wifi_gpio33 PCLK +LOCATE COMP "gn[12]" SITE "F3"; # DIFF wifi_gpio32 PCLK +LOCATE COMP "gp[13]" SITE "H4"; # DIFF wifi_gpio35 +LOCATE COMP "gn[13]" SITE "G5"; # DIFF wifi_gpio34 +IOBUF PORT "gp[7]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[7]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[8]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[8]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[9]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[9]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[10]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[10]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[11]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[11]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[12]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[12]" PULLMODE=NONE IO_TYPE=LVCMOS33; +FREQUENCY PORT "gn[12]" 50 MHZ; +IOBUF PORT "gp[13]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[13]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp[14]" SITE "U18"; # DIFF ADC AIN1 +LOCATE COMP "gn[14]" SITE "U17"; # DIFF ADC AIN0 +LOCATE COMP "gp[15]" SITE "N17"; # DIFF ADC AIN3 +LOCATE COMP "gn[15]" SITE "P16"; # DIFF ADC AIN2 +LOCATE COMP "gp[16]" SITE "N16"; # DIFF ADC AIN5 +LOCATE COMP "gn[16]" SITE "M17"; # DIFF ADC AIN4 +LOCATE COMP "gp[17]" SITE "L16"; # DIFF ADC AIN7 GR_PCLK +LOCATE COMP "gn[17]" SITE "L17"; # DIFF ADC AIN6 +LOCATE COMP "gp[18]" SITE "H18"; # DIFF +LOCATE COMP "gn[18]" SITE "H17"; # DIFF +LOCATE COMP "gp[19]" SITE "F17"; # DIFF +LOCATE COMP "gn[19]" SITE "G18"; # DIFF +LOCATE COMP "gp[20]" SITE "D18"; # DIFF +LOCATE COMP "gn[20]" SITE "E17"; # DIFF +IOBUF PORT "gp[14]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[14]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[15]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[15]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[16]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[16]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[17]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[17]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[18]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[18]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[19]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[19]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[20]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[20]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp[21]" SITE "C18"; # DIFF +LOCATE COMP "gn[21]" SITE "D17"; # DIFF +LOCATE COMP "gp[22]" SITE "B15"; +LOCATE COMP "gn[22]" SITE "C15"; +LOCATE COMP "gp[23]" SITE "B17"; +LOCATE COMP "gn[23]" SITE "C17"; +LOCATE COMP "gp[24]" SITE "C16"; +LOCATE COMP "gn[24]" SITE "D16"; +LOCATE COMP "gp[25]" SITE "D14"; +LOCATE COMP "gn[25]" SITE "E14"; +LOCATE COMP "gp[26]" SITE "B13"; +LOCATE COMP "gn[26]" SITE "C13"; +LOCATE COMP "gp[27]" SITE "D13"; +LOCATE COMP "gn[27]" SITE "E13"; +IOBUF PORT "gp[21]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[21]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[22]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[22]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[23]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[23]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[24]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[24]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[25]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[25]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[26]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[26]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[27]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[27]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## GPIO repeated as individual signals (non-vector) +# Allows mixed input, output, bidirectional, clock, differential +# If any of individual gp is used, then don't use gp[] vector. +# Same for gn and gn[]. +# FEMALE ANGLED (90 deg PMOD) on TOP or +# MALE VERTICAL ( 0 deg pins) on BOTTOM and flat cable +LOCATE COMP "gp0" SITE "B11"; # PCLK +LOCATE COMP "gn0" SITE "C11"; # PCLK +LOCATE COMP "gp1" SITE "A10"; # PCLK +LOCATE COMP "gn1" SITE "A11"; # PCLK +LOCATE COMP "gp2" SITE "A9"; # GR_PCLK +LOCATE COMP "gn2" SITE "B10"; # GR_PCLK +LOCATE COMP "gp3" SITE "B9"; +LOCATE COMP "gn3" SITE "C10"; +LOCATE COMP "gp4" SITE "A7"; +LOCATE COMP "gn4" SITE "A8"; +LOCATE COMP "gp5" SITE "C8"; +LOCATE COMP "gn5" SITE "B8"; +LOCATE COMP "gp6" SITE "C6"; +LOCATE COMP "gn6" SITE "C7"; +IOBUF PORT "gp0" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn0" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp1" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn1" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp2" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn2" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp3" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn3" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp4" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn4" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp5" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn5" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp6" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn6" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp7" SITE "A6"; +LOCATE COMP "gn7" SITE "B6"; +LOCATE COMP "gp8" SITE "A4"; # DIFF +LOCATE COMP "gn8" SITE "A5"; # DIFF +LOCATE COMP "gp9" SITE "A2"; # DIFF +LOCATE COMP "gn9" SITE "B1"; # DIFF +LOCATE COMP "gp10" SITE "C4"; # DIFF +LOCATE COMP "gn10" SITE "B4"; # DIFF +LOCATE COMP "gp11" SITE "F4"; # DIFF wifi_gpio26 +LOCATE COMP "gn11" SITE "E3"; # DIFF wifi_gpio25 +LOCATE COMP "gp12" SITE "G3"; # DIFF wifi_gpio33 PCLK +LOCATE COMP "gn12" SITE "F3"; # DIFF wifi_gpio32 PCLK +LOCATE COMP "gp13" SITE "H4"; # DIFF wifi_gpio35 +LOCATE COMP "gn13" SITE "G5"; # DIFF wifi_gpio34 +# wifi sharing PCB v2.0.6-v3.0.8 +# prior to v2.0.6 see schematics +IOBUF PORT "gp7" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn7" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp8" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn8" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp9" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn9" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp10" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn10" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp11" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn11" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp12" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn12" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +FREQUENCY PORT "gn12" 50 MHZ; +IOBUF PORT "gp13" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn13" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp14" SITE "U18"; # DIFF ADC AIN1 +LOCATE COMP "gn14" SITE "U17"; # DIFF ADC AIN0 +LOCATE COMP "gp15" SITE "N17"; # DIFF ADC AIN3 +LOCATE COMP "gn15" SITE "P16"; # DIFF ADC AIN2 +LOCATE COMP "gp16" SITE "N16"; # DIFF ADC AIN5 +LOCATE COMP "gn16" SITE "M17"; # DIFF ADC AIN4 +LOCATE COMP "gp17" SITE "L16"; # DIFF ADC AIN7 GR_PCLK +LOCATE COMP "gn17" SITE "L17"; # DIFF ADC AIN6 +LOCATE COMP "gp18" SITE "H18"; # DIFF +LOCATE COMP "gn18" SITE "H17"; # DIFF +LOCATE COMP "gp19" SITE "F17"; # DIFF +LOCATE COMP "gn19" SITE "G18"; # DIFF +LOCATE COMP "gp20" SITE "D18"; # DIFF +LOCATE COMP "gn20" SITE "E17"; # DIFF +IOBUF PORT "gp14" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn14" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp15" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn15" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp16" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn16" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp17" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn17" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp18" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn18" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp19" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn19" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp20" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn20" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp21" SITE "C18"; # DIFF +LOCATE COMP "gn21" SITE "D17"; # DIFF +LOCATE COMP "gp22" SITE "B15"; +LOCATE COMP "gn22" SITE "C15"; +LOCATE COMP "gp23" SITE "B17"; +LOCATE COMP "gn23" SITE "C17"; +LOCATE COMP "gp24" SITE "C16"; +LOCATE COMP "gn24" SITE "D16"; +LOCATE COMP "gp25" SITE "D14"; +LOCATE COMP "gn25" SITE "E14"; +LOCATE COMP "gp26" SITE "B13"; +LOCATE COMP "gn26" SITE "C13"; +LOCATE COMP "gp27" SITE "D13"; +LOCATE COMP "gn27" SITE "E13"; +IOBUF PORT "gp21" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn21" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp22" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn22" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp23" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn23" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp24" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn24" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp25" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn25" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp26" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn26" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp27" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn27" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## PROGRAMN (reload bitstream from FLASH, exit from bootloader) +# PCB v2.0.5 and higher +LOCATE COMP "user_programn" SITE "M4"; +IOBUF PORT "user_programn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SHUTDOWN "power", "ram" sheet (connected from PCB v1.7.5) +# on PCB v1.7 shutdown is not connected to FPGA +LOCATE COMP "shutdown" SITE "G16"; # FPGA receives +IOBUF PORT "shutdown" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/UPduino_v3.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/UPduino_v3.pcf new file mode 100644 index 0000000..ba815e9 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/UPduino_v3.pcf @@ -0,0 +1,36 @@ +## UART (uart0) +set_io uart_txd_o 38 +set_io uart_rxd_i 28 + +## SPI - on-board flash +set_io flash_sdo_o 14 +set_io flash_sck_o 15 +set_io flash_csn_o 16 +set_io flash_sdi_i 17 + +## SPI - user port +set_io spi_sdo_o 34 +set_io spi_sck_o 43 +set_io spi_csn_o 36 +set_io spi_sdi_i 42 + +## TWI +set_io twi_sda_io 31 +set_io twi_scl_io 37 + +## GPIO - input +set_io gpio_i[0] 44 +set_io gpio_i[1] 4 +set_io gpio_i[2] 3 +set_io gpio_i[3] 48 + +## GPIO - output +set_io gpio_o[0] 45 +set_io gpio_o[1] 47 +set_io gpio_o[2] 46 +set_io gpio_o[3] 2 + +## RGB power LED +set_io pwm_o[0] 39 +set_io pwm_o[1] 40 +set_io pwm_o[2] 41 diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/iCEBreaker.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/iCEBreaker.pcf new file mode 100644 index 0000000..88ee9f1 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/iCEBreaker.pcf @@ -0,0 +1,39 @@ +## UART (uart0) +set_io uart_txd_o 9 +set_io uart_rxd_i 6 + +## SPI - on-board flash +set_io flash_sdo_o 14 +set_io flash_sck_o 15 +set_io flash_csn_o 16 +set_io flash_sdi_i 17 + +## SPI - user port +set_io spi_sdo_o 43 +set_io spi_sck_o 38 +set_io spi_csn_o 34 +set_io spi_sdi_i 31 + +## TWI +set_io twi_sda_io 2 +set_io twi_scl_io 4 + +## GPIO - input +set_io gpio_i[0] 18 +set_io gpio_i[1] 19 +set_io gpio_i[2] 20 +set_io gpio_i[3] 28 + +## GPIO - output +set_io gpio_o[0] 25 +set_io gpio_o[1] 26 +set_io gpio_o[2] 27 +set_io gpio_o[3] 23 + +## RGB power LED +set_io pwm_o[0] 39 +set_io pwm_o[1] 40 +set_io pwm_o[2] 41 + +#User Reset Btn +set_io user_reset_btn 10 diff --git a/Libs/RiscV/NEORV32/setups/osflow/constraints/iCESugar.pcf b/Libs/RiscV/NEORV32/setups/osflow/constraints/iCESugar.pcf new file mode 100644 index 0000000..ad56458 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/constraints/iCESugar.pcf @@ -0,0 +1,69 @@ +#| iCESugar-v1.5 + + +#> Clock (12 MHz) +set_io --warn-no-port iCESugarv15_CLK 35 + + +#> Tri-colour LED +set_io --warn-no-port iCESugarv15_LED_G 41 +set_io --warn-no-port iCESugarv15_LED_R 40 +set_io --warn-no-port iCESugarv15_LED_B 39 + + +#> UART +set_io --warn-no-port iCESugarv15_RX 4 +set_io --warn-no-port iCESugarv15_TX 6 + + +#> USB +set_io --warn-no-port iCESugarv15_USB_DN 9 +set_io --warn-no-port iCESugarv15_USB_DP 10 +set_io --warn-no-port iCESugarv15_USB_DP_PU 11 + + +#> PMOD 1 +set_io --warn-no-port iCESugarv15_PMOD1A_0 10 +set_io --warn-no-port iCESugarv15_PMOD1A_1 6 +set_io --warn-no-port iCESugarv15_PMOD1A_2 3 +set_io --warn-no-port iCESugarv15_PMOD1A_3 48 +set_io --warn-no-port iCESugarv15_PMOD1B_0 47 +set_io --warn-no-port iCESugarv15_PMOD1B_1 2 +set_io --warn-no-port iCESugarv15_PMOD1B_2 4 +set_io --warn-no-port iCESugarv15_PMOD1B_3 9 + + +#> PMOD 2 +set_io --warn-no-port iCESugarv15_PMOD2A_0 46 +set_io --warn-no-port iCESugarv15_PMOD2A_1 44 +set_io --warn-no-port iCESugarv15_PMOD2A_2 42 +set_io --warn-no-port iCESugarv15_PMOD2A_3 37 +set_io --warn-no-port iCESugarv15_PMOD2B_0 36 +set_io --warn-no-port iCESugarv15_PMOD2B_1 38 +set_io --warn-no-port iCESugarv15_PMOD2B_2 43 +set_io --warn-no-port iCESugarv15_PMOD2B_3 45 + + +#> PMOD 3 +set_io --warn-no-port iCESugarv15_PMOD3A_0 34 +set_io --warn-no-port iCESugarv15_PMOD3A_1 31 +set_io --warn-no-port iCESugarv15_PMOD3A_2 27 +set_io --warn-no-port iCESugarv15_PMOD3A_3 25 +set_io --warn-no-port iCESugarv15_PMOD3B_0 23 +set_io --warn-no-port iCESugarv15_PMOD3B_1 26 +set_io --warn-no-port iCESugarv15_PMOD3B_2 28 +set_io --warn-no-port iCESugarv15_PMOD3B_3 32 + + +#> PMOD 4 | Switches +set_io --warn-no-port iCESugarv15_PMOD4_0 21 +set_io --warn-no-port iCESugarv15_PMOD4_1 20 +set_io --warn-no-port iCESugarv15_PMOD4_2 19 +set_io --warn-no-port iCESugarv15_PMOD4_3 18 + + +#> SPI +set_io --warn-no-port iCESugarv15_SPI_SS 16 +set_io --warn-no-port iCESugarv15_SPI_SCK 15 +set_io --warn-no-port iCESugarv15_SPI_MOSI 17 +set_io --warn-no-port iCESugarv15_SPI_MISO 14 diff --git a/Libs/RiscV/NEORV32/setups/osflow/devices/ecp5/ecp5_components.vhd b/Libs/RiscV/NEORV32/setups/osflow/devices/ecp5/ecp5_components.vhd new file mode 100644 index 0000000..9385a3d --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/devices/ecp5/ecp5_components.vhd @@ -0,0 +1,75 @@ +library ieee ; +use ieee.std_logic_1164.all; + +package components is + + -- Yosys wrapper components + + component EHXPLLL + generic + ( + CLKI_DIV : integer := 1; + CLKFB_DIV : integer := 1; + CLKOP_DIV : integer := 8; + CLKOS_DIV : integer := 8; + CLKOS2_DIV : integer := 8; + CLKOS3_DIV : integer := 8; + CLKOP_ENABLE : string := "ENABLED"; + CLKOS_ENABLE : string := "DISABLED"; + CLKOS2_ENABLE : string := "DISABLED"; + CLKOS3_ENABLE : string := "DISABLED"; + CLKOP_CPHASE : integer := 0; + CLKOS_CPHASE : integer := 0; + CLKOS2_CPHASE : integer := 0; + CLKOS3_CPHASE : integer := 0; + CLKOP_FPHASE : integer := 0; + CLKOS_FPHASE : integer := 0; + CLKOS2_FPHASE : integer := 0; + CLKOS3_FPHASE : integer := 0; + FEEDBK_PATH : string := "CLKOP"; + CLKOP_TRIM_POL : string := "RISING"; + CLKOP_TRIM_DELAY : integer := 0; + CLKOS_TRIM_POL : string := "RISING"; + CLKOS_TRIM_DELAY : integer := 0; + OUTDIVIDER_MUXA : string := "DIVA"; + OUTDIVIDER_MUXB : string := "DIVB"; + OUTDIVIDER_MUXC : string := "DIVC"; + OUTDIVIDER_MUXD : string := "DIVD"; + PLL_LOCK_MODE : integer := 0; + PLL_LOCK_DELAY : integer := 200; + STDBY_ENABLE : string := "DISABLED"; + REFIN_RESET : string := "DISABLED"; + SYNC_ENABLE : string := "DISABLED"; + INT_LOCK_STICKY : string := "ENABLED"; + DPHASE_SOURCE : string := "DISABLED"; + PLLRST_ENA : string := "DISABLED"; + INTFB_WAKE : string := "DISABLED" + ); + port + ( + CLKI : IN std_logic := 'X'; + CLKFB : IN std_logic := 'X'; + RST : IN std_logic := 'X'; + STDBY : IN std_logic := 'X'; + PLLWAKESYNC : IN std_logic := 'X'; + PHASESEL1 : IN std_logic := 'X'; + PHASESEL0 : IN std_logic := 'X'; + PHASEDIR : IN std_logic := 'X'; + PHASESTEP : IN std_logic := 'X'; + PHASELOADREG : IN std_logic := 'X'; + ENCLKOP : IN std_logic := 'X'; + ENCLKOS : IN std_logic := 'X'; + ENCLKOS2 : IN std_logic := 'X'; + ENCLKOS3 : IN std_logic := 'X'; + CLKOP : OUT std_logic := 'X'; + CLKOS : OUT std_logic := 'X'; + CLKOS2 : OUT std_logic := 'X'; + CLKOS3 : OUT std_logic := 'X'; + LOCK : OUT std_logic := 'X'; + INTLOCK : OUT std_logic := 'X'; + REFCLK : OUT std_logic := 'X'; + CLKINTFB : OUT std_logic := 'X' + ); + end component; + +end package; diff --git a/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd new file mode 100644 index 0000000..3cd4a5d --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_dmem.ice40up_spram.vhd @@ -0,0 +1,145 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-Internal DMEM for Lattice iCE40 UltraPlus >> # +-- # ********************************************************************************************* # +-- # Memory has a physical size of 64kb (2 x SPRAMs). # +-- # Logical size DMEM_SIZE must be less or equal. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +library iCE40; +use iCE40.components.all; + +architecture neorv32_dmem_rtl of neorv32_dmem is + + -- advanced configuration -------------------------------------------------------------------------------- + constant spram_sleep_mode_en_c : boolean := false; -- put DMEM into sleep mode when idle (for low power) + -- ------------------------------------------------------------------------------------------------------- + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(64*1024); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal mem_cs : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + + -- SPRAM signals -- + signal spram_clk : std_logic; + signal spram_addr : std_logic_vector(13 downto 0); + signal spram_di_lo : std_logic_vector(15 downto 0); + signal spram_di_hi : std_logic_vector(15 downto 0); + signal spram_do_lo : std_logic_vector(15 downto 0); + signal spram_do_hi : std_logic_vector(15 downto 0); + signal spram_be_lo : std_logic_vector(03 downto 0); + signal spram_be_hi : std_logic_vector(03 downto 0); + signal spram_we : std_logic; + signal spram_pwr_n : std_logic; + signal spram_cs : std_logic; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using iCE40up SPRAM-based DMEM." severity note; + assert not (DMEM_SIZE > 64*1024) report "NEORV32 PROCESSOR CONFIG ERROR: DMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = DMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + mem_cs <= acc_en and (rden_i or wren_i); + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_spram_lo_inst : SB_SPRAM256KA + port map ( + ADDRESS => spram_addr, -- I + DATAIN => spram_di_lo, -- I + MASKWREN => spram_be_lo, -- I + WREN => spram_we, -- I + CHIPSELECT => spram_cs, -- I + CLOCK => spram_clk, -- I + STANDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + POWEROFF => '1', -- I + DATAOUT => spram_do_lo -- O + ); + + imem_spram_hi_inst : SB_SPRAM256KA + port map ( + ADDRESS => spram_addr, -- I + DATAIN => spram_di_hi, -- I + MASKWREN => spram_be_hi, -- I + WREN => spram_we, -- I + CHIPSELECT => spram_cs, -- I + CLOCK => spram_clk, -- I + STANDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + POWEROFF => '1', -- I + DATAOUT => spram_do_hi -- O + ); + + -- access logic and signal type conversion -- + spram_clk <= std_logic(clk_i); + spram_addr <= std_logic_vector(addr_i(13+2 downto 0+2)); + spram_di_lo <= std_logic_vector(data_i(15 downto 00)); + spram_di_hi <= std_logic_vector(data_i(31 downto 16)); + spram_we <= '1' when ((acc_en and wren_i) = '1') else '0'; -- global write enable + spram_cs <= std_logic(mem_cs); + spram_be_lo <= std_logic(ben_i(1)) & std_logic(ben_i(1)) & std_logic(ben_i(0)) & std_logic(ben_i(0)); -- low byte write enable + spram_be_hi <= std_logic(ben_i(3)) & std_logic(ben_i(3)) & std_logic(ben_i(2)) & std_logic(ben_i(2)); -- high byte write enable + spram_pwr_n <= '0' when ((spram_sleep_mode_en_c = false) or (mem_cs = '1')) else '1'; -- LP mode disabled or IMEM selected + rdata <= std_ulogic_vector(spram_do_hi) & std_ulogic_vector(spram_do_lo); + + buffer_ff: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= mem_cs; + rden <= acc_en and rden_i; + end if; + end process buffer_ff; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_dmem_rtl; diff --git a/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd new file mode 100644 index 0000000..9272291 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/neorv32_imem.ice40up_spram.vhd @@ -0,0 +1,146 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-Internal IMEM for Lattice iCE40 UltraPlus >> # +-- # ********************************************************************************************* # +-- # Memory has a physical size of 64kb (2 x SPRAMs). # +-- # Logical size IMEM_SIZE must be less or equal. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +library iCE40; +use iCE40.components.all; + +architecture neorv32_imem_rtl of neorv32_imem is + + -- advanced configuration -------------------------------------------------------------------------------- + constant spram_sleep_mode_en_c : boolean := false; -- put IMEM into sleep mode when idle (for low power) + -- ------------------------------------------------------------------------------------------------------- + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(64*1024); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal mem_cs : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + + -- SPRAM signals -- + signal spram_clk : std_logic; + signal spram_addr : std_logic_vector(13 downto 0); + signal spram_di_lo : std_logic_vector(15 downto 0); + signal spram_di_hi : std_logic_vector(15 downto 0); + signal spram_do_lo : std_logic_vector(15 downto 0); + signal spram_do_hi : std_logic_vector(15 downto 0); + signal spram_be_lo : std_logic_vector(03 downto 0); + signal spram_be_hi : std_logic_vector(03 downto 0); + signal spram_we : std_logic; + signal spram_pwr_n : std_logic; + signal spram_cs : std_logic; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using iCE40up SPRAM-based IMEM." severity note; + assert not (IMEM_AS_IROM = true) report "NEORV32 PROCESSOR CONFIG ERROR: ICE40 Ultra Plus SPRAM cannot be initialized by bitstream!" severity failure; + assert not (IMEM_SIZE > 64*1024) report "NEORV32 PROCESSOR CONFIG ERROR: IMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + mem_cs <= acc_en and (rden_i or wren_i); + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_spram_lo_inst : SB_SPRAM256KA + port map ( + ADDRESS => spram_addr, -- I + DATAIN => spram_di_lo, -- I + MASKWREN => spram_be_lo, -- I + WREN => spram_we, -- I + CHIPSELECT => spram_cs, -- I + CLOCK => spram_clk, -- I + STANDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + POWEROFF => '1', -- I + DATAOUT => spram_do_lo -- O + ); + + imem_spram_hi_inst : SB_SPRAM256KA + port map ( + ADDRESS => spram_addr, -- I + DATAIN => spram_di_hi, -- I + MASKWREN => spram_be_hi, -- I + WREN => spram_we, -- I + CHIPSELECT => spram_cs, -- I + CLOCK => spram_clk, -- I + STANDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + POWEROFF => '1', -- I + DATAOUT => spram_do_hi -- O + ); + + -- access logic and signal type conversion -- + spram_clk <= std_logic(clk_i); + spram_addr <= std_logic_vector(addr_i(13+2 downto 0+2)); + spram_di_lo <= std_logic_vector(data_i(15 downto 00)); + spram_di_hi <= std_logic_vector(data_i(31 downto 16)); + spram_we <= '1' when ((acc_en and wren_i) = '1') else '0'; -- global write enable + spram_cs <= std_logic(mem_cs); + spram_be_lo <= std_logic(ben_i(1)) & std_logic(ben_i(1)) & std_logic(ben_i(0)) & std_logic(ben_i(0)); -- low byte write enable + spram_be_hi <= std_logic(ben_i(3)) & std_logic(ben_i(3)) & std_logic(ben_i(2)) & std_logic(ben_i(2)); -- high byte write enable + spram_pwr_n <= '0' when ((spram_sleep_mode_en_c = false) or (mem_cs = '1')) else '1'; -- LP mode disabled or IMEM selected + rdata <= std_ulogic_vector(spram_do_hi) & std_ulogic_vector(spram_do_lo); + + buffer_ff: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= mem_cs; + rden <= acc_en and rden_i; + end if; + end process buffer_ff; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/sb_ice40_components.vhd b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/sb_ice40_components.vhd new file mode 100644 index 0000000..9db1924 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/devices/ice40/sb_ice40_components.vhd @@ -0,0 +1,126 @@ +library ieee ; +use ieee.std_logic_1164.all; + +package components is + + -- Yosys / IceCube wrapper components + + component SB_GB + port( + GLOBAL_BUFFER_OUTPUT : out std_logic; + USER_SIGNAL_TO_GLOBAL_BUFFER : in std_logic + ); + end component; + + component SB_HFOSC + generic ( + CLKHF_DIV : string + ); + port ( + CLKHFPU : in std_logic; + CLKHFEN : in std_logic; + CLKHF : out std_logic + ); + end component; + + component SB_PLL40_CORE is + generic ( + FEEDBACK_PATH : string := "SIMPLE"; + DELAY_ADJUSTMENT_MODE_FEEDBACK : string := "FIXED"; + DELAY_ADJUSTMENT_MODE_RELATIVE : string := "FIXED"; + SHIFTREG_DIV_MODE : std_logic := '0'; + FDA_FEEDBACK : std_logic_vector(3 downto 0) := x"0"; + FDA_RELATIVE : std_logic_vector(3 downto 0) := x"0"; + PLLOUT_SELECT : string := "GENCLK"; + DIVR : std_logic_vector(3 downto 0) := x"0"; + DIVF : std_logic_vector(6 downto 0) := "0000000"; + DIVQ : std_logic_vector(2 downto 0) := "000"; + FILTER_RANGE : std_logic_vector(2 downto 0) := "000"; + ENABLE_ICEGATE : bit := '0'; + TEST_MODE : bit := '0'; + EXTERNAL_DIVIDE_FACTOR : integer := 1 + ); + port ( + REFERENCECLK : in std_logic; + PLLOUTCORE : out std_logic; + PLLOUTGLOBAL : out std_logic; + EXTFEEDBACK : in std_logic; + DYNAMICDELAY : in std_logic_vector(7 downto 0); + LOCK : out std_logic; + BYPASS : in std_logic; + RESETB : in std_logic; + LATCHINPUTVALUE : in std_logic; + SDO : out std_logic; + SDI : in std_logic; + SCLK : in std_logic + ); + end component; + + component SB_PLL40_PAD + generic ( + FEEDBACK_PATH : string := "SIMPLE"; + DELAY_ADJUSTMENT_MODE_FEEDBACK : string := "FIXED"; + DELAY_ADJUSTMENT_MODE_RELATIVE : string := "FIXED"; + SHIFTREG_DIV_MODE : bit_vector(1 downto 0) := "00"; + FDA_FEEDBACK : bit_vector(3 downto 0) := "0000"; + FDA_RELATIVE : bit_vector(3 downto 0) := "0000"; + PLLOUT_SELECT : string := "GENCLK"; + DIVR : bit_vector(3 downto 0) := x"0"; + DIVF : bit_vector(6 downto 0) := "0000000"; + DIVQ : bit_vector(2 downto 0) := "000"; + FILTER_RANGE : bit_vector(2 downto 0) := "000"; + ENABLE_ICEGATE : bit := '0'; + TEST_MODE : bit := '0'; + EXTERNAL_DIVIDE_FACTOR : integer := 1 + ); + port ( + PACKAGEPIN : in std_logic; + PLLOUTCORE : out std_logic; + PLLOUTGLOBAL : out std_logic; + EXTFEEDBACK : in std_logic; + DYNAMICDELAY : in std_logic_vector(7 downto 0); + LOCK : out std_logic; + BYPASS : in std_logic; + RESETB : in std_logic; + LATCHINPUTVALUE : in std_logic; + SDO : out std_logic; + SDI : in std_logic; + SCLK : in std_logic + ); + end component; + + component SB_RGBA_DRV + generic ( + CURRENT_MODE : string := "0b0"; + RGB0_CURRENT : string := "0b000000"; + RGB1_CURRENT : string := "0b000000"; + RGB2_CURRENT : string := "0b000000" + ); + port ( + RGB0PWM : in std_logic; + RGB1PWM : in std_logic; + RGB2PWM : in std_logic; + CURREN : in std_logic; + RGBLEDEN : in std_logic; + RGB0 : out std_logic; + RGB1 : out std_logic; + RGB2 : out std_logic + ); + end component; + + component SB_SPRAM256KA + port ( + ADDRESS : in std_logic_vector(13 downto 0); + DATAIN : in std_logic_vector(15 downto 0); + MASKWREN : in std_logic_vector(3 downto 0); + WREN : in std_logic; + CHIPSELECT : in std_logic; + CLOCK : in std_logic; + STANDBY : in std_logic; + SLEEP : in std_logic; + POWEROFF : in std_logic; + DATAOUT : out std_logic_vector(15 downto 0) + ); + end component; + +end package; diff --git a/Libs/RiscV/NEORV32/setups/osflow/filesets.mk b/Libs/RiscV/NEORV32/setups/osflow/filesets.mk new file mode 100644 index 0000000..db44aac --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/filesets.mk @@ -0,0 +1,66 @@ +RTL_CORE_SRC := ../../rtl/core + +NEORV32_PKG := $(RTL_CORE_SRC)/neorv32_package.vhd + +NEORV32_APP_SRC := \ + $(RTL_CORE_SRC)/neorv32_application_image.vhd \ + +NEORV32_MEM_ENTITIES := \ + $(RTL_CORE_SRC)/neorv32_dmem.entity.vhd \ + $(RTL_CORE_SRC)/neorv32_imem.entity.vhd + +NEORV32_CORE_SRC := \ + $(RTL_CORE_SRC)/neorv32_bootloader_image.vhd \ + $(RTL_CORE_SRC)/neorv32_boot_rom.vhd \ + $(RTL_CORE_SRC)/neorv32_bus_keeper.vhd \ + $(RTL_CORE_SRC)/neorv32_busswitch.vhd \ + $(RTL_CORE_SRC)/neorv32_cfs.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_alu.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_bus.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_control.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_cp_bitmanip.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_cp_fpu.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_cp_muldiv.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_cp_shifter.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_decompressor.vhd \ + $(RTL_CORE_SRC)/neorv32_cpu_regfile.vhd \ + $(RTL_CORE_SRC)/neorv32_debug_dm.vhd \ + $(RTL_CORE_SRC)/neorv32_debug_dtm.vhd \ + $(RTL_CORE_SRC)/neorv32_fifo.vhd \ + $(RTL_CORE_SRC)/neorv32_gpio.vhd \ + $(RTL_CORE_SRC)/neorv32_gptmr.vhd \ + $(RTL_CORE_SRC)/neorv32_icache.vhd \ + $(RTL_CORE_SRC)/neorv32_mtime.vhd \ + $(RTL_CORE_SRC)/neorv32_neoled.vhd \ + $(RTL_CORE_SRC)/neorv32_pwm.vhd \ + $(RTL_CORE_SRC)/neorv32_slink.vhd \ + $(RTL_CORE_SRC)/neorv32_spi.vhd \ + $(RTL_CORE_SRC)/neorv32_sysinfo.vhd \ + $(RTL_CORE_SRC)/neorv32_top.vhd \ + $(RTL_CORE_SRC)/neorv32_trng.vhd \ + $(RTL_CORE_SRC)/neorv32_twi.vhd \ + $(RTL_CORE_SRC)/neorv32_uart.vhd \ + $(RTL_CORE_SRC)/neorv32_wdt.vhd \ + $(RTL_CORE_SRC)/neorv32_wishbone.vhd \ + $(RTL_CORE_SRC)/neorv32_xirq.vhd + +# Before including this partial makefile, NEORV32_MEM_SRC needs to be set +# (containing two VHDL sources: one for IMEM and one for DMEM) + +NEORV32_SRC := ${NEORV32_PKG} ${NEORV32_APP_SRC} ${NEORV32_MEM_ENTITIES} ${NEORV32_MEM_SRC} ${NEORV32_MEM_SRC_EXTRA} ${NEORV32_CORE_SRC} ${NEORV32_CORE_SRC_EXTRA} +NEORV32_VERILOG_ALL := ${NEORV32_VERILOG_SRC} ${NEORV32_VERILOG_SRC_EXTRA} + +ICE40_SRC := \ + devices/ice40/sb_ice40_components.vhd + +ECP5_SRC := \ + devices/ecp5/ecp5_components.vhd + +ifeq ($(DEVICE_SERIES),ecp5) +DEVICE_SRC := ${ECP5_SRC} +else +DEVICE_SRC := ${ICE40_SRC} +endif + +# Optionally NEORV32_VERILOG_SRC can be set to a list of Verilog sources diff --git a/Libs/RiscV/NEORV32/setups/osflow/synthesis.mk b/Libs/RiscV/NEORV32/setups/osflow/synthesis.mk new file mode 100644 index 0000000..7adc9c0 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/synthesis.mk @@ -0,0 +1,16 @@ +${DEVICE_LIB}-obj08.cf: ${DEVICE_SRC} + ghdl -a $(GHDL_FLAGS) --work=${DEVICE_LIB} ${DEVICE_SRC} + +neorv32-obj08.cf: ${DEVICE_LIB}-obj08.cf ${NEORV32_SRC} + ghdl -a $(GHDL_FLAGS) --work=neorv32 ${NEORV32_SRC} + +work-obj08.cf: neorv32-obj08.cf ${DESIGN_SRC} ${BOARD_SRC} + ghdl -a $(GHDL_FLAGS) --work=work ${DESIGN_SRC} ${BOARD_SRC} + +${IMPL}.json: work-obj08.cf $(NEORV32_VERILOG_ALL) + $(YOSYS) $(YOSYSFLAGS) \ + -p \ + "$(GHDLSYNTH) $(GHDL_FLAGS) --no-formal $(TOP); \ + synth_${YOSYSSYNTH} \ + -top $(TOP) $(YOSYSPIPE) \ + -json $@" $(NEORV32_VERILOG_ALL) 2>&1 | tee yosys-report.txt diff --git a/Libs/RiscV/NEORV32/setups/osflow/tools.mk b/Libs/RiscV/NEORV32/setups/osflow/tools.mk new file mode 100644 index 0000000..32c6cc1 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/osflow/tools.mk @@ -0,0 +1,28 @@ +GHDL_FLAGS += --std=08 +GHDL ?= ghdl +GHDLSYNTH ?= ghdl +YOSYS ?= yosys +ICEPACK ?= icepack +ECPPACK ?= ecppack +OPENOCD ?= openocd +COPY ?= cp -a + +DEVICE_SERIES ?= ice40 +DEVICE_LIB ?= $(DEVICE_SERIES) +YOSYSSYNTH ?= $(DEVICE_SERIES) +NEXTPNR ?= nextpnr-$(DEVICE_SERIES) + +ifeq ($(DEVICE_SERIES),ice40) +YOSYSPIPE ?= -dsp +CONSTRAINTS_FORMAT ?= pcf +NEXTPNR_OUT ?= asc +PNR2BIT_EXT ?= asc +PACKTOOL ?= $(ICEPACK) +PACKARGS ?= +else +CONSTRAINTS_FORMAT ?= lpf +NEXTPNR_OUT ?= textcfg +PNR2BIT_EXT ?= cfg +PACKTOOL ?= $(ECPPACK) +PACKARGS ?= --compress +endif diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/.gitignore b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/.gitignore new file mode 100644 index 0000000..0acbb70 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/.gitignore @@ -0,0 +1,5 @@ +db +incremental_db +output_files +greybox_tmp +*.qws diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/README.md b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/README.md new file mode 100644 index 0000000..036819b --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/README.md @@ -0,0 +1,60 @@ +# NEORV32 Test Setup using the NEORV32 with AvalonMM Master Interface wrapper + +This setup provides a very simple "demo setup" that uses the NEORV32 with a AvalonMM +Interface wrapper. This makes if possible to connect you own modules using a simple +version of the AvalonMM Master interface. + +Note that the AvalonMM Master is a very simple version providing only basic features: + +* Single read and write access +* Flow control (variable wait-states) +* 8/16/32 bit data access +* Aligned and unaligned access supported + +The AvalonMM Master does **not** support: +* Burst access +* Pipeline transfer +* Pending reads + +The design is based on the de0-nano-test-setup, but added a AvalonMM Master wrapper. +The wrapper file can be found here [`AvalonMM wrapper`](../../../rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd). + +As a test an "external" DMEM is conneced to the NEORV32 over the AvalonMM Master Interface. + +It uses the simplified and simple example top entity that provides a minimalistic interface (clock, reset, UART and 8 LEDs). + +* FPGA Board: :books: [Terasic DE0-Nano FPGA Board](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) +* FPGA: Intel Cyclone-IV `EP4CE22F17C6N` +* Toolchain: Intel Quartus Prime (tested with Quartus Prime 18.1.1 - Lite Edition) + + +### NEORV32 Configuration + +For NEORV32 configuration the default values of the neorv32_top in version 1.6.0 are used +with a few exceptions: + +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (external DMEM), No bootloader +* Tested with version [`1.6.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 50MHz from on-board oscillator +* Reset: via on-board button "KEY0" +* GPIO output port `gpio_o` (8-bit) connected to the 8 green user LEDs ("LED7" - "LED0") +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the 40-pin **GPIO_0** header + * `uart0_txd_o:` output, connected to FPGA pin `C3` - header pin `GPIO_01` (pin number "4") + * `uart0_rxd_i:` input, connected to FPGA pin `A3` - header pin `GPIO_03` (pin number "6") + +### FPGA Utilization + +``` +Total logic elements 3,439 / 22,320 ( 15 % ) +Total registers 1674 +Total pins 12 / 154 ( 8 % ) +Total virtual pins 0 +Total memory bits 197,632 / 608,256 ( 32 % ) +Embedded Multiplier 9-bit elements 0 / 132 ( 0 % ) +Total PLLs 0 / 4 ( 0 % ) +``` + + +## How To Run + +Open the Quartus project file, compile and upload to FPGA. \ No newline at end of file diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qpf b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qpf new file mode 100644 index 0000000..bbef58c --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition +# Date created = 20:23:30 September 13, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "18.1" +DATE = "20:23:30 September 13, 2021" + +# Revisions + +PROJECT_REVISION = "de0-nano-test-setup" diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qsf b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qsf new file mode 100644 index 0000000..9300818 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/de0-nano-test-setup.qsf @@ -0,0 +1,108 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition +# Date created = 20:23:30 September 13, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# de0-nano-test-setup_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE22F17C6 +set_global_assignment -name TOP_LEVEL_ENTITY neorv32_test_setup_avalonmm +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:40:53 APRIL 10, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_application_image.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_bootloader_image.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_boot_rom.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_busswitch.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_bus_keeper.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cfs.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_alu.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_bus.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_control.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_cp_bitmanip.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_cp_fpu.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_cp_muldiv.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_cp_shifter.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_decompressor.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_cpu_regfile.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_debug_dm.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_debug_dtm.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_fifo.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_gpio.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_icache.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_mtime.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_neoled.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_package.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_pwm.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_slink.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_spi.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_sysinfo.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_top.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_trng.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_twi.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_uart.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_wdt.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_wishbone.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_xirq.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/system_integration/neorv32_SystemTop_AvalonMM.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_dmem.entity.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_imem.entity.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_dmem.default.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_imem.default.vhd -library neorv32 +set_global_assignment -name VHDL_FILE neorv32_test_setup_avalonmm.vhd +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_location_assignment PIN_R8 -to clk_i +set_location_assignment PIN_L3 -to gpio_o[7] +set_location_assignment PIN_B1 -to gpio_o[6] +set_location_assignment PIN_F3 -to gpio_o[5] +set_location_assignment PIN_D1 -to gpio_o[4] +set_location_assignment PIN_A11 -to gpio_o[3] +set_location_assignment PIN_B13 -to gpio_o[2] +set_location_assignment PIN_A13 -to gpio_o[1] +set_location_assignment PIN_A15 -to gpio_o[0] +set_location_assignment PIN_J15 -to rstn_i +set_location_assignment PIN_C3 -to uart0_txd_o +set_location_assignment PIN_A3 -to uart0_rxd_i +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.qip b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.qip new file mode 100644 index 0000000..03189d4 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "RAM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "18.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "dmem_ram.vhd"] diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.vhd b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.vhd new file mode 100644 index 0000000..83ca80b --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/dmem_ram.vhd @@ -0,0 +1,163 @@ +-- megafunction wizard: %RAM: 1-PORT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: altsyncram + +-- ============================================================ +-- File Name: dmem_ram.vhd +-- Megafunction Name(s): +-- altsyncram +-- +-- Simulation Library Files(s): +-- altera_mf +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 18.1.1 Build 646 04/11/2019 SJ Lite Edition +-- ************************************************************ + + +--Copyright (C) 2019 Intel Corporation. All rights reserved. +--Your use of Intel Corporation's design tools, logic functions +--and other software and tools, and any partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Intel Program License +--Subscription Agreement, the Intel Quartus Prime License Agreement, +--the Intel FPGA IP License Agreement, or other applicable license +--agreement, including, without limitation, that your use is for +--the sole purpose of programming logic devices manufactured by +--Intel and sold by Intel or its authorized distributors. Please +--refer to the applicable agreement for further details, at +--https://fpgasoftware.intel.com/eula. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY altera_mf; +USE altera_mf.altera_mf_components.all; + +ENTITY dmem_ram IS + PORT + ( + address : IN STD_LOGIC_VECTOR (10 DOWNTO 0); + byteena : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1'); + clock : IN STD_LOGIC := '1'; + data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); + wren : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) + ); +END dmem_ram; + + +ARCHITECTURE SYN OF dmem_ram IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); + +BEGIN + q <= sub_wire0(31 DOWNTO 0); + + altsyncram_component : altsyncram + GENERIC MAP ( + byte_size => 8, + clock_enable_input_a => "BYPASS", + clock_enable_output_a => "BYPASS", + intended_device_family => "Cyclone IV E", + lpm_hint => "ENABLE_RUNTIME_MOD=NO", + lpm_type => "altsyncram", + numwords_a => 2048, + operation_mode => "SINGLE_PORT", + outdata_aclr_a => "NONE", + outdata_reg_a => "CLOCK0", + power_up_uninitialized => "FALSE", + read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", + widthad_a => 11, + width_a => 32, + width_byteena_a => 4 + ) + PORT MAP ( + address_a => address, + byteena_a => byteena, + clock0 => clock, + data_a => data, + wren_a => wren, + q_a => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +-- Retrieval info: PRIVATE: AclrByte NUMERIC "0" +-- Retrieval info: PRIVATE: AclrData NUMERIC "0" +-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "1" +-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +-- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" +-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +-- Retrieval info: PRIVATE: Clken NUMERIC "0" +-- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" +-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +-- Retrieval info: PRIVATE: MIFfilename STRING "" +-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "2048" +-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +-- Retrieval info: PRIVATE: RegAddr NUMERIC "1" +-- Retrieval info: PRIVATE: RegData NUMERIC "1" +-- Retrieval info: PRIVATE: RegOutput NUMERIC "1" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: SingleClock NUMERIC "1" +-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" +-- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" +-- Retrieval info: PRIVATE: WidthAddr NUMERIC "11" +-- Retrieval info: PRIVATE: WidthData NUMERIC "32" +-- Retrieval info: PRIVATE: rden NUMERIC "0" +-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +-- Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" +-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" +-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "4" +-- Retrieval info: USED_PORT: address 0 0 11 0 INPUT NODEFVAL "address[10..0]" +-- Retrieval info: USED_PORT: byteena 0 0 4 0 INPUT VCC "byteena[3..0]" +-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +-- Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" +-- Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +-- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" +-- Retrieval info: CONNECT: @address_a 0 0 11 0 address 0 0 11 0 +-- Retrieval info: CONNECT: @byteena_a 0 0 4 0 byteena 0 0 4 0 +-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +-- Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 +-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 +-- Retrieval info: CONNECT: q 0 0 32 0 @q_a 0 0 32 0 +-- Retrieval info: GEN_FILE: TYPE_NORMAL dmem_ram.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL dmem_ram.inc FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL dmem_ram.cmp FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL dmem_ram.bsf FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL dmem_ram_inst.vhd FALSE +-- Retrieval info: LIB_FILE: altera_mf diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/neorv32_test_setup_avalonmm.vhd b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/neorv32_test_setup_avalonmm.vhd new file mode 100644 index 0000000..daa5b76 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-avalonmm-wrapper/neorv32_test_setup_avalonmm.vhd @@ -0,0 +1,332 @@ +-- ################################################################################################# +-- # << NEORV32 - Test Setup using the AvalonMM Interface >> # +-- # ********************************************************************************************* # +-- # (c) "AvalonMM", "NIOS-2", "Qsys", "MegaWizard" and "Platform Designer" # +-- # are trademarks of Intel # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.std_logic_unsigned.ALL; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_test_setup_avalonmm is + generic ( + -- adapt these for your setup -- + CLOCK_FREQUENCY : natural := 50000000; -- clock frequency of clk_i in Hz + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + MEM_INT_DMEM_SIZE : natural := 8*1024 -- size of processor-internal data memory in bytes + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + -- GPIO -- + gpio_o : out std_ulogic_vector(7 downto 0); -- parallel output + -- UART0 -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic -- UART0 receive data + ); +end entity; + +architecture neorv32_test_setup_avalonmm_rtl of neorv32_test_setup_avalonmm is + + component neorv32_top_avalonmm is + generic ( + -- General -- + CLOCK_FREQUENCY : natural; -- clock frequency of clk_i in Hz + HW_THREAD_ID : natural := 0; -- hardware thread id (32-bit) + INT_BOOTLOADER_EN : boolean := false; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN : boolean := false; -- implement on-chip debugger + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A : boolean := false; -- implement atomic extension? + CPU_EXTENSION_RISCV_B : boolean := false; -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C : boolean := false; -- implement compressed extension? + CPU_EXTENSION_RISCV_E : boolean := false; -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M : boolean := false; -- implement mul/div extension? + CPU_EXTENSION_RISCV_U : boolean := false; -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT regs!) + CPU_EXTENSION_RISCV_Zicsr : boolean := true; -- implement CSR system? + CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul : boolean := false; -- implement multiply-only M sub-extension? + + -- Extension Options -- + FAST_MUL_EN : boolean := false; -- use DSPs for M extension's multiplier + FAST_SHIFT_EN : boolean := false; -- use barrel shifter for shift operations + CPU_CNT_WIDTH : natural := 64; -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES : natural := 2; -- entries is instruction prefetch buffer, has to be a power of 2 + + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS : natural := 0; -- number of regions (0..64) + PMP_MIN_GRANULARITY : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS : natural := 0; -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH : natural := 40; -- total size of HPM counters (0..64) + + -- Internal Instruction memory (IMEM) -- + MEM_INT_IMEM_EN : boolean := false; -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes + + -- Internal Data memory (DMEM) -- + MEM_INT_DMEM_EN : boolean := false; -- implement processor-internal data memory + MEM_INT_DMEM_SIZE : natural := 8*1024; -- size of processor-internal data memory in bytes + + -- Internal Cache memory (iCACHE) -- + ICACHE_EN : boolean := false; -- implement instruction cache + ICACHE_NUM_BLOCKS : natural := 4; -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE : natural := 64; -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY : natural := 1; -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + + -- Stream link interface (SLINK) -- + SLINK_NUM_TX : natural := 0; -- number of TX links (0..8) + SLINK_NUM_RX : natural := 0; -- number of TX links (0..8) + SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO : natural := 1; -- RX fifo depth, has to be a power of two + + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH : natural := 0; -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) := x"ffffffff"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + + -- Processor peripherals -- + IO_GPIO_EN : boolean := false; -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN : boolean := false; -- implement machine system timer (MTIME)? + IO_UART0_EN : boolean := false; -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN : boolean := false; -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN : boolean := false; -- implement serial peripheral interface (SPI)? + IO_TWI_EN : boolean := false; -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH : natural := 0; -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN : boolean := false; -- implement watch dog timer (WDT)? + IO_TRNG_EN : boolean := false; -- implement true random number generator (TRNG)? + IO_CFS_EN : boolean := false; -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic + IO_CFS_IN_SIZE : positive := 32; -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE : positive := 32; -- size of CFS output conduit in bits + IO_NEOLED_EN : boolean := false; -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO : natural := 1 -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + ); + port ( + -- Global control -- + clk_i : in std_ulogic; -- global clock, rising edge + rstn_i : in std_ulogic; -- global reset, low-active, async + + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i : in std_ulogic := 'U'; -- low-active TAP reset (optional) + jtag_tck_i : in std_ulogic := 'U'; -- serial clock + jtag_tdi_i : in std_ulogic := 'U'; -- serial data input + jtag_tdo_o : out std_ulogic; -- serial data output + jtag_tms_i : in std_ulogic := 'U'; -- mode select + + -- AvalonMM interface + read_o : out std_logic; + write_o : out std_logic; + waitrequest_i : in std_logic := '0'; + byteenable_o : out std_logic_vector(3 downto 0); + address_o : out std_logic_vector(31 downto 0); + writedata_o : out std_logic_vector(31 downto 0); + readdata_i : in std_logic_vector(31 downto 0) := (others => '0'); + + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o : out std_ulogic; -- indicates an executed FENCE operation + fencei_o : out std_ulogic; -- indicates an executed FENCEI operation + + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o : out sdata_8x32_t; -- output data + slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output + slink_tx_rdy_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send + + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i : in sdata_8x32_t := (others => (others => 'U')); -- input data + slink_rx_val_i : in std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input + slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o : out std_ulogic_vector(63 downto 0); -- parallel output + gpio_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o : out std_ulogic; -- UART0 send data + uart0_rxd_i : in std_ulogic := 'U'; -- UART0 receive data + uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i : in std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional + + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o : out std_ulogic; -- UART1 send data + uart1_rxd_i : in std_ulogic := 'U'; -- UART1 receive data + uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i : in std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o : out std_ulogic; -- SPI serial clock + spi_sdo_o : out std_ulogic; -- controller data out, peripheral data in + spi_sdi_i : in std_ulogic := 'U'; -- controller data in, peripheral data out + spi_csn_o : out std_ulogic_vector(07 downto 0); -- chip-select + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io : inout std_logic := 'U'; -- twi serial data line + twi_scl_io : inout std_logic := 'U'; -- twi serial clock line + + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels + + -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) -- + cfs_in_i : in std_ulogic_vector(IO_CFS_IN_SIZE-1 downto 0) := (others => 'U'); -- custom CFS inputs conduit + cfs_out_o : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit + + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o : out std_ulogic; -- async serial data line + + -- System time -- + mtime_i : in std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true) + + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i : in std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels + + -- CPU interrupts -- + mtime_irq_i : in std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i : in std_ulogic := 'L'; -- machine software interrupt + mext_irq_i : in std_ulogic := 'L' -- machine external interrupt + ); + end component neorv32_top_avalonmm; + + -- Intel/Altera RAM module created by MegaWizard + COMPONENT dmem_ram IS + PORT + ( + address : IN STD_LOGIC_VECTOR (10 DOWNTO 0); + byteena : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1'); + clock : IN STD_LOGIC := '1'; + data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); + wren : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) + ); + END COMPONENT dmem_ram; + +signal con_gpio_o : std_ulogic_vector(63 downto 0); + +signal read_o : std_logic; +signal write_o : std_logic; +signal waitrequest_i : std_logic; +signal byteenable_o : std_logic_vector(3 downto 0); +signal address_o : std_logic_vector(31 downto 0); +signal writedata_o : std_logic_vector(31 downto 0); +signal readdata_i : std_logic_vector(31 downto 0); + +signal read_wait_cnt : std_logic_vector(1 downto 0); + + +begin + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top_avalonmm + generic map ( + -- General -- + CLOCK_FREQUENCY => CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => false, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_M => true, -- implement mul/div extension? + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => true, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => false, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => 0, -- size of processor-internal data memory in bytes + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => true -- implement primary universal asynchronous receiver/transmitter (UART0)? + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o, -- parallel output + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o, -- UART0 send data + uart0_rxd_i => uart0_rxd_i, -- UART0 receive data + -- AvalonMM Interface + read_o => read_o, + write_o => write_o, + waitrequest_i => waitrequest_i, + byteenable_o => byteenable_o, + address_o => address_o, + writedata_o => writedata_o, + readdata_i => readdata_i + ); + + -- Simple example hooking up RAM module to AvalonMM Interface + -- and using this RAM as DMEM + my_dmem_ram : dmem_ram + port map( + address => address_o(12 downto 2), + byteena => byteenable_o, + clock => clk_i, + data => writedata_o, + wren => write_o, + q => readdata_i); + + + -- Very simple AvalonMM control signals + -- Write has 0 wait-states + -- Read has 2 wait-states + waitrequest_i <= '1' when (read_o = '1' and read_wait_cnt /= "10") else '0'; + process(clk_i, rstn_i) + begin + if rstn_i = '0' then + read_wait_cnt <= "00"; + elsif rising_edge(clk_i) then + if read_o = '0' then + read_wait_cnt <= "00"; + else + read_wait_cnt <= read_wait_cnt + '1'; + end if; + end if; + end process; + + -- GPIO output -- + gpio_o <= con_gpio_o(7 downto 0); + + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/.gitignore b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/.gitignore new file mode 100644 index 0000000..818036c --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/.gitignore @@ -0,0 +1,8 @@ +/.qsys_edit +/db +/neorv32_test_qsys +/*.sopcinfo +/*.rpt +/output_files +/incremental_db +/*.qws diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/README.md b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/README.md new file mode 100644 index 0000000..012a17a --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/README.md @@ -0,0 +1,51 @@ +# NEORV32 Test Setup using the NEORV32 as a Nios II drop-in replacement + +This setup provides a very simple "demo setup" that uses the NEORV32 Qsys/Platform Designer component +so that the NEORV32 can be used as a drop-in replacement of the Nios II soft CPU from Intel. +The demo is running on the Terasic DE0-Nano FPGA Board. + +The design is based on the de0-nano-test-setup, but the NEORV32 cpu is added as a QSys/Platform Designer +component. As an example the DMEM is "external" and uses an Platform Designer SRAM block. + +![NEORV32 in Platform Designer](figures/neorv32_platform_designer.png) + +For details about the design and use of the NEORV32 as a Qsys/Platform Designer component please +look at the Qsys component files and documentation here [`NEORV32 Qsys Component`](../neorv32_qsys_component) + +It uses the simplified simple example top entity that provides a minimalistic interface (clock, reset, UART and 8 LEDs). + +* FPGA Board: :books: [Terasic DE0-Nano FPGA Board](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) +* FPGA: Intel Cyclone-IV `EP4CE22F17C6N` +* Toolchain: Intel Quartus Prime (tested with Quartus Prime 18.1.1 - Lite Edition) + + +### NEORV32 Configuration + +For NEORV32 configuration the default values of the neorv32_top in version 1.6.0 are used +with a few exceptions: + +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (external DMEM), No bootloader +* Tested with version [`1.6.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 50MHz from on-board oscillator +* Reset: via on-board button "KEY0" +* GPIO output port `gpio_o` (8-bit) connected to the 8 green user LEDs ("LED7" - "LED0") +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the 40-pin **GPIO_0** header + * `uart0_txd_o:` output, connected to FPGA pin `C3` - header pin `GPIO_01` (pin number "4") + * `uart0_rxd_i:` input, connected to FPGA pin `A3` - header pin `GPIO_03` (pin number "6") + +### FPGA Utilization + +``` +Total logic elements 4,064 / 22,320 ( 18 % ) +Total registers 1932 +Total pins 12 / 154 ( 8 % ) +Total virtual pins 0 +Total memory bits 230,400 / 608,256 ( 38 % ) +Embedded Multiplier 9-bit elements 0 / 132 ( 0 % ) +Total PLLs 0 / 4 ( 0 % ) +``` + + +## How To Run + +Open the Quartus project file, compile and upload to FPGA. \ No newline at end of file diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/User_Components.ipx b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/User_Components.ipx new file mode 100644 index 0000000..a0e1337 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/User_Components.ipx @@ -0,0 +1,3 @@ + + + diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qpf b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qpf new file mode 100644 index 0000000..2381531 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition +# Date created = 21:29:54 June 08, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "18.1" +DATE = "21:29:54 June 08, 2021" + +# Revisions + +PROJECT_REVISION = "de0-nano-test-setup" diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qsf b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qsf new file mode 100644 index 0000000..708a065 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.qsf @@ -0,0 +1,76 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2019 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition +# Date created = 21:29:54 June 08, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# de0-nano-test-setup_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE22F17C6 +set_global_assignment -name TOP_LEVEL_ENTITY neorv32_ProcessorTop_Test +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:40:53 APRIL 10, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id neorv32_ProcessorTop_Test +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id neorv32_ProcessorTop_Test +set_global_assignment -name PARTITION_COLOR 16764057 -section_id neorv32_ProcessorTop_Test +set_location_assignment PIN_R8 -to clk_i +set_location_assignment PIN_J15 -to rstn_i +set_location_assignment PIN_C3 -to uart0_txd_o +set_location_assignment PIN_A3 -to uart0_rxd_i +set_location_assignment PIN_L3 -to gpio_o[7] +set_location_assignment PIN_B1 -to gpio_o[6] +set_location_assignment PIN_F3 -to gpio_o[5] +set_location_assignment PIN_D1 -to gpio_o[4] +set_location_assignment PIN_A11 -to gpio_o[3] +set_location_assignment PIN_B13 -to gpio_o[2] +set_location_assignment PIN_A13 -to gpio_o[1] +set_location_assignment PIN_A15 -to gpio_o[0] +set_global_assignment -name QSYS_FILE neorv32_test_qsys.qsys +set_global_assignment -name QIP_FILE ../neorv32_qsys_component/neorv32_qsys.qip +set_global_assignment -name VHDL_FILE ../../../rtl/core/neorv32_application_image.vhd +set_global_assignment -name VHDL_FILE ../../../rtl/core/neorv32_bootloader_image.vhd +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_dmem.entity.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/neorv32_imem.entity.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_dmem.default.vhd -library neorv32 +set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_imem.default.vhd -library neorv32 +set_global_assignment -name VHDL_FILE neorv32_ProcessorTop_Test.vhd +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id neorv32_ProcessorTop_Test \ No newline at end of file diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.sdc b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.sdc new file mode 100644 index 0000000..f67f960 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/de0-nano-test-setup.sdc @@ -0,0 +1,12 @@ +#************************************************************** +# Time Information +#************************************************************** + +set_time_format -unit ns -decimal_places 3 + +#************************************************************** +# Create Clock +#************************************************************** + +create_clock -name {altera_reserved_tck} -period 100.000 -waveform { 0.000 50.000 } [get_ports {altera_reserved_tck}] +create_clock -name {clk_i} -period 20.0 -waveform { 0.0 10.0 } [get_ports {clk_i}] diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/figures/neorv32_platform_designer.png b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/figures/neorv32_platform_designer.png new file mode 100644 index 0000000..26dbe4e Binary files /dev/null and b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/figures/neorv32_platform_designer.png differ diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_ProcessorTop_Test.vhd b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_ProcessorTop_Test.vhd new file mode 100644 index 0000000..b1841d6 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_ProcessorTop_Test.vhd @@ -0,0 +1,44 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; +library work; + +entity neorv32_ProcessorTop_Test is port ( + clk_i : in std_logic; + rstn_i : in std_logic; + gpio_o : out std_logic_vector(7 downto 0); + uart0_txd_o : out std_logic; + uart0_rxd_i : in std_logic); +end neorv32_ProcessorTop_Test; + +---------------------------------------------------------------------------------------------------- +architecture rtl of neorv32_ProcessorTop_Test is +---------------------------------------------------------------------------------------------------- + +component neorv32_test_qsys is +port ( + clk_clk : in std_logic; + perf_uart0_uart0_txd_o : out std_logic; + perf_uart0_uart0_rxd_i : in std_logic; + perf_gpio_gpio_o : out std_logic_vector(31 downto 0); + perf_gpio_gpio_i : in std_logic_vector(31 downto 0); + reset_reset_n : in std_logic); +end component; + +signal perf_gpio_gpio_o : std_logic_vector(31 downto 0); + +begin + + gpio_o <= perf_gpio_gpio_o(7 downto 0); + + my_riscv_core : neorv32_test_qsys + port map ( + clk_clk => clk_i, + perf_gpio_gpio_o => perf_gpio_gpio_o, + perf_gpio_gpio_i => (others => '0'), + perf_uart0_uart0_txd_o => uart0_txd_o, + perf_uart0_uart0_rxd_i => uart0_rxd_i, + reset_reset_n => rstn_i); + +end rtl; diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_test_qsys.qsys b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_test_qsys.qsys new file mode 100644 index 0000000..7a0dac1 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup-qsys/neorv32_test_qsys.qsys @@ -0,0 +1,232 @@ + + + + + + + + + + + + + + + + + de0-nano-test-setup.qpf + + + + + + + + + + + + + + $${FILENAME}_access_test_mem + + + + + + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + + + + + + + + + + + + + + + + + $${FILENAME}_ext_dmem + + + + + + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/.gitignore b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/.gitignore new file mode 100644 index 0000000..8a6f547 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/.gitignore @@ -0,0 +1,7 @@ +db +incremental_db +output_files +*.qpf +*.qsf +*.qws +*.vhd diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/README.md b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/README.md new file mode 100644 index 0000000..39ffe2c --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/README.md @@ -0,0 +1,62 @@ +# NEORV32 Test Setup for the Terasic DE0-Nano FPGA Board + +This setup provides a very simple script-based "demo setup" that allows to check out the NEORV32 processor on the Terasic DE0-Nano board. +It uses the simplified [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity, which is a wrapper for the actual processor +top entity that provides a minimalistic interface (clock, reset, UART and 8 LEDs). + +* FPGA Board: :books: [Terasic DE0-Nano FPGA Board](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=139&No=593) +* FPGA: Intel Cyclone-IV `EP4CE22F17C6N` +* Toolchain: Intel Quartus Prime (tested with Quartus Prime 20.1.0 - Lite Edition) + + +### NEORV32 Configuration + +:information_source: See the top entity [`rtl/test_setups/neorv32_test_setup_bootloader.vhd` ](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) for +configuration and entity details and `create_project.tcl` for the according FPGA pin mapping. + +* CPU: `rv32imcu_Zicsr` + 4 `HPM` (hardware performance monitors, 40-bit wide) +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (internal DMEM), bootloader ROM +* Peripherals: `GPIO`, `MTIME`, `UART0`, `WDT` +* Tested with version [`1.5.7.6`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 50MHz from on-board oscillator +* Reset: via on-board button "KEY0" +* GPIO output port `gpio_o` (8-bit) connected to the 8 green user LEDs ("LED7" - "LED0") +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the 40-pin **GPIO_0** header + * `uart0_txd_o:` output, connected to FPGA pin `C3` - header pin `GPIO_01` (pin number "4") + * `uart0_rxd_i:` input, connected to FPGA pin `A3` - header pin `GPIO_03` (pin number "6") + +:warning: The default [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity +is configured for a 100MHz input clock. Since the on-board oscillator of the DE0-nano board generates a 50MHz clock, the test setup has to be modified. +This is automatically done by the `create_project.tcl` TCL script, which makes a local copy of the original test setup VHDL file +(in *this* folder) and uses `sed` to configure the `CLOCK_FREQUENCY` generic (in the local copy) for 50MHz. The local copy is then used as actual +top entity. + +### FPGA Utilization + +``` +Total logic elements 4,009 / 22,320 ( 18 % ) +Total registers 1860 +Total pins 12 / 154 ( 8 % ) +Total virtual pins 0 +Total memory bits 230,400 / 608,256 ( 38 % ) +Embedded Multiplier 9-bit elements 0 / 132 ( 0 % ) +Total PLLs 0 / 4 ( 0 % ) +``` + + +## How To Run + +The `create_project.tcl` TCL script in this directory can be used to create a complete Quartus project. +If not already available, this script will create a `work` folder in this directory. + +1. start Quartus (in GUI mode) +2. in the menu line click "View/Utility Windows/Tcl console" to open the Tcl console +3. use the console to naviagte to **this** folder: `cd .../neorv32/boards/de0-nano-test-setup` +4. execute `source create_project.tcl` - this will create and open the actual Quartus project in this folder +5. if a "select family" prompt appears select the "Cyclone IV E" family and click OK +6. double click on "Compile Design" in the "Tasks" window. This will synthesize, map and place & route your design and will also generate the actual FPGA bitstream +7. when the process is done open the programmer (for example via "Tools/Programmer") and click "Start" in the programmer window to upload the bitstream to your FPGA +8. use a serial terminal (like :earth_asia: [Tera Term](https://ttssh2.osdn.jp/index.html.en)) to connect to the USB-UART interface using the following configuration: +19200 Baud, 8 data bits, 1 stop bit, no parity bits, no transmission / flow control protocol (raw bytes only), newline on `\r\n` (carriage return & newline) +9. now you can communicate with the bootloader console and upload a new program. Check out the [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) +and see section "Let's Get It Started" of the :page_facing_up: [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) for further resources. diff --git a/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/create_project.tcl b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/create_project.tcl new file mode 100644 index 0000000..2f2be7e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/de0-nano-test-setup/create_project.tcl @@ -0,0 +1,94 @@ +# make a local copy of original "./../../rtl/test_setups/neorv32_test_setup_bootloader.vhd " file +# and modify the default clock frequency: set to 50MHz +set shell_script "cp -f ./../../../rtl/test_setups/neorv32_test_setup_bootloader.vhd . && sed -i 's/100000000/50000000/g' neorv32_test_setup_bootloader.vhd " +exec sh -c $shell_script + +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. + +# Quartus Prime: Generate Tcl File for Project +# File: de0_nano_test.tcl +# Generated on: Sat Apr 10 16:57:48 2021 + +# Load Quartus Prime Tcl Project package +package require ::quartus::project + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "de0-nano-test-setup"]} { + puts "Project de0-nano-test-setup is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists de0-nano-test-setup]} { + project_open -revision de0-nano-test-setup de0-nano-test-setup + } else { + project_new -revision de0-nano-test-setup de0-nano-test-setup + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + set_global_assignment -name FAMILY "Cyclone IV E" + set_global_assignment -name DEVICE EP4CE22F17C6 + set_global_assignment -name TOP_LEVEL_ENTITY neorv32_test_setup_bootloader + set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 + set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:40:53 APRIL 10, 2021" + set_global_assignment -name LAST_QUARTUS_VERSION "20.1.0 Lite Edition" + set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files + set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 + set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 + + # core VHDL files + set core_src_dir [glob ./../../../rtl/core/*.vhd] + foreach core_src_file $core_src_dir { + set_global_assignment -name VHDL_FILE $core_src_file -library neorv32 + } + set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_dmem.default.vhd -library neorv32 + set_global_assignment -name VHDL_FILE ./../../../rtl/core/mem/neorv32_imem.default.vhd -library neorv32 + + # top entity: use local modified copy of the original test setup + set_global_assignment -name VHDL_FILE "neorv32_test_setup_bootloader.vhd" + + set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" + set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top + + set_location_assignment PIN_R8 -to clk_i + set_location_assignment PIN_L3 -to gpio_o[7] + set_location_assignment PIN_B1 -to gpio_o[6] + set_location_assignment PIN_F3 -to gpio_o[5] + set_location_assignment PIN_D1 -to gpio_o[4] + set_location_assignment PIN_A11 -to gpio_o[3] + set_location_assignment PIN_B13 -to gpio_o[2] + set_location_assignment PIN_A13 -to gpio_o[1] + set_location_assignment PIN_A15 -to gpio_o[0] + set_location_assignment PIN_J15 -to rstn_i + set_location_assignment PIN_C3 -to uart0_txd_o + set_location_assignment PIN_A3 -to uart0_rxd_i + + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top + + # Commit assignments + export_assignments +} diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/README.md b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/README.md new file mode 100644 index 0000000..187d985 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/README.md @@ -0,0 +1,73 @@ +# NEORV32 Platform Designer Component + +This folder contains a Qsys/Platform Designer wrapper for the NEORV32 together with +an Wishbone to AvalonMM bridge. This makes the NEORV32 a drop-in replacement for the +Altera/Intel Nios II soft CPU. + +This is just a quick template showing a possible solution, and not a feature complete +solution. All parameters in the Generic section could be added to the GUI. + +Only some peripherals (UART0, UART1 and GPIO) are connected, but other peripheral +could easily be connected. + +## Solution overview + +The solution is made up of 3 files. One VHDL file for the component implementation +(neorv32_qsys.vhd), one file for the Qsys component (neorv32_qsys_hw.tcl) and one file +listing files to include (neorv32_qsys.qip) to simplify the Quartus setup (.qsf) file. +The figure below shows how the component is implemented. + +![NEORV32 Qsys Component Solution](figures/overview.png) + +## GUI Settings + +The Qsys component is created so that some parameters can be set in the Platform Design +GUI. More settings could be added as needed. + +![NEORV32 GUI Settings](figures/gui_settings.png) + +## Implementation notes + +The Platform Designer has a bug (feature?) that makes boolean parameters from the Platform +Designer GUI being port mapped to the VHDL component generic as 0/1 instead of true/false. +This is a known bug/feature. + +A workaround for this is made by making the generic (boolean) parameters in the VHDL +as "integer", and then use a "integer2bool" function to make the parameter boolean +again to fit the NEORV32 top. + +## How to use + +To use the Qsys component in your Platform Designer design, you will just need to +make a "User_Components.ipx" file in your Qsys folder, and reference this (rtl/system_integration/neorv32_qsys_component) folder. + +Example "User_Components.ipx" content: +``` + + + +``` + +You will also need to add 3 lines in your Quartus project file (QSF-file) in order to +get the correct source files. + +Example QSF-file info: +``` +...... +set_global_assignment -name QIP_FILE ../neorv32_qsys_component/neorv32_qsys.qip +set_global_assignment -name VHDL_FILE ../../../rtl/core/neorv32_application_image.vhd +set_global_assignment -name VHDL_FILE ../../../rtl/core/neorv32_bootloader_image.vhd +...... +``` + +Having seperate links for the bootloader and application images makes it easy to include images +from your own project folders. + +# NEORV32 Platform Designer Component - Example Design + +The branch contains an example design using the Qsys/Platform designer component +and running on the DE0 Nano board. + +The example design can be found here [setups/quartus/de0-nano-test-setup-qsys`](../de0-nano-test-setup-qsys) + +The example design will run the software examples. diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/gui_settings.png b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/gui_settings.png new file mode 100644 index 0000000..f607085 Binary files /dev/null and b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/gui_settings.png differ diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/overview.png b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/overview.png new file mode 100644 index 0000000..985ac9e Binary files /dev/null and b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/figures/overview.png differ diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.qip b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.qip new file mode 100644 index 0000000..59271eb --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.qip @@ -0,0 +1,33 @@ +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_package.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_boot_rom.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_busswitch.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_bus_keeper.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cfs.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_alu.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_bus.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_control.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_cp_fpu.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_cp_muldiv.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_decompressor.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_regfile.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_debug_dm.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_debug_dtm.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_gpio.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_icache.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_mtime.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_nco.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_neoled.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_package.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_pwm.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_spi.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_sysinfo.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_top.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_trng.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_twi.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_uart.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_wdt.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_wishbone.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_fifo.vhd"] -library neorv32 +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "../../../rtl/core/neorv32_cpu_cp_shifter.vhd"] -library neorv32 + diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.vhd b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.vhd new file mode 100644 index 0000000..c04da0d --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys.vhd @@ -0,0 +1,279 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor Top Qsys component with AvalonMM Compatible Master Interface >> # +-- # ********************************************************************************************* # +-- # (c) "NIOS-2", "Qsys", "Platform Designer" and "AvalonMM" are trademarks of Intel. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +entity neorv32_qsys is + generic ( + GUI_CLOCK_FREQUENCY : integer := 100000000; + GUI_EMABLE_INTERNAL_IMEM : integer := 1; + GUI_IMEM_SIZE : integer := 16; + GUI_EMABLE_INTERNAL_DMEM : integer := 1; + GUI_DMEM_SIZE : integer := 8; + GUI_ENABLE_BOOTLOADER : integer := 0; + GUI_ENABLE_AVALONMM : integer := 1; + GUI_ENABLE_UART0 : integer := 1; + GUI_ENABLE_UART1 : integer := 0; + GUI_ENABLE_GPIO : integer := 0 + ); + port ( + -- Global control -- + clk_i : in std_logic := '0'; -- global clock, rising edge + rstn_i : in std_logic := '0'; -- global reset, low-active, async + -- GPIO -- + gpio_o : out std_logic_vector(63 downto 0); -- parallel output + gpio_i : in std_logic_vector(63 downto 0) := (others => '0'); -- parallel output + -- UART0 -- + uart0_txd_o : out std_logic; -- UART0 send data + uart0_rxd_i : in std_logic := '0'; -- UART0 receive data + + -- UART1 -- + uart1_txd_o : out std_logic; -- UART0 send data + uart1_rxd_i : in std_logic := '0'; -- UART0 receive data + + -- AvalonMM interface + read : out std_logic; + write : out std_logic; + waitrequest : in std_logic := '0'; + byteenable : out std_logic_vector(3 downto 0); + address : out std_logic_vector(31 downto 0); + writedata : out std_logic_vector(31 downto 0); + readdata : in std_logic_vector(31 downto 0) := (others => '0') + + ); +end entity; + +architecture neorv32_qsys_rtl of neorv32_qsys is + +signal gpio_i_ulogic : std_ulogic_vector(63 downto 0); +signal gpio_o_ulogic : std_ulogic_vector(63 downto 0); + +-- Wishbone bus interface (available if MEM_EXT_EN = true) -- +signal wb_tag_o : std_ulogic_vector(02 downto 0); -- request tag +signal wb_adr_o : std_ulogic_vector(31 downto 0); -- address +signal wb_dat_i : std_ulogic_vector(31 downto 0); -- read data +signal wb_dat_o : std_ulogic_vector(31 downto 0); -- write data +signal wb_we_o : std_ulogic; -- read/write +signal wb_sel_o : std_ulogic_vector(03 downto 0); -- byte enable +signal wb_stb_o : std_ulogic; -- strobe +signal wb_cyc_o : std_ulogic; -- valid cycle +signal wb_lock_o : std_ulogic; -- exclusive access request +signal wb_ack_i : std_ulogic; -- transfer acknowledge +signal wb_err_i : std_ulogic; -- transfer error + +signal reset : std_logic; + +function integer2bool(integer_value : integer := 0) return boolean is +begin + if integer_value = 0 then + return false; + else + return true; + end if; +end function; + +begin + + -- The Core Of The Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => GUI_CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz + INT_BOOTLOADER_EN => integer2bool(GUI_ENABLE_BOOTLOADER), -- implement processor-internal bootloader? + HW_THREAD_ID => 0, -- hardware thread id (hartid) + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => false, -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => false, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => false, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => true, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => true, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => false, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + CPU_EXTENSION_RISCV_Zifencei => false, -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul => false, -- implement multiply-only M sub-extension? + -- Extension Options -- + FAST_MUL_EN => false, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => false, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => 64, -- total width of CPU cycle and instret counters (0..64) + CPU_IPB_ENTRIES => 2, -- entries is instruction prefetch buffer, has to be a power of 2 + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => 0, -- number of regions (0..64) + PMP_MIN_GRANULARITY => 64*1024, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => 4, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => integer2bool(GUI_EMABLE_INTERNAL_IMEM), -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => GUI_IMEM_SIZE*1024, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => integer2bool(GUI_EMABLE_INTERNAL_DMEM), -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => GUI_DMEM_SIZE*1024, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => false, -- implement instruction cache + ICACHE_NUM_BLOCKS => 4, -- i-cache: number of blocks (min 1), has to be a power of 2 + ICACHE_BLOCK_SIZE => 64, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => 1, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN => integer2bool(GUI_ENABLE_AVALONMM), -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled) + MEM_EXT_PIPE_MODE => false, -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode + MEM_EXT_BIG_ENDIAN => false, -- byte order: true=big-endian, false=little-endian + MEM_EXT_ASYNC_RX => false, -- use register buffer for RX data when false + -- Stream link interface (SLINK) -- + SLINK_NUM_TX => 0, -- number of TX links (0..8) + SLINK_NUM_RX => 0, -- number of TX links (0..8) + SLINK_TX_FIFO => 1, -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO => 1, -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => 0, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => (x"FFFFFFFF"), -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => (x"FFFFFFFF"), -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN => integer2bool(GUI_ENABLE_GPIO), -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => integer2bool(GUI_ENABLE_UART0), -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART1_EN => integer2bool(GUI_ENABLE_UART1), -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_SPI_EN => false, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => false, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => 0, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => true, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- implement true random number generator (TRNG)? + IO_CFS_EN => false, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => x"00000000", -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => false, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO => 1 -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + ) + port map ( + -- Global control -- + clk_i => clk_i, -- global clock, rising edge + rstn_i => rstn_i, -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '0', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_tag_o, -- tag + wb_adr_o => wb_adr_o, -- address + wb_dat_i => wb_dat_i, -- read data + wb_dat_o => wb_dat_o, -- write data + wb_we_o => wb_we_o, -- read/write + wb_sel_o => wb_sel_o, -- byte enable + wb_stb_o => wb_stb_o, -- strobe + wb_cyc_o => wb_cyc_o, -- valid cycle + wb_lock_o => wb_lock_o, -- exclusive access request + wb_ack_i => wb_ack_i, -- transfer acknowledge + wb_err_i => wb_err_i, -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o => open, -- output data + slink_tx_val_o => open, -- valid output + slink_tx_rdy_i => (others => 'L'), -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i => (others => (others => 'U')), -- input data + slink_rx_val_i => (others => 'L'), -- valid input + slink_rx_rdy_o => open, -- ready to receive + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio_o_ulogic, -- parallel output + gpio_i => gpio_i_ulogic, -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd_o, -- UART0 send data + uart0_rxd_i => uart0_rxd_i, -- UART0 receive data + uart0_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd_o, -- UART1 send data + uart1_rxd_i => uart1_rxd_i, -- UART1 receive data + uart1_rts_o => open, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => '0', -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => open, -- SPI serial clock + spi_sdo_o => open, -- controller data out, peripheral data in + spi_sdi_i => '0', -- controller data in, peripheral data out + spi_csn_o => open, -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => open, -- twi serial data line + twi_scl_io => open, -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => open, -- pwm channels + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom inputs + cfs_out_o => open, -- custom outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => (others => '0'), -- IRQ channels + -- Interrupts -- + mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false + msw_irq_i => '0', -- machine software interrupt + mext_irq_i => '0' -- machine external interrupt + ); + + -- Convert between std_logic / std_ulogic + gpio_o <= std_logic_vector(gpio_o_ulogic); + gpio_i_ulogic <= std_ulogic_vector(gpio_i); + + reset <= not(rstn_i); + + -- Wishbone to AvalonMM brdige + read <= '1' when (wb_stb_o = '1' and wb_we_o = '0') else '0'; + write <= '1' when (wb_stb_o = '1' and wb_we_o = '1') else '0'; + address <= std_logic_vector(wb_adr_o); + writedata <= std_logic_vector(wb_dat_o); + byteenable <= std_logic_vector(wb_sel_o); + + wb_dat_i <= std_ulogic_vector(readdata); + wb_ack_i <= not(waitrequest); + wb_err_i <= '0'; + +end architecture; diff --git a/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys_hw.tcl b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys_hw.tcl new file mode 100644 index 0000000..55f7b0f --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/neorv32_qsys_component/neorv32_qsys_hw.tcl @@ -0,0 +1,258 @@ + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + +# +# module neorv32_qsys +# +set_module_property DESCRIPTION "NEORV32 RISC-V CPU" +set_module_property NAME neorv32_qsys +set_module_property VERSION 1.0 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property GROUP "NEORV32" +set_module_property AUTHOR "Stephan Nolting" +set_module_property DISPLAY_NAME "NEORV32 CPU" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE false +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false +set_module_property ELABORATION_CALLBACK elaborate + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL neorv32_qsys +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file neorv32_qsys.vhd VHDL PATH neorv32_qsys.vhd TOP_LEVEL_FILE + +# +# parameters +# +#add_parameter src_id INTEGER 1 "" +#set_parameter_property src_id DEFAULT_VALUE 1 +#set_parameter_property src_id DISPLAY_NAME src_id +#set_parameter_property src_id WIDTH "" +#set_parameter_property src_id TYPE INTEGER +#set_parameter_property src_id UNITS None +#set_parameter_property src_id ALLOWED_RANGES 1:15 +#set_parameter_property src_id DESCRIPTION "Input source ID" +#set_parameter_property src_id HDL_PARAMETER true + +add_parameter GUI_CLOCK_FREQUENCY INTEGER 100000000 +set_parameter_property GUI_CLOCK_FREQUENCY DISPLAY_NAME "CPU Clock Frequency" +set_parameter_property GUI_CLOCK_FREQUENCY DISPLAY_UNITS "Hz" +set_parameter_property GUI_CLOCK_FREQUENCY DESCRIPTION "CPU clock frequency" +set_parameter_property GUI_CLOCK_FREQUENCY ALLOWED_RANGES 1000000:250000000 +set_parameter_property GUI_CLOCK_FREQUENCY GROUP "Core" +set_parameter_property GUI_CLOCK_FREQUENCY HDL_PARAMETER true + +add_parameter GUI_EMABLE_INTERNAL_IMEM BOOLEAN true +set_parameter_property GUI_EMABLE_INTERNAL_IMEM DISPLAY_NAME "Enable Internal IMEM" +set_parameter_property GUI_EMABLE_INTERNAL_IMEM DESCRIPTION "Use interal IMEM" +set_parameter_property GUI_EMABLE_INTERNAL_IMEM GROUP "Core" +set_parameter_property GUI_EMABLE_INTERNAL_IMEM HDL_PARAMETER true + +add_parameter GUI_IMEM_SIZE INTEGER 16 +set_parameter_property GUI_IMEM_SIZE DISPLAY_NAME "Internal IMEM Memory Size" +set_parameter_property GUI_IMEM_SIZE DISPLAY_UNITS "KBytes" +set_parameter_property GUI_IMEM_SIZE DESCRIPTION "Size of IMEM instruction memory" +set_parameter_property GUI_IMEM_SIZE ALLOWED_RANGES {4 8 16 32 64} +set_parameter_property GUI_IMEM_SIZE GROUP "Core" +set_parameter_property GUI_IMEM_SIZE HDL_PARAMETER true + +add_parameter GUI_EMABLE_INTERNAL_DMEM BOOLEAN true +set_parameter_property GUI_EMABLE_INTERNAL_DMEM DISPLAY_NAME "Enable Internal DMEM" +set_parameter_property GUI_EMABLE_INTERNAL_DMEM DESCRIPTION "Use interal DMEM" +set_parameter_property GUI_EMABLE_INTERNAL_DMEM GROUP "Core" +set_parameter_property GUI_EMABLE_INTERNAL_DMEM HDL_PARAMETER true + +add_parameter GUI_DMEM_SIZE INTEGER 8 +set_parameter_property GUI_DMEM_SIZE DISPLAY_NAME "Internal DMEM Memory Size" +set_parameter_property GUI_DMEM_SIZE DISPLAY_UNITS "KBytes" +set_parameter_property GUI_DMEM_SIZE DESCRIPTION "Size of DMEM data memory" +set_parameter_property GUI_DMEM_SIZE ALLOWED_RANGES {2 4 8 16 32 64} +set_parameter_property GUI_DMEM_SIZE GROUP "Core" +set_parameter_property GUI_DMEM_SIZE HDL_PARAMETER true + + +add_parameter GUI_ENABLE_BOOTLOADER BOOLEAN false +set_parameter_property GUI_ENABLE_BOOTLOADER DISPLAY_NAME "Enable Bootloader" +set_parameter_property GUI_ENABLE_BOOTLOADER DESCRIPTION "Add bootloader and start bootloader" +set_parameter_property GUI_ENABLE_BOOTLOADER GROUP "Bootloader" +set_parameter_property GUI_ENABLE_BOOTLOADER HDL_PARAMETER true + + +add_parameter GUI_ENABLE_AVALONMM BOOLEAN true +set_parameter_property GUI_ENABLE_AVALONMM DISPLAY_NAME "Enable AvalonMM Interface" +set_parameter_property GUI_ENABLE_AVALONMM DESCRIPTION "Add AvalonMM Interface for external modules" +set_parameter_property GUI_ENABLE_AVALONMM GROUP "Peripheral" +set_parameter_property GUI_ENABLE_AVALONMM HDL_PARAMETER true + +add_parameter GUI_ENABLE_UART0 BOOLEAN true +set_parameter_property GUI_ENABLE_UART0 DISPLAY_NAME "Enable UART0" +set_parameter_property GUI_ENABLE_UART0 DESCRIPTION "Add UART0 to core" +set_parameter_property GUI_ENABLE_UART0 GROUP "Peripheral" +set_parameter_property GUI_ENABLE_UART0 HDL_PARAMETER true + +add_parameter GUI_ENABLE_UART1 BOOLEAN false +set_parameter_property GUI_ENABLE_UART1 DISPLAY_NAME "Enable UART1" +set_parameter_property GUI_ENABLE_UART1 DESCRIPTION "Add UART1 to core" +set_parameter_property GUI_ENABLE_UART1 GROUP "Peripheral" +set_parameter_property GUI_ENABLE_UART1 HDL_PARAMETER true + +add_parameter GUI_ENABLE_GPIO BOOLEAN false +set_parameter_property GUI_ENABLE_GPIO DISPLAY_NAME "Enable GPIO" +set_parameter_property GUI_ENABLE_GPIO DESCRIPTION "Add GPIO to core" +set_parameter_property GUI_ENABLE_GPIO GROUP "Peripheral" +set_parameter_property GUI_ENABLE_GPIO HDL_PARAMETER true + + + +# +# display items +# + + +# +# connection point clk +# +add_interface clk clock end +set_interface_property clk clockRate 0 +set_interface_property clk ENABLED true +set_interface_property clk EXPORT_OF "" +set_interface_property clk PORT_NAME_MAP "" +set_interface_property clk CMSIS_SVD_VARIABLES "" +set_interface_property clk SVD_ADDRESS_GROUP "" + +add_interface_port clk clk_i clk Input 1 + + +# +# connection point reset +# +add_interface reset reset end +set_interface_property reset associatedClock clk +set_interface_property reset synchronousEdges DEASSERT +set_interface_property reset ENABLED true +set_interface_property reset EXPORT_OF "" +set_interface_property reset PORT_NAME_MAP "" +set_interface_property reset CMSIS_SVD_VARIABLES "" +set_interface_property reset SVD_ADDRESS_GROUP "" + +add_interface_port reset rstn_i reset_n Input 1 + +# +# connection point perf_gpio +# +add_interface perf_gpio conduit end +set_interface_property perf_gpio associatedClock none +set_interface_property perf_gpio associatedReset none +set_interface_property perf_gpio ENABLED true +set_interface_property perf_gpio EXPORT_OF "" +set_interface_property perf_gpio PORT_NAME_MAP "" +set_interface_property perf_gpio CMSIS_SVD_VARIABLES "" +set_interface_property perf_gpio SVD_ADDRESS_GROUP "" + +add_interface_port perf_gpio gpio_o gpio_o Output 64 +add_interface_port perf_gpio gpio_i gpio_i Input 64 + +# +# connection point perf_uart0 +# +add_interface perf_uart0 conduit end +set_interface_property perf_uart0 associatedClock none +set_interface_property perf_uart0 associatedReset none +set_interface_property perf_uart0 ENABLED true +set_interface_property perf_uart0 EXPORT_OF "" +set_interface_property perf_uart0 PORT_NAME_MAP "" +set_interface_property perf_uart0 CMSIS_SVD_VARIABLES "" +set_interface_property perf_uart0 SVD_ADDRESS_GROUP "" + +add_interface_port perf_uart0 uart0_txd_o uart0_txd_o Output 1 +add_interface_port perf_uart0 uart0_rxd_i uart0_rxd_i Input 1 + +# +# connection point perf_uart1 +# +add_interface perf_uart1 conduit end +set_interface_property perf_uart1 associatedClock none +set_interface_property perf_uart1 associatedReset none +set_interface_property perf_uart1 ENABLED true +set_interface_property perf_uart1 EXPORT_OF "" +set_interface_property perf_uart1 PORT_NAME_MAP "" +set_interface_property perf_uart1 CMSIS_SVD_VARIABLES "" +set_interface_property perf_uart1 SVD_ADDRESS_GROUP "" + +add_interface_port perf_uart1 uart1_txd_o uart1_txd_o Output 1 +add_interface_port perf_uart1 uart1_rxd_i uart1_rxd_i Input 1 + +# +# connection point master +# +add_interface master avalon start +set_interface_property master addressUnits SYMBOLS +set_interface_property master associatedClock clk +set_interface_property master associatedReset reset +set_interface_property master bitsPerSymbol 8 +set_interface_property master burstOnBurstBoundariesOnly false +set_interface_property master burstcountUnits WORDS +set_interface_property master doStreamReads false +set_interface_property master doStreamWrites false +set_interface_property master holdTime 0 +set_interface_property master linewrapBursts false +set_interface_property master maximumPendingReadTransactions 0 +set_interface_property master maximumPendingWriteTransactions 0 +set_interface_property master readLatency 0 +set_interface_property master readWaitTime 0 +set_interface_property master setupTime 0 +set_interface_property master timingUnits Cycles +set_interface_property master writeWaitTime 0 +set_interface_property master ENABLED true +set_interface_property master EXPORT_OF "" +set_interface_property master PORT_NAME_MAP "" +set_interface_property master CMSIS_SVD_VARIABLES "" +set_interface_property master SVD_ADDRESS_GROUP "" + +add_interface_port master address address Output 32 +add_interface_port master read read Output 1 +add_interface_port master write write Output 1 +add_interface_port master byteenable byteenable Output 4 +add_interface_port master writedata writedata Output 32 +add_interface_port master readdata readdata Input 32 +add_interface_port master waitrequest waitrequest Input 1 + +# Callback to enable/disable interface signals +proc elaborate {} { + + if { [get_parameter_value GUI_ENABLE_GPIO] == "false" } { + set_interface_property perf_gpio ENABLED false + } else { + set_interface_property perf_gpio ENABLED true + } + + if { [get_parameter_value GUI_ENABLE_UART0] == "false" } { + set_interface_property perf_uart0 ENABLED false + } else { + set_interface_property perf_uart0 ENABLED true + } + + if { [get_parameter_value GUI_ENABLE_UART1] == "false" } { + set_interface_property perf_uart1 ENABLED false + } else { + set_interface_property perf_uart1 ENABLED true + } + + if { [get_parameter_value GUI_ENABLE_AVALONMM] == "false" } { + set_interface_property master ENABLED false + } else { + set_interface_property master ENABLED true + } + +} + diff --git a/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/README.md b/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/README.md new file mode 100644 index 0000000..088f4ea --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/README.md @@ -0,0 +1,65 @@ +# NEORV32 Test Setup for the Terasic Cyclone-V GX Starter Kit FPGA Board + +This setup provides a very simple script-based "demo setup" that allows to check out the NEORV32 processor on the Terasic Cyclone-V GX Starter Kit board. +It uses the simplified [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity, which is a wrapper for the actual processor +top entity that provides a minimalistic interface (clock, reset, UART and 8 LEDs). + +* FPGA Board: :books: [Terasic Cyclone-V GX Starter Kit FPGA Board](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=830) +* FPGA: Intel Cyclone-V GX `5CGXFC5C6F27C7N` +* Toolchain: Intel Quartus Prime (tested with Quartus Prime 20.1.0 - Lite Edition) + + +### NEORV32 Configuration + +:information_source: See the top entity [`rtl/test_setups/neorv32_test_setup_bootloader.vhd` ](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) for +configuration and entity details and `create_project.tcl` for the according FPGA pin mapping. + +* CPU: `rv32imcu_Zicsr` + 4 `HPM` (hardware performance monitors, 40-bit wide) +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (internal DMEM), bootloader ROM +* Peripherals: `GPIO`, `MTIME`, `UART0`, `WDT` +* Tested with version [`1.5.9.4`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 50MHz from on-board oscillator +* Reset: via on-board button "KEY0" +* GPIO output port `gpio_o` (8-bit) connected to the 8 green user LEDs ("LED7" - "LED0") +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the on-board provided USB to UART converter + +:warning: The default [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity +is configured for a 100MHz input clock. Since the on-board clock generator of the Cyclone-V GX Starter Kit board needs I2C to be programmed, the fixed 50MHz clock on bank 5B, pin R20 is used for this test setup, and the test setup has to be modified accordingly. +This is automatically done by the `create_project.tcl` TCL script, which makes a local copy of the original test setup VHDL file +(in *this* folder) and uses `sed` to configure the `CLOCK_FREQUENCY` generic (in the local copy) for 50MHz. The local copy is then used as actual +top entity. + +### FPGA Utilization + +``` +Logic utilization (in ALMs) 1,442 / 29,080 ( 5 % ) +Total registers 1771 +Total pins 12 / 364 ( 3 % ) +Total virtual pins 0 +Total block memory bits 231,424 / 4,567,040 ( 5 % ) +Total DSP Blocks 0 / 150 ( 0 % ) +Total HSSI RX PCSs 0 / 6 ( 0 % ) +Total HSSI PMA RX Deserializers 0 / 6 ( 0 % ) +Total HSSI TX PCSs 0 / 6 ( 0 % ) +Total HSSI PMA TX Serializers 0 / 6 ( 0 % ) +Total PLLs 0 / 12 ( 0 % ) +Total DLLs 0 / 4 ( 0 % ) +``` + + +## How To Run + +The `create_project.tcl` TCL script in this directory can be used to create a complete Quartus project. +If not already available, this script will create a `work` folder in this directory. + +1. start Quartus (in GUI mode) +2. in the menu line click "View/Utility Windows/Tcl console" to open the Tcl console +3. use the console to navigate to **this** folder: `cd .../setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup` +4. execute `source create_project.tcl` - this will create and open the actual Quartus project in this folder. Do NOT run the Quartus-supplied tcl setup script, as that will change all assignment names. +5. if a "select family" prompt appears, go to the "Board" tab, select the "Cyclone V GX Starter Kit" board and click OK +6. double click on "Compile Design" in the "Tasks" window. This will synthesize, map and place & route your design and will also generate the actual FPGA bitstream +7. when the process is done open the programmer (for example via "Tools/Programmer") and click "Start" in the programmer window to upload the bitstream to your FPGA +8. use a serial terminal (like :earth_asia: [Tera Term](https://ttssh2.osdn.jp/index.html.en)) to connect to the USB-UART interface using the following configuration: +19200 Baud, 8 data bits, 1 stop bit, no parity bits, no transmission / flow control protocol (raw bytes only), newline on `\r\n` (carriage return & newline) +9. now you can communicate with the bootloader console and upload a new program. Check out the [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) +and see section "Let's Get It Started" of the :page_facing_up: [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) for further resources. diff --git a/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/create_project.tcl b/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/create_project.tcl new file mode 100644 index 0000000..436e4be --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/quartus/terasic-cyclone-V-gx-starter-kit-test-setup/create_project.tcl @@ -0,0 +1,108 @@ +# make a local copy of original "./../../rtl/test_setups/neorv32_test_setup_bootloader.vhd " file +# and modify the default clock frequency: set to 50MHz +set shell_script "cp -f ./../../../rtl/test_setups/neorv32_test_setup_bootloader.vhd . && sed -i 's/100000000/50000000/g' neorv32_test_setup_bootloader.vhd " +exec sh -c $shell_script + +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. + +# Quartus Prime: Generate Tcl File for Project +# File: terasic-cyclone-V-gx=starter-kit_test.tcl +# Generated on: Sat Apr 10 16:57:48 2021 + +# Load Quartus Prime Tcl Project package +package require ::quartus::project + +set need_to_close_project 0 +set make_assignments 1 + +# Check that the right project is open +if {[is_project_open]} { + if {[string compare $quartus(project) "terasic-cyclone-V-gx-starter-kit-test-setup"]} { + puts "Project terasic-cyclone-V-gx-starter-kit-test-setup is not open" + set make_assignments 0 + } +} else { + # Only open if not already open + if {[project_exists de0-nano-test-setup]} { + project_open -revision terasic-cyclone-V-gx-starter-kit-setup terasic-cyclone-V-gx-starter-kit-test-setup + } else { + project_new -revision terasic-cyclone-V-gx-starter-kit-test-setup terasic-cyclone-V-gx-starter-kit-test-setup + } + set need_to_close_project 1 +} + +# Make assignments +if {$make_assignments} { + set_global_assignment -name FAMILY "Cyclone V" + set_global_assignment -name DEVICE 5CGXFC5C6F27C7 + set_global_assignment -name TOP_LEVEL_ENTITY neorv32_test_setup_bootloader + set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 + set_global_assignment -name PROJECT_CREATION_TIME_DATE "TUE JUN 4 20:41:15 2013" + set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" + set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files + set_global_assignment -name BOARD "Cyclone V GX Starter Kit" + set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 + set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 + + # core VHDL files + set core_src_dir [glob ./../../../rtl/core/*.vhd] + foreach core_src_file $core_src_dir { + set_global_assignment -name VHDL_FILE $core_src_file -library neorv32 + } + + # top entity: use local modified copy of the original test setup + set_global_assignment -name VHDL_FILE "neorv32_test_setup_bootloader.vhd" + + set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" + set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top + + set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to clk_i + set_instance_assignment -name IO_STANDARD "1.2 V" -to rstn_i + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[0] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[1] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[2] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[3] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[4] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[5] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[6] + set_instance_assignment -name IO_STANDARD "2.5 V" -to gpio_o[7] + set_instance_assignment -name IO_STANDARD "2.5 V" -to uart0_rxd_i + set_instance_assignment -name IO_STANDARD "2.5 V" -to uart0_txd_o + + set_location_assignment PIN_R20 -to clk_i + set_location_assignment PIN_P11 -to rstn_i + set_location_assignment PIN_L7 -to gpio_o[0] + set_location_assignment PIN_K6 -to gpio_o[1] + set_location_assignment PIN_D8 -to gpio_o[2] + set_location_assignment PIN_E9 -to gpio_o[3] + set_location_assignment PIN_A5 -to gpio_o[4] + set_location_assignment PIN_B6 -to gpio_o[5] + set_location_assignment PIN_H8 -to gpio_o[6] + set_location_assignment PIN_H9 -to gpio_o[7] + set_location_assignment PIN_M9 -to uart0_rxd_i + set_location_assignment PIN_L9 -to uart0_txd_o + + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top + + # Commit assignments + export_assignments +} diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/.gitignore b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/.gitignore new file mode 100644 index 0000000..970d11e --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/.gitignore @@ -0,0 +1,20 @@ +/* + +!.gitignore +!README.md + +!system_pll/ +system_pll/* +!system_pll/system_pll.ipx +!system_pll/rtl/ +system_pll/rtl/* +!system_pll/rtl/system_pll.v + +!source/ +source/* +!source/impl_1.xcf + +!*.vhd +!*.rdf +!*.pdc +!*.bin diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/README.md b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/README.md new file mode 100644 index 0000000..6b88cdc --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/README.md @@ -0,0 +1,103 @@ +# NEORV32 Example Setup for the tinyVision.ai Inc. "UPduino v3.0" FPGA Board + + +This example setup turns the UPduino v3.0 board, which features a Lattice iCE40 UltraPlus FPGA, into a medium-scale NEORV32 *microcontroller*. +The processor setup provides 64kB of data and instruction memory, an RTOS-capable CPU (privileged architecture) +and a set of standard peripherals like UART, TWI and SPI. + + +* FPGA Board: :books: [tinyVision.ai Inc. UPduino v3 FPGA Board (GitHub)](https://github.com/tinyvision-ai-inc/UPduino-v3.0/), +:credit_card: buy on [Tindie](https://www.tindie.com/products/tinyvision_ai/upduino-v30-low-cost-lattice-ice40-fpga-board/) +* FPGA: Lattice iCE40 UltraPlus 5k `iCE40UP5K-SG48I` +* Toolchain: Lattice Radiant (tested with Radiant version 3.0.0), using *Lattice Synthesis Engine (LSE)* +* Top entity: [`neorv32_upduino_v3_top.vhd`](https://github.com/stnolting/neorv32/blob/master/boards/UPduino_v3/neorv32_upduino_v3_top.vhd) (instantiates NEORV32 top entity) + + +### Processor Configuration + +- [x] CPU: `rv32imacu_Zicsr_Zicntr` (reduced CPU `[m]instret` & `[m]cycle` counter width!) +- [x] Memory: 64 kB instruction memory (internal IMEM), 64 kB data memory (internal DMEM), 4 kB bootloader ROM +- [x] Peripherals: `GPIO`, `MTIME`, `UART0`, `SPI`, `TWI`, `PWM`, `WDT`, `TRNG` +- [x] Clock: 24 MHz from on-chip HF oscillator (via PLL) +- [x] Reset: via PLL "locked" signal; external "reset" via FPGA re-reconfiguration pin (`creset_n`) +- [x] Tested with processor version [`1.6.1.6`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +- [x] On-board FPGA bitstream flash storage can also be used to store/load NEORV32 application software (via the bootloader) + +:information_source: This setup uses optimized platform-specific memory modules for the internal data and instruction memories (DMEM & IMEM). Each memory uses two +UltraPlus SPRAM primitives (total memory size per memory = 2 x 32kB = 64kB). VHDL source file for platform-specific IMEM: +[`neorv32_imem.ice40up_spram.vhd`](https://github.com/stnolting/neorv32/blob/master/boards/UPduino_v3/neorv32_imem.ice40up_spram.vhd); +VHDL source file for platform-specific DMEM: [`neorv32_dmem.ice40up_spram.vhd`](https://github.com/stnolting/neorv32/blob/master/boards/UPduino_v3/neorv32_dmem.ice40up_spram.vhd). +These platform-specific memories are used *instead* of the default platform-agnostic modules from the core's `rtl/core/mem` folder. + + +### Interface Signals + +:information_source: See [`neorv32_upduino_v3.pdc`](https://github.com/stnolting/neorv32/blob/master/boards/UPduino_v3/neorv32_upduino_v3.pdc) +for the FPGA pin mapping. + +| Top Entity Signal | FPGA Pin | Package Pin | Board Header Pin | +|:------------------------------|:----------:|:------------:|:-----------------| +| `flash_csn_o` (spi_cs[0]) | IOB_35B | 16 | J3-1 | +| `flash_sck_o` | IOB_34A | 15 | J3-2 | +| `flash_sdo_o` | IOB_32A | 14 | J3-3 | +| `flash_sdi_i` | IOB_33B | 17 | J3-4 | +| `gpio_i(0)` | IOB_3B_G6 | 44 | J3-9 | +| `gpio_i(1)` | IOB_8A | 4 | J3-10 | +| `gpio_i(2)` | IOB_9B | 3 | J3-11 | +| `gpio_i(3)` | IOB_4A | 48 | J3-12 | +| `gpio_o(0)` (status LED) | IOB_5B | 45 | J3-13 | +| `gpio_o(1)` | IOB_2A | 47 | J3-14 | +| `gpio_o(2)` | IOB_0A | 46 | J3-15 | +| `gpio_o(3)` | IOB_6A | 2 | J3-16 | +| - | - | - | - | +| **reconfigure FPGA** ("_reset_") | CRESET | 8 | J2-3 | +| `pwm_o(0)` | `gpio_i(0)` (red)| RGB2 | 41 | J2-5 | +| `pwm_o(1)` (green) | RGB0 | 39 | J2-6 | +| `pwm_o(2)` (blue) | RGB1 | 40 | J2-7 | +| `twi_sda_io` | IOT_42B | 31 | J2-9 | +| `twi_scl_io` | IOT_45A_G1 | 37 | J2-10 | +| `spi_sdo_o` | IOT_44B | 34 | J2-11 | +| `spi_sck_o` | IOT_49A | 43 | J2-12 | +| `spi_csn_o` (spi_cs[1]) | IOT_48B | 36 | J2-13 | +| `spi_sdi_i` | IOT_51A | 42 | J2-14 | +| `uart_txd_o` (UART0) | IOT_50B | 38 | J2-15 | +| `uart_rxd_i` (UART0) | IOT_41A | 28 | J2-16 | + +:information_source: The TWI signals (`twi_sda_io` and `twi_scl_io`) and the reset input (`rstn_i`) require an external pull-up resistor. +GPIO output 0 (`gpio_o(0)`, also connected to the RGB drive) is used as output for a high-active **status LED** driven by the bootloader. + + +### FPGA Utilization + +``` +Number of slice registers: 1754 out of 5280 (33%) +Number of I/O registers: 11 out of 117 (9%) +Number of LUT4s: 4882 out of 5280 (92%) +Number of DSPs: 0 out of 8 (0%) +Number of I2Cs: 0 out of 2 (0%) +Number of High Speed OSCs: 1 out of 1 (100%) +Number of Low Speed OSCs: 0 out of 1 (0%) +Number of RGB PWM: 0 out of 1 (0%) +Number of RGB Drivers: 1 out of 1 (100%) +Number of SCL FILTERs: 0 out of 2 (0%) +Number of SRAMs: 4 out of 4 (100%) +Number of WARMBOOTs: 0 out of 1 (0%) +Number of SPIs: 0 out of 2 (0%) +Number of EBRs: 15 out of 30 (50%) +Number of PLLs: 1 out of 1 (100%) +``` + +### FPGA Setup + +1. start Lattice Radiant (in GUI mode) +2. click on "open project" and select `neorv32_upduino_v3.rdf` from this folder +3. click the :arrow_forward: button to synthesize, map, place and route the design and to generate a programming file +4. when done open the programmer (for example via "Tools" -> "Programmer"); you will need a programmer configuration, which will be created in the next steps; alternatively, +you can use the pre-build configuration `source/impl_1.xcf` +5. in the programmer double click on the field under "Operation" (_fast configuration_ should be the default) and select "External SPI Memory" as "Target Memory" +6. select "SPI Serial Flash" under "SPI Flash Options / Family" +7. select "WinBond" under "SPI Flash Options / Vendor" +8. select "W25Q32" under "SPI Flash Options / Device" +9. close the dialog by clicking "ok" +10. click on "Program Device" + diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd new file mode 100644 index 0000000..4ff9d74 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_dmem.ice40up_spram.vhd @@ -0,0 +1,145 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-Internal DMEM for Lattice iCE40 UltraPlus >> # +-- # ********************************************************************************************* # +-- # Memory has a physical size of 64kb (2 x SPRAMs). # +-- # Logical size DMEM_SIZE must be less or equal. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +library iCE40UP; +use iCE40UP.components.all; -- for device primitives + +architecture neorv32_dmem_rtl of neorv32_dmem is + + -- advanced configuration -------------------------------------------------------------------------------- + constant spram_sleep_mode_en_c : boolean := false; -- put DMEM into sleep mode when idle (for low power) + -- ------------------------------------------------------------------------------------------------------- + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(64*1024); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal mem_cs : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + + -- SPRAM signals -- + signal spram_clk : std_logic; + signal spram_addr : std_logic_vector(13 downto 0); + signal spram_di_lo : std_logic_vector(15 downto 0); + signal spram_di_hi : std_logic_vector(15 downto 0); + signal spram_do_lo : std_logic_vector(15 downto 0); + signal spram_do_hi : std_logic_vector(15 downto 0); + signal spram_be_lo : std_logic_vector(03 downto 0); + signal spram_be_hi : std_logic_vector(03 downto 0); + signal spram_we : std_logic; + signal spram_pwr_n : std_logic; + signal spram_cs : std_logic; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using iCE40up SPRAM-based DMEM." severity note; + assert not (DMEM_SIZE > 64*1024) report "NEORV32 PROCESSOR CONFIG ERROR: DMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = DMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + mem_cs <= acc_en and (rden_i or wren_i); + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + dmem_spram_lo_inst : SP256K + port map ( + AD => spram_addr, -- I + DI => spram_di_lo, -- I + MASKWE => spram_be_lo, -- I + WE => spram_we, -- I + CS => spram_cs, -- I + CK => spram_clk, -- I + STDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + PWROFF_N => '1', -- I + DO => spram_do_lo -- O + ); + + dmem_spram_hi_inst : SP256K + port map ( + AD => spram_addr, -- I + DI => spram_di_hi, -- I + MASKWE => spram_be_hi, -- I + WE => spram_we, -- I + CS => spram_cs, -- I + CK => spram_clk, -- I + STDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + PWROFF_N => '1', -- I + DO => spram_do_hi -- O + ); + + -- access logic and signal type conversion -- + spram_clk <= std_logic(clk_i); + spram_addr <= std_logic_vector(addr_i(13+2 downto 0+2)); + spram_di_lo <= std_logic_vector(data_i(15 downto 00)); + spram_di_hi <= std_logic_vector(data_i(31 downto 16)); + spram_we <= '1' when ((acc_en and wren_i) = '1') else '0'; -- global write enable + spram_cs <= std_logic(mem_cs); + spram_be_lo <= std_logic(ben_i(1)) & std_logic(ben_i(1)) & std_logic(ben_i(0)) & std_logic(ben_i(0)); -- low byte write enable + spram_be_hi <= std_logic(ben_i(3)) & std_logic(ben_i(3)) & std_logic(ben_i(2)) & std_logic(ben_i(2)); -- high byte write enable + spram_pwr_n <= '0' when ((spram_sleep_mode_en_c = false) or (mem_cs = '1')) else '1'; -- LP mode disabled or IMEM selected + rdata <= std_ulogic_vector(spram_do_hi) & std_ulogic_vector(spram_do_lo); + + buffer_ff: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= mem_cs; + rden <= acc_en and rden_i; + end if; + end process buffer_ff; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_dmem_rtl; diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd new file mode 100644 index 0000000..d065504 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_imem.ice40up_spram.vhd @@ -0,0 +1,146 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-Internal IMEM for Lattice iCE40 UltraPlus >> # +-- # ********************************************************************************************* # +-- # Memory has a physical size of 64kb (2 x SPRAMs). # +-- # Logical size IMEM_SIZE must be less or equal. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +library iCE40UP; +use iCE40UP.components.all; -- for device primitives + +architecture neorv32_imem_rtl of neorv32_imem is + + -- advanced configuration -------------------------------------------------------------------------------- + constant spram_sleep_mode_en_c : boolean := false; -- put IMEM into sleep mode when idle (for low power) + -- ------------------------------------------------------------------------------------------------------- + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(64*1024); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal mem_cs : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + + -- SPRAM signals -- + signal spram_clk : std_logic; + signal spram_addr : std_logic_vector(13 downto 0); + signal spram_di_lo : std_logic_vector(15 downto 0); + signal spram_di_hi : std_logic_vector(15 downto 0); + signal spram_do_lo : std_logic_vector(15 downto 0); + signal spram_do_hi : std_logic_vector(15 downto 0); + signal spram_be_lo : std_logic_vector(03 downto 0); + signal spram_be_hi : std_logic_vector(03 downto 0); + signal spram_we : std_logic; + signal spram_pwr_n : std_logic; + signal spram_cs : std_logic; + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Using iCE40up SPRAM-based IMEM." severity note; + assert not (IMEM_AS_IROM = true) report "NEORV32 PROCESSOR CONFIG ERROR: ICE40 Ultra Plus SPRAM cannot be initialized by bitstream!" severity failure; + assert not (IMEM_SIZE > 64*1024) report "NEORV32 PROCESSOR CONFIG ERROR: IMEM has a fixed physical size of 64kB. Logical size must be less or equal." severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + mem_cs <= acc_en and (rden_i or wren_i); + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_spram_lo_inst : SP256K + port map ( + AD => spram_addr, -- I + DI => spram_di_lo, -- I + MASKWE => spram_be_lo, -- I + WE => spram_we, -- I + CS => spram_cs, -- I + CK => spram_clk, -- I + STDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + PWROFF_N => '1', -- I + DO => spram_do_lo -- O + ); + + imem_spram_hi_inst : SP256K + port map ( + AD => spram_addr, -- I + DI => spram_di_hi, -- I + MASKWE => spram_be_hi, -- I + WE => spram_we, -- I + CS => spram_cs, -- I + CK => spram_clk, -- I + STDBY => '0', -- I + SLEEP => spram_pwr_n, -- I + PWROFF_N => '1', -- I + DO => spram_do_hi -- O + ); + + -- access logic and signal type conversion -- + spram_clk <= std_logic(clk_i); + spram_addr <= std_logic_vector(addr_i(13+2 downto 0+2)); + spram_di_lo <= std_logic_vector(data_i(15 downto 00)); + spram_di_hi <= std_logic_vector(data_i(31 downto 16)); + spram_we <= '1' when ((acc_en and wren_i) = '1') else '0'; -- global write enable + spram_cs <= std_logic(mem_cs); + spram_be_lo <= std_logic(ben_i(1)) & std_logic(ben_i(1)) & std_logic(ben_i(0)) & std_logic(ben_i(0)); -- low byte write enable + spram_be_hi <= std_logic(ben_i(3)) & std_logic(ben_i(3)) & std_logic(ben_i(2)) & std_logic(ben_i(2)); -- high byte write enable + spram_pwr_n <= '0' when ((spram_sleep_mode_en_c = false) or (mem_cs = '1')) else '1'; -- LP mode disabled or IMEM selected + rdata <= std_ulogic_vector(spram_do_hi) & std_ulogic_vector(spram_do_lo); + + buffer_ff: process(clk_i) + begin + if rising_edge(clk_i) then + ack_o <= mem_cs; + rden <= acc_en and rden_i; + end if; + end process buffer_ff; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.pdc b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.pdc new file mode 100644 index 0000000..d5141a5 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.pdc @@ -0,0 +1,41 @@ +# Radiant pin mapping for the "tinyvision.ai Inc. UPduino v3" FPGA board + +## Clock (on-chip hf oscillator) +#create_clock -period 41.666666 -name hf_osc_clk [get_nets hf_osc_clk] + +## UART (uart0) +ldc_set_location -site {38} [get_ports uart_txd_o] +ldc_set_location -site {28} [get_ports uart_rxd_i] + +## SPI - on-board flash +ldc_set_location -site {14} [get_ports flash_sdo_o] +ldc_set_location -site {15} [get_ports flash_sck_o] +ldc_set_location -site {16} [get_ports flash_csn_o] +ldc_set_location -site {17} [get_ports flash_sdi_i] + +## SPI - user port +ldc_set_location -site {34} [get_ports spi_sdo_o] +ldc_set_location -site {43} [get_ports spi_sck_o] +ldc_set_location -site {36} [get_ports spi_csn_o] +ldc_set_location -site {42} [get_ports spi_sdi_i] + +## TWI +ldc_set_location -site {31} [get_ports twi_sda_io] +ldc_set_location -site {37} [get_ports twi_scl_io] + +## GPIO - input +ldc_set_location -site {44} [get_ports {gpio_i[0]}] +ldc_set_location -site {4} [get_ports {gpio_i[1]}] +ldc_set_location -site {3} [get_ports {gpio_i[2]}] +ldc_set_location -site {48} [get_ports {gpio_i[3]}] + +## GPIO - output +ldc_set_location -site {45} [get_ports {gpio_o[0]}] +ldc_set_location -site {47} [get_ports {gpio_o[1]}] +ldc_set_location -site {46} [get_ports {gpio_o[2]}] +ldc_set_location -site {2} [get_ports {gpio_o[3]}] + +## RGB power LED +ldc_set_location -site {39} [get_ports {pwm_o[0]}] +ldc_set_location -site {40} [get_ports {pwm_o[1]}] +ldc_set_location -site {41} [get_ports {pwm_o[2]}] diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf new file mode 100644 index 0000000..86e0025 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3.rdf @@ -0,0 +1,137 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd new file mode 100644 index 0000000..b0c05df --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/neorv32_upduino_v3_top.vhd @@ -0,0 +1,248 @@ +-- ################################################################################################# +-- # << NEORV32 - Example setup for the tinyVision.ai Inc. "UPduino v3" (c) Board >> # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; + +library work; +use work.all; + +library iCE40UP; +use iCE40UP.components.all; -- for device primitives + +entity neorv32_upduino_v3_top is + port ( + -- UART (uart0) -- + uart_txd_o : out std_ulogic; + uart_rxd_i : in std_ulogic; + -- SPI to on-board flash -- + flash_sck_o : out std_ulogic; + flash_sdo_o : out std_ulogic; + flash_sdi_i : in std_ulogic; + flash_csn_o : out std_ulogic; -- NEORV32.SPI_CS(0) + -- SPI to IO pins -- + spi_sck_o : out std_ulogic; + spi_sdo_o : out std_ulogic; + spi_sdi_i : in std_ulogic; + spi_csn_o : out std_ulogic; -- NEORV32.SPI_CS(1) + -- TWI -- + twi_sda_io : inout std_logic; + twi_scl_io : inout std_logic; + -- GPIO -- + gpio_i : in std_ulogic_vector(3 downto 0); + gpio_o : out std_ulogic_vector(3 downto 0); + -- PWM (to on-board RGB power LED) -- + pwm_o : out std_ulogic_vector(2 downto 0) + ); +end neorv32_upduino_v3_top; + +architecture neorv32_upduino_v3_top_rtl of neorv32_upduino_v3_top is + + -- configuration -- + constant f_clock_c : natural := 24000000; -- PLL output clock frequency in Hz + + -- On-chip oscillator -- + signal hf_osc_clk : std_logic; + + -- PLL (macro generated by radiant) -- + component system_pll + port ( + ref_clk_i : in std_logic; + rst_n_i : in std_logic; + lock_o : out std_logic; + outcore_o : out std_logic; + outglobal_o : out std_logic + ); + end component; + + signal pll_rstn : std_logic; + signal pll_clk : std_logic; + + -- CPU -- + signal cpu_clk : std_ulogic; + signal cpu_rstn : std_ulogic; + + -- internal IO connection -- + signal con_pwm : std_ulogic_vector(02 downto 0); + signal con_spi_sck : std_ulogic; + signal con_spi_sdi : std_ulogic; + signal con_spi_sdo : std_ulogic; + signal con_spi_csn : std_ulogic_vector(07 downto 0); + signal con_gpio_i : std_ulogic_vector(63 downto 0); + signal con_gpio_o : std_ulogic_vector(63 downto 0); + + -- Misc -- + signal pwm_drive : std_logic_vector(2 downto 0); + signal pwm_driven : std_ulogic_vector(2 downto 0); + +begin + + -- On-Chip HF Oscillator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + HSOSC_inst : HSOSC + generic map ( + CLKHF_DIV => "0b01" -- 24 MHz + ) + port map ( + CLKHFPU => '1', + CLKHFEN => '1', + CLKHF => hf_osc_clk + ); + + + -- System PLL ----------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + system_pll_inst: system_pll + port map ( + ref_clk_i => hf_osc_clk, + rst_n_i => '1', + lock_o => pll_rstn, + outcore_o => open, + outglobal_o => pll_clk + ); + + cpu_clk <= std_ulogic(pll_clk); + cpu_rstn <= std_ulogic(pll_rstn); + + + -- The core of the problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + HW_THREAD_ID => 0, -- hardware thread id (32-bit) + INT_BOOTLOADER_EN => true, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => true, -- implement atomic extension? + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_M => true, -- implement mul/div extension? + CPU_EXTENSION_RISCV_U => true, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zifencei => true, -- implement instruction stream sync.? + + -- Extension Options -- + CPU_CNT_WIDTH => 34, -- total width of CPU cycle and instret counters (0..64) + + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => true, -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => 64*1024, -- size of processor-internal instruction memory in bytes + + -- Internal Data memory -- + MEM_INT_DMEM_EN => true, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => 64*1024, -- size of processor-internal data memory in bytes + + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => true, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_SPI_EN => true, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => true, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => 3, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => true, -- implement watch dog timer (WDT)? + IO_TRNG_EN => true -- implement true random number generator (TRNG)? + ) + port map ( + -- Global control -- + clk_i => cpu_clk, -- global clock, rising edge + rstn_i => cpu_rstn, -- global reset, low-active, async + + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => con_gpio_o, -- parallel output + gpio_i => con_gpio_i, -- parallel input + + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart_txd_o, -- UART0 send data + uart0_rxd_i => uart_rxd_i, -- UART0 receive data + + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => con_spi_sck, + spi_sdo_o => con_spi_sdo, + spi_sdi_i => con_spi_sdi, + spi_csn_o => con_spi_csn, + + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda_io, -- twi serial data line + twi_scl_io => twi_scl_io, -- twi serial clock line + + -- PWM (available if IO_PWM_EN = true) -- + pwm_o => con_pwm -- pwm channels + ); + + -- GPIO -- + con_gpio_i <= x"000000000000000" & gpio_i(3 downto 0); + gpio_o(3 downto 0) <= con_gpio_o(3 downto 0); + + -- SPI -- + flash_sck_o <= con_spi_sck; + flash_sdo_o <= con_spi_sdo; + flash_csn_o <= con_spi_csn(0); + spi_sck_o <= con_spi_sck; + spi_sdo_o <= con_spi_sdo; + spi_csn_o <= con_spi_csn(1); + con_spi_sdi <= flash_sdi_i when (con_spi_csn(0) = '0') else spi_sdi_i; + + -- RGB -- + pwm_drive(0) <= std_logic(con_pwm(0) or con_gpio_o(0)); -- bit 0: red - pwm channel 0 OR gpio_o(0) [status LED] + pwm_drive(1) <= std_logic(con_pwm(1)); -- bit 1: green - pwm channel 1 + pwm_drive(2) <= std_logic(con_pwm(2)); -- bit 2: blue - pwm channel 2 + + RGB_inst: RGB + generic map ( + CURRENT_MODE => "1", + RGB0_CURRENT => "0b000001", + RGB1_CURRENT => "0b000001", + RGB2_CURRENT => "0b000001" + ) + port map ( + CURREN => '1', -- I + RGBLEDEN => '1', -- I + RGB0PWM => pwm_drive(1), -- I - green + RGB1PWM => pwm_drive(2), -- I - blue + RGB2PWM => pwm_drive(0), -- I - red + RGB2 => pwm_driven(2), -- O - red + RGB1 => pwm_driven(1), -- O - blue + RGB0 => pwm_driven(0) -- O - green + ); + + pwm_o <= std_ulogic_vector(pwm_driven); + + +end neorv32_upduino_v3_top_rtl; diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/source/impl_1.xcf b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/source/impl_1.xcf new file mode 100644 index 0000000..bd737ab --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/source/impl_1.xcf @@ -0,0 +1,108 @@ + + + + + + SPI + + + 1 + Lattice + iCE40 UltraPlus + iCE40UP5K + All + + 8 + 11111111 + 1 + 0 + + ../../impl_1/neorv32_upduino_v3_impl_1.bin + 11/04/21 21:44:40 + External SPI Flash Memory (SPI FLASH) + Erase,Program,Verify + + + + + 1 + Lattice + iCE40 UltraPlus + iCE40UP5K + 0x11200639 + All + iCE40UP5K + + 8 + 11111111 + 1 + 0 + + Compressed Random Access Memory (CRAM) + Bypass + + + + + + 1 + WinBond + SPI Serial Flash + W25Q32 + 0x15 + 8-pin SOIC + Erase,Program,Verify + ../../impl_1/neorv32_upduino_v3_impl_1.bin + 0x00000000 + 0x003F0000 + 32 + 104156 + 1 + + + + + + 1 + + N:/Projects/neorv32/boards/UPduino_v3/impl_1/neorv32_upduino_v3_impl_1.bin + + + + + + + + + + + SEQUENTIAL + ENTIRED CHAIN + No Override + TLR + TLR + + + 1 + + + USB2 + FTUSB-1 + UPduino v3.0 Location 0002 Serial + + diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/rtl/system_pll.v b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/rtl/system_pll.v new file mode 100644 index 0000000..bb22353 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/rtl/system_pll.v @@ -0,0 +1,222 @@ + +/******************************************************************************* + Verilog netlist generated by IPGEN Lattice Radiant Software (64-bit) + 2.1.0.27.2 + Soft IP Version: 1.0.1 + Wed May 12 22:58:47 2021 +*******************************************************************************/ +/******************************************************************************* + Wrapper Module generated per user settings. +*******************************************************************************/ +module system_pll (ref_clk_i, + rst_n_i, + lock_o, + outcore_o, + outglobal_o) ; + input ref_clk_i ; + input rst_n_i ; + output lock_o ; + output outcore_o ; + output outglobal_o ; + system_pll_ipgen_lscc_pll #(.DIVR("0"), + .FILTER_RANGE("2"), + .FREQUENCY_PIN_REFERENCECLK("24.000000"), + .FEEDBACK_PATH("PHASE_AND_DELAY"), + .EXTERNAL_DIVIDE_FACTOR("NONE"), + .DIVF("0"), + .DELAY_ADJUSTMENT_MODE_FEEDBACK("FIXED"), + .FDA_FEEDBACK("0"), + .SHIFTREG_DIV_MODE("0"), + .PLLOUT_SELECT_PORTA("SHIFTREG_0deg"), + .PLLOUT_SELECT_PORTB("SHIFTREG_0deg"), + .DELAY_ADJUSTMENT_MODE_RELATIVE("FIXED"), + .FDA_RELATIVE("0"), + .DIVQ("3"), + .ENABLE_ICEGATE_PORTA("0"), + .ENABLE_ICEGATE_PORTB("0")) lscc_pll_inst (.ref_clk_i(ref_clk_i), + .rst_n_i(rst_n_i), + .feedback_i(1'b0), + .dynamic_delay_i({4'b0000, + 4'b0000}), + .bypass_i(1'b0), + .latch_i(1'b0), + .lock_o(lock_o), + .outcore_o(outcore_o), + .outglobal_o(outglobal_o), + .outcoreb_o(), + .outglobalb_o(), + .sclk_i(), + .sdi_i(), + .sdo_o()) ; +endmodule + + + +// ============================================================================= +// >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<< +// ----------------------------------------------------------------------------- +// Copyright (c) 2017 by Lattice Semiconductor Corporation +// ALL RIGHTS RESERVED +// ----------------------------------------------------------------------------- +// +// Permission: +// +// Lattice SG Pte. Ltd. grants permission to use this code +// pursuant to the terms of the Lattice Reference Design License Agreement. +// +// +// Disclaimer: +// +// This VHDL or Verilog source code is intended as a design reference +// which illustrates how these types of functions can be implemented. +// It is the user's responsibility to verify their design for +// consistency and functionality through the use of formal +// verification methods. Lattice provides no warranty +// regarding the use or functionality of this code. +// +// ----------------------------------------------------------------------------- +// +// Lattice SG Pte. Ltd. +// 101 Thomson Road, United Square #07-02 +// Singapore 307591 +// +// +// TEL: 1-800-Lattice (USA and Canada) +// +65-6631-2000 (Singapore) +// +1-503-268-8001 (other locations) +// +// web: http://www.latticesemi.com/ +// email: techsupport@latticesemi.com +// +// ----------------------------------------------------------------------------- +// +// ============================================================================= +// FILE DETAILS +// Project : +// File : lscc_pll.v +// Title : +// Dependencies : 1. PLL_B primitive +// Description : iCE40UP Phase-Locked Loop. +// ============================================================================= +// REVISION HISTORY +// Version : 1.0.0. +// Author(s) : +// Mod. Date : 04.20.2017 +// Changes Made : Initial release. +// ============================================================================= +module system_pll_ipgen_lscc_pll #(parameter DIVR = "1", + parameter DIVF = "1", + parameter DIVQ = "1", + parameter FEEDBACK_PATH = "SIMPLE", + parameter FILTER_RANGE = "0", + parameter DELAY_ADJUSTMENT_MODE_FEEDBACK = "FIXED", + parameter FDA_FEEDBACK = "0", + parameter DELAY_ADJUSTMENT_MODE_RELATIVE = "FIXED", + parameter FDA_RELATIVE = "0", + parameter SHIFTREG_DIV_MODE = "0", + parameter PLLOUT_SELECT_PORTA = "SHIFTREG_0deg", + parameter PLLOUT_SELECT_PORTB = "SHIFTREG_0deg", + parameter EXTERNAL_DIVIDE_FACTOR = "NONE", + parameter ENABLE_ICEGATE_PORTA = "0", + parameter ENABLE_ICEGATE_PORTB = "0", + parameter FREQUENCY_PIN_REFERENCECLK = "10.0") ( + // ----------------------------------------------------------------------------- + // Module Parameters + // ----------------------------------------------------------------------------- + // ----------------------------------------------------------------------------- + // Input/Output Ports + // ----------------------------------------------------------------------------- + input ref_clk_i, + input rst_n_i, + input feedback_i, + input [7:0] dynamic_delay_i, + input bypass_i, + input latch_i, + output wire lock_o, + output wire outcore_o, + output wire outglobal_o, + output wire outcoreb_o, + output wire outglobalb_o, + input sclk_i, + input sdi_i, + output wire sdo_o) ; + // ----------------------------------------------------------------------------- + // Wire Declarations + // ----------------------------------------------------------------------------- + wire [7:0] dynamic_delay_w ; + wire feedback_w ; + wire intfbout_w ; + // ----------------------------------------------------------------------------- + // Generate Assign Statements + // ----------------------------------------------------------------------------- + generate + if ((EXTERNAL_DIVIDE_FACTOR != "NONE")) + begin : genblk1 + assign feedback_w = feedback_i ; + end + else + begin : genblk1 + assign feedback_w = intfbout_w ; + end + endgenerate + generate + if ((DELAY_ADJUSTMENT_MODE_FEEDBACK == "FIXED")) + begin : genblk2 + assign dynamic_delay_w[3:0] = 4'b0 ; + end + else + begin : genblk2 + assign dynamic_delay_w[3:0] = dynamic_delay_i[3:0] ; + end + if ((DELAY_ADJUSTMENT_MODE_RELATIVE == "FIXED")) + begin : genblk3 + assign dynamic_delay_w[7:4] = 4'b0 ; + end + else + begin : genblk3 + assign dynamic_delay_w[7:4] = dynamic_delay_i[7:4] ; + end + endgenerate + // ----------------------------------------------------------------------------- + // PLL Primitive Instantiation + // ----------------------------------------------------------------------------- + PLL_B #(.DIVR(DIVR), + .DIVF(DIVF), + .DIVQ(DIVQ), + .FEEDBACK_PATH(FEEDBACK_PATH), + .FILTER_RANGE(FILTER_RANGE), + .DELAY_ADJUSTMENT_MODE_FEEDBACK(DELAY_ADJUSTMENT_MODE_FEEDBACK), + .FDA_FEEDBACK(FDA_FEEDBACK), + .DELAY_ADJUSTMENT_MODE_RELATIVE(DELAY_ADJUSTMENT_MODE_RELATIVE), + .FDA_RELATIVE(FDA_RELATIVE), + .SHIFTREG_DIV_MODE(SHIFTREG_DIV_MODE), + .PLLOUT_SELECT_PORTA(PLLOUT_SELECT_PORTA), + .PLLOUT_SELECT_PORTB(PLLOUT_SELECT_PORTB), + .EXTERNAL_DIVIDE_FACTOR(EXTERNAL_DIVIDE_FACTOR), + .ENABLE_ICEGATE_PORTA(ENABLE_ICEGATE_PORTA), + .ENABLE_ICEGATE_PORTB(ENABLE_ICEGATE_PORTB), + .FREQUENCY_PIN_REFERENCECLK(FREQUENCY_PIN_REFERENCECLK)) u_PLL_B (.REFERENCECLK(ref_clk_i), + .RESET_N(rst_n_i), + .FEEDBACK(feedback_w), + .DYNAMICDELAY7(dynamic_delay_w[7]), + .DYNAMICDELAY6(dynamic_delay_w[6]), + .DYNAMICDELAY5(dynamic_delay_w[5]), + .DYNAMICDELAY4(dynamic_delay_w[4]), + .DYNAMICDELAY3(dynamic_delay_w[3]), + .DYNAMICDELAY2(dynamic_delay_w[2]), + .DYNAMICDELAY1(dynamic_delay_w[1]), + .DYNAMICDELAY0(dynamic_delay_w[0]), + .INTFBOUT(intfbout_w), + .BYPASS(bypass_i), + .LATCH(latch_i), + .OUTCORE(outcore_o), + .OUTGLOBAL(outglobal_o), + .OUTCOREB(outcoreb_o), + .OUTGLOBALB(outglobalb_o), + .LOCK(lock_o), + .SCLK(sclk_i), + .SDI(sdi_i), + .SDO(sdo_o)) ; +endmodule + + diff --git a/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/system_pll.ipx b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/system_pll.ipx new file mode 100644 index 0000000..f0331a3 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/radiant/UPduino_v3/system_pll/system_pll.ipx @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/Libs/RiscV/NEORV32/setups/vivado/README.md b/Libs/RiscV/NEORV32/setups/vivado/README.md new file mode 100644 index 0000000..6d4eae2 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/README.md @@ -0,0 +1,32 @@ +# NEORV32 Xilinx Vivado Example Setups + +## How To Run + +The `create_project.tcl` TCL script in the board subdirectories can be used for creating a complete Vivado project and for running the implementation. +If not already available, this script will create a `work` folder in those subdirectories. + +Note that you may need to install support for your particular development board through "XHub Stores" menu item within Vivado prior to sourcing the `create_project.tcl` script. + +### Batch mode + +Execute `vivado -mode batch -nojournal -nolog -source create_project.tcl` from the board subdir. +The project will be created and implementation will be run until generation of `work/neorv32_test_setup.runs/impl_1/neorv32_test_setup.bit`. + +### GUI + +1. start Vivado (in GUI mode) +2. click on "TCL Console" at the bottom +3. use the console to naviagte to the boards folder. For example: `cd .../neorv32/setups/vivado/arty-a7-test-setup` +4. execute `source create_project.tcl` - this will create the actual Vivado project in `work` +5. when the Vivado project has openend, Implementation will run and a bitstream will be generated. +6. maybe a prompt will notify about it. + +### Programming the Bitstream + +1. open the "Hardware Manager" (maybe a prompt will ask for that) +2. click on "Open target/Auto Connect" +3. click on "Program device" and select `work/neorv32_test_setup.runs/impl_1/neorv32_test_setup.bit`; click "Program" +4. use a serial terminal (like :earth_asia: [Tera Term](https://ttssh2.osdn.jp/index.html.en)) to connect to the USB-UART interface using the following configuration: +19200 Baud, 8 data bits, 1 stop bit, no parity bits, no transmission / flow control protocol (raw bytes only), newline on `\r\n` (carriage return & newline) +5. now you can communicate with the bootloader console and upload a new program. Check out the [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) +and see section "Let's Get It Started" of the :page_facing_up: [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) for further resources. diff --git a/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/.gitignore b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/.gitignore new file mode 100644 index 0000000..97d1942 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/.gitignore @@ -0,0 +1,3 @@ +/vivado* +/.Xil +/work/* diff --git a/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/README.md b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/README.md new file mode 100644 index 0000000..f241c32 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/README.md @@ -0,0 +1,29 @@ +# NEORV32 Test Setup for the Digilent Arty A7-35 FPGA Board + +This setup provides a very simple script-based "demo setup" that allows to check out the NEORV32 processor on the Digilent Arty A7-35 board. +It uses the simplified [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity, which is a wrapper for the actual processor +top entity that provides a minimalistic interface (clock, reset, UART and 4 LEDs). + +* FPGA Board: :books: [Digilent Arty A7-35 FPGA Board](https://reference.digilentinc.com/reference/programmable-logic/arty-a7/reference-manual) +* FPGA: Xilinx Artix-7 `XC7A35TICSG324-1L` +* Toolchain: Xilinx Vivado (tested with Vivado 2019.2) + + +## NEORV32 Configuration + +:information_source: See the top entity [`rtl/test_setups/neorv32_test_setup_bootloader.vhd` ](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) for +configuration and entity details and [`arty_a7_35_test_setup.xdc`](https://github.com/stnolting/neorv32/blob/master/boards/arty-a7-35-test-setup/arty_a7_35_test_setup.xdc) +for the according FPGA pin mapping. + +* CPU: `rv32imcu_Zicsr` + 4 `HPM` (hardware performance monitors) +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (internal DMEM), bootloader ROM +* Peripherals: `GPIO`, `MTIME`, `UART0`, `WDT` +* Tested with version [`1.5.3.3`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 100MHz from on-board oscillator +* Reset: Via dedicated on-board "RESET" button +* GPIO output port `gpio_o` + * bits 0..3 are connected to the green on-board LEDs (LD4 - LD7); LD4 is the bootloader status LED + * bits 4..7 are (not actually used) connected to PMOD `JA` connector pins 1-4 +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the on-board USB-UART chip + + diff --git a/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/arty_a7_test_setup.xdc b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/arty_a7_test_setup.xdc new file mode 100644 index 0000000..23a9757 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/arty_a7_test_setup.xdc @@ -0,0 +1,26 @@ +## This file is a general .xdc for the Arty A7-35 Rev. D + +## For default neorv32_test_setup.vhd top entity + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk_i }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { clk_i }]; + +## LEDs +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[0] }]; #IO_L24N_T3_35 Sch=led[4] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[1] }]; #IO_25_35 Sch=led[5] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6] +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7] + +## Pmod Header JA (unused GPIO outputs) +set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[4] }]; #IO_0_15 Sch=ja[1] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[5] }]; #IO_L4P_T0_15 Sch=ja[2] +set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[6] }]; #IO_L4N_T0_15 Sch=ja[3] +set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[7] }]; #IO_L6P_T0_15 Sch=ja[4] + +## USB-UART Interface +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { uart0_txd_o }]; #IO_L19N_T3_VREF_16 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { uart0_rxd_i }]; #IO_L14N_T2_SRCC_16 Sch=uart_txd_in + +## Misc. +set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { rstn_i }]; #IO_L16P_T2_35 Sch=ck_rst diff --git a/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/create_project.tcl b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/create_project.tcl new file mode 100644 index 0000000..8b8cbb0 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/arty-a7-test-setup/create_project.tcl @@ -0,0 +1,57 @@ +set board "arty-a7-35" + +# Create and clear output directory +set outputdir work +file mkdir $outputdir + +set files [glob -nocomplain "$outputdir/*"] +if {[llength $files] != 0} { + puts "deleting contents of $outputdir" + file delete -force {*}[glob -directory $outputdir *]; # clear folder contents +} else { + puts "$outputdir is empty" +} + +switch $board { + "arty-a7-35" { + set a7part "xc7a35ticsg324-1L" + set a7prj ${board}-test-setup + } +} + +# Create project +create_project -part $a7part $a7prj $outputdir + +set_property board_part digilentinc.com:${board}:part0:1.0 [current_project] +set_property target_language VHDL [current_project] + +# Define filesets + +## Core: NEORV32 +add_files [glob ./../../../rtl/core/*.vhd] ./../../../rtl/core/mem/neorv32_dmem.default.vhd ./../../../rtl/core/mem/neorv32_imem.default.vhd +set_property library neorv32 [get_files [glob ./../../../rtl/core/*.vhd]] +set_property library neorv32 [get_files [glob ./../../../rtl/core/mem/neorv32_*mem.default.vhd]] + +## Design: processor subsystem template, and (optionally) BoardTop and/or other additional sources +set fileset_design ./../../../rtl/test_setups/neorv32_test_setup_bootloader.vhd + +## Constraints +set fileset_constraints [glob ./*.xdc] + +## Simulation-only sources +set fileset_sim [list ./../../../sim/simple/neorv32_tb.simple.vhd ./../../../sim/simple/uart_rx.simple.vhd] + +# Add source files + +## Design +add_files $fileset_design + +## Constraints +add_files -fileset constrs_1 $fileset_constraints + +## Simulation-only +add_files -fileset sim_1 $fileset_sim + +# Run synthesis, implementation and bitstream generation +launch_runs impl_1 -to_step write_bitstream -jobs 4 +wait_on_run impl_1 diff --git a/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/.gitignore b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/.gitignore new file mode 100644 index 0000000..97d1942 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/.gitignore @@ -0,0 +1,3 @@ +/vivado* +/.Xil +/work/* diff --git a/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/README.md b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/README.md new file mode 100644 index 0000000..b41dc10 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/README.md @@ -0,0 +1,29 @@ +# NEORV32 Test Setup for the Digilent Nexys A7 and Nexys 4 DDR FPGA Boards + +This setup provides a very simple script-based "demo setup" that allows to check out the NEORV32 processor on the Digilent Nexys A7 and Nexys 4 DDR boards. +It uses the simplified [`neorv32_test_setup_bootloader.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) top entity, which is a wrapper for the actual processor +top entity that provides a minimalistic interface (clock, reset, UART and 4 LEDs). + +* FPGA Boards: + * :books: [Digilent Nexys A7 FPGA Boards](https://reference.digilentinc.com/reference/programmable-logic/nexys-a7/reference-manual) + * :books: [Digilent Nexys 4 DDR FPGA Board](https://reference.digilentinc.com/reference/programmable-logic/nexys-4-ddr/reference-manual) +* FPGAs: + * Xilinx Artix-7 `XC7A50TCSG324-1` + * Xilinx Artix-7 `XC7A100TCSG324-1` +* Toolchain: Xilinx Vivado (tested with Vivado 2020.2) + + +## NEORV32 Configuration + +:information_source: See the top entity [`rtl/test_setups/neorv32_test_setup_bootloader.vhd` ](https://github.com/stnolting/neorv32/blob/master/rtl/test_setups/neorv32_test_setup_bootloader.vhd) for +configuration and entity details and [`nexys_a7_test_setup.xdc`](https://github.com/AWenzel83/neorv32/blob/nexys_a7_example/boards/nexys-a7-test-setup/nexys_a7_test_setup.xdc) +for the according FPGA pin mapping. + +* CPU: `rv32imcu_Zicsr` + 4 `HPM` (hardware performance monitors) +* Memory: 16kB instruction memory (internal IMEM), 8kB data memory (internal DMEM), bootloader ROM +* Peripherals: `GPIO`, `MTIME`, `UART0`, `WDT` +* Tested with version [`1.5.3.3`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +* Clock: 100MHz from on-board oscillator +* Reset: Via dedicated on-board "RESET" button +* GPIO output port `gpio_o` bits 0..7 are connected to the green on-board LEDs (LD0 - LD7); LD0 is the bootloader status LED +* UART0 signals `uart0_txd_o` and `uart0_rxd_i` are connected to the on-board USB-UART chip diff --git a/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/create_project.tcl b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/create_project.tcl new file mode 100644 index 0000000..6b4f615 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/create_project.tcl @@ -0,0 +1,45 @@ +set board "A7-50" + +# create and clear output directory +set outputdir work +file mkdir $outputdir + +set files [glob -nocomplain "$outputdir/*"] +if {[llength $files] != 0} { + puts "deleting contents of $outputdir" + file delete -force {*}[glob -directory $outputdir *]; # clear folder contents +} else { + puts "$outputdir is empty" +} + +switch $board { + "A7-50" { + set a7part "xc7a50tcsg324-1" + set a7prj "nexys-a7-50-test-setup" + } + "A7-100" { + set a7part "xc7a100tcsg324-1" + set a7prj "nexys-a7-100-test-setup" + } +} + +# create project +create_project -part $a7part $a7prj $outputdir + +# add source files: core sources +add_files [glob ./../../../rtl/core/*.vhd] ./../../../rtl/core/mem/neorv32_dmem.default.vhd ./../../../rtl/core/mem/neorv32_imem.default.vhd +set_property library neorv32 [get_files [glob ./../../../rtl/core/*.vhd]] +set_property library neorv32 [get_files [glob ./../../../rtl/core/mem/neorv32_*mem.default.vhd]] + +# add source file: top entity +add_files [glob ./../../../rtl/test_setups/neorv32_test_setup_bootloader.vhd] + +# add source files: simulation-only +add_files -fileset sim_1 [list ./../../../sim/simple/neorv32_tb.simple.vhd ./../../../sim/simple/uart_rx.simple.vhd] + +# add source files: constraints +add_files -fileset constrs_1 [glob ./*.xdc] + +# run synthesis, implementation and bitstream generation +launch_runs impl_1 -to_step write_bitstream -jobs 4 +wait_on_run impl_1 diff --git a/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/nexys_a7_test_setup.xdc b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/nexys_a7_test_setup.xdc new file mode 100644 index 0000000..c171bc4 --- /dev/null +++ b/Libs/RiscV/NEORV32/setups/vivado/nexys-a7-test-setup/nexys_a7_test_setup.xdc @@ -0,0 +1,23 @@ +## This file is a general .xdc for the Nexys A7 and Nexys 4 DDR +## For default neorv32_test_setup.vhd top entity + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { clk_i }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { clk_i }]; + +## LEDs +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { gpio_o[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] + +## USB-UART Interface +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { uart0_txd_o }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { uart0_rxd_i }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in + +## Misc. +set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { rstn_i }]; #IO_L3P_T0_DQS_AD1P_15 Sch=ck_rst diff --git a/Libs/RiscV/NEORV32/sw/README.md b/Libs/RiscV/NEORV32/sw/README.md new file mode 100644 index 0000000..aebeab4 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/README.md @@ -0,0 +1,55 @@ +# NEORV32 Software Framework + +This folder provides the core of the NEORV32 software framework. +This is a short description of the main folders. + + +## [bootloader](bootloader) + +Source(s) of the default NEORV32 bootloader. +A pre-built image is already installed into the rtl design via the `rtl/core/neorv32_bootloader_image.vhd` file. + + +## [common](common) + +NEORV32-specific common files for all bootloader and application programs: +linker script for executable generation and processor start-up code. + + +## [example](example) + +Several example programs for testing and for getting started. + + +## [image_gen](image_gen) + +This folder contains a simple program that is used to create NEORV32 executables (for upload via bootloader) and VHDL +memory initialization files (for memory-persistent applications and for the bootloader). +This program is automatically compiled using the native GCC when invoking one of the application compilation makefiles. + + +## [isa-test](isa-test) + +NEORV32 RISC-V Architecture Test Framework. +See [sim/README](../sim/README.md). + + +## [lib](lib) + +Core libraries (sources and header files) and helper functions for using the processor peripherals and the CPU itself. + + +## [ocd-firmware](ocd-firmware) + +Firmware (debugger "park loop") for the on-chip debugger. This folder is just for documenting the source code. +Modifying the sources is not recommended as this could break the on-chip debugger. + + +## [openocd](openocd) + +Configuration file for openOCD to connect to the NEORV32 on-chip debugger via JTAG. + + +## [svd](svd) + +Contains a CMSIS-SVD compatible system view description file including _all_ peripherals. diff --git a/Libs/RiscV/NEORV32/sw/bootloader/makefile b/Libs/RiscV/NEORV32/sw/bootloader/makefile new file mode 100644 index 0000000..b34ab61 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/bootloader/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/common/common.mk b/Libs/RiscV/NEORV32/sw/common/common.mk new file mode 100644 index 0000000..074a92f --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/common/common.mk @@ -0,0 +1,368 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# ----------------------------------------------------------------------------- +# USER CONFIGURATION +# ----------------------------------------------------------------------------- +# User's application sources (*.c, *.cpp, *.s, *.S); add additional files here +APP_SRC ?= $(wildcard ./*.c) $(wildcard ./*.s) $(wildcard ./*.cpp) $(wildcard ./*.S) + +# User's application include folders (don't forget the '-I' before each entry) +APP_INC ?= -I . +# User's application include folders - for assembly files only (don't forget the '-I' before each entry) +ASM_INC ?= -I . + +# Optimization +EFFORT ?= -Os + +# Compiler toolchain +RISCV_PREFIX ?= riscv32-unknown-elf- + +# CPU architecture and ABI +MARCH ?= rv32i +MABI ?= ilp32 + +# User flags for additional configuration (will be added to compiler flags) +USER_FLAGS ?= + +# Relative or absolute path to the NEORV32 home folder +NEORV32_HOME ?= ../../.. +NEORV32_LOCAL_RTL ?= $(NEORV32_HOME)/rtl + +# ----------------------------------------------------------------------------- +# NEORV32 framework +# ----------------------------------------------------------------------------- +# Path to NEORV32 linker script and startup file +NEORV32_COM_PATH = $(NEORV32_HOME)/sw/common +# Path to main NEORV32 library include files +NEORV32_INC_PATH = $(NEORV32_HOME)/sw/lib/include +# Path to main NEORV32 library source files +NEORV32_SRC_PATH = $(NEORV32_HOME)/sw/lib/source +# Path to NEORV32 executable generator +NEORV32_EXG_PATH = $(NEORV32_HOME)/sw/image_gen +# Path to NEORV32 core rtl folder +NEORV32_RTL_PATH = $(NEORV32_LOCAL_RTL)/core +# Path to NEORV32 sim folder +NEORV32_SIM_PATH = $(NEORV32_HOME)/sim +# Marker file to check for NEORV32 home folder +NEORV32_HOME_MARKER = $(NEORV32_INC_PATH)/neorv32.h + +# Core libraries (peripheral and CPU drivers) +CORE_SRC = $(wildcard $(NEORV32_SRC_PATH)/*.c) +# Application start-up code +CORE_SRC += $(NEORV32_COM_PATH)/crt0.S + +# Linker script +LD_SCRIPT = $(NEORV32_COM_PATH)/neorv32.ld + +# Main output files +APP_EXE = neorv32_exe.bin +APP_HEX = neorv32_exe.hex +APP_ASM = main.asm +APP_IMG = neorv32_application_image.vhd +BOOT_IMG = neorv32_bootloader_image.vhd + + +# ----------------------------------------------------------------------------- +# Sources and objects +# ----------------------------------------------------------------------------- +# Define all sources +SRC = $(APP_SRC) +SRC += $(CORE_SRC) + +# Define all object files +OBJ = $(SRC:%=%.o) + + +# ----------------------------------------------------------------------------- +# Tools and flags +# ----------------------------------------------------------------------------- +# Compiler tools +CC = $(RISCV_PREFIX)gcc +OBJDUMP = $(RISCV_PREFIX)objdump +OBJCOPY = $(RISCV_PREFIX)objcopy +SIZE = $(RISCV_PREFIX)size + +# Host native compiler +CC_X86 = g++ -Wall -O -g + +# NEORV32 executable image generator +IMAGE_GEN = $(NEORV32_EXG_PATH)/image_gen + +# Compiler & linker flags +CC_OPTS = -march=$(MARCH) -mabi=$(MABI) $(EFFORT) -Wall -ffunction-sections -fdata-sections -nostartfiles -mno-fdiv +CC_OPTS += -Wl,--gc-sections -lm -lc -lgcc -lc +# This accelerates instruction fetch after branches when C extension is enabled (irrelevant when C extension is disabled) +CC_OPTS += -falign-functions=4 -falign-labels=4 -falign-loops=4 -falign-jumps=4 +CC_OPTS += $(USER_FLAGS) + + +# ----------------------------------------------------------------------------- +# Application output definitions +# ----------------------------------------------------------------------------- +.PHONY: check info help elf_info clean clean_all bootloader +.DEFAULT_GOAL := help + +# 'compile' is still here for compatibility +exe: $(APP_ASM) $(APP_EXE) +hex: $(APP_ASM) $(APP_HEX) +compile: $(APP_ASM) $(APP_EXE) +image: $(APP_ASM) $(APP_IMG) +install: image install-$(APP_IMG) +all: $(APP_ASM) $(APP_EXE) $(APP_IMG) $(APP_HEX) install + +# Check if making bootloader +# Use different base address and length for instruction memory/"rom" (BOOTROM instead of IMEM) +# Also define "make_bootloader" symbol for crt0.S +target bootloader: CC_OPTS += -Wl,--defsym=make_bootloader=1 -Dmake_bootloader +target bl_image: CC_OPTS += -Wl,--defsym=make_bootloader=1 -Dmake_bootloader + + +# ----------------------------------------------------------------------------- +# Image generator targets +# ----------------------------------------------------------------------------- +# install/compile tools +$(IMAGE_GEN): $(NEORV32_EXG_PATH)/image_gen.c + @echo Compiling $(IMAGE_GEN) + @$(CC_X86) $< -o $(IMAGE_GEN) + + +# ----------------------------------------------------------------------------- +# General targets: Assemble, compile, link, dump +# ----------------------------------------------------------------------------- +# Compile app *.s sources (assembly) +%.s.o: %.s + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(ASM_INC) $< -o $@ + +# Compile app *.S sources (assembly + C pre-processor) +%.S.o: %.S + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(ASM_INC) $< -o $@ + +# Compile app *.c sources +%.c.o: %.c + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(APP_INC) $< -o $@ + +# Compile app *.cpp sources +%.cpp.o: %.cpp + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(APP_INC) $< -o $@ + +# Link object files and show memory utilization +main.elf: $(OBJ) + @$(CC) $(CC_OPTS) -T $(LD_SCRIPT) $(OBJ) -o $@ -lm + @echo "Memory utilization:" + @$(SIZE) main.elf + +# Assembly listing file (for debugging) +$(APP_ASM): main.elf + @$(OBJDUMP) -d -S -z $< > $@ + +# Generate final executable from .text + .rodata + .data (in THIS order!) +main.bin: main.elf $(APP_ASM) + @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.bin + @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.bin + @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.bin + @cat text.bin rodata.bin data.bin > $@ + @rm -f text.bin rodata.bin data.bin + + +# ----------------------------------------------------------------------------- +# Application targets: Generate binary executable, install (as VHDL file) +# ----------------------------------------------------------------------------- +# Generate NEORV32 executable image for upload via bootloader +$(APP_EXE): main.bin $(IMAGE_GEN) + @set -e + @$(IMAGE_GEN) -app_bin $< $@ $(shell basename $(CURDIR)) + @echo "Executable ($(APP_EXE)) size in bytes:" + @wc -c < $(APP_EXE) + +# Generate NEORV32 executable VHDL boot image +$(APP_IMG): main.bin $(IMAGE_GEN) + @set -e + @$(IMAGE_GEN) -app_img $< $@ $(shell basename $(CURDIR)) + +install-$(APP_IMG): $(APP_IMG) + @set -e + @echo "Installing application image to $(NEORV32_RTL_PATH)/$(APP_IMG)" + @cp $(APP_IMG) $(NEORV32_RTL_PATH)/. + +# Generate NEORV32 executable image in plain hex format +$(APP_HEX): main.bin $(IMAGE_GEN) + @set -e + @$(IMAGE_GEN) -app_hex $< $@ $(shell basename $(CURDIR)) + + +# ----------------------------------------------------------------------------- +# Bootloader targets +# ----------------------------------------------------------------------------- +# Create and install bootloader VHDL init image +$(BOOT_IMG): main.bin $(IMAGE_GEN) + @set -e + @$(IMAGE_GEN) -bld_img $< $(BOOT_IMG) $(shell basename $(CURDIR)) + +install-$(BOOT_IMG): $(BOOT_IMG) + @set -e + @echo "Installing bootloader image to $(NEORV32_RTL_PATH)/$(BOOT_IMG)" + @cp $(BOOT_IMG) $(NEORV32_RTL_PATH)/. + +# Just an alias +bl_image: $(BOOT_IMG) +bootloader: bl_image install-$(BOOT_IMG) + + +# ----------------------------------------------------------------------------- +# Check toolchain +# ----------------------------------------------------------------------------- +check: $(IMAGE_GEN) + @echo "---------------- Check: NEORV32_HOME folder ----------------" +ifneq ($(shell [ -e $(NEORV32_HOME_MARKER) ] && echo 1 || echo 0 ), 1) +$(error NEORV32_HOME folder not found!) +endif + @echo "NEORV32_HOME: $(NEORV32_HOME)" + @echo "---------------- Check: $(CC) ----------------" + @$(CC) -v + @echo "---------------- Check: $(OBJDUMP) ----------------" + @$(OBJDUMP) -V + @echo "---------------- Check: $(OBJCOPY) ----------------" + @$(OBJCOPY) -V + @echo "---------------- Check: $(SIZE) ----------------" + @$(SIZE) -V + @echo "---------------- Check: NEORV32 image_gen ----------------" + @$(IMAGE_GEN) -help + @echo "---------------- Check: Native GCC ----------------" + @$(CC_X86) -v + @echo + @echo "Toolchain check OK" + + +# ----------------------------------------------------------------------------- +# Show configuration +# ----------------------------------------------------------------------------- +info: + @echo "---------------- Info: Project ----------------" + @echo "Project folder: $(shell basename $(CURDIR))" + @echo "Source files: $(APP_SRC)" + @echo "Include folder(s): $(APP_INC)" + @echo "ASM include folder(s): $(ASM_INC)" + @echo "---------------- Info: NEORV32 ----------------" + @echo "NEORV32 home folder (NEORV32_HOME): $(NEORV32_HOME)" + @echo "IMAGE_GEN: $(IMAGE_GEN)" + @echo "Core source files:" + @echo "$(CORE_SRC)" + @echo "Core include folder:" + @echo "$(NEORV32_INC_PATH)" + @echo "---------------- Info: Objects ----------------" + @echo "Project object files:" + @echo "$(OBJ)" + @echo "---------------- Info: RISC-V CPU ----------------" + @echo "MARCH: $(MARCH)" + @echo "MABI: $(MABI)" + @echo "---------------- Info: Toolchain ----------------" + @echo "Toolchain: $(RISCV_TOLLCHAIN)" + @echo "CC: $(CC)" + @echo "OBJDUMP: $(OBJDUMP)" + @echo "OBJCOPY: $(OBJCOPY)" + @echo "SIZE: $(SIZE)" + @echo "---------------- Info: Compiler Configuration ----------------" + @$(CC) -v + @echo "---------------- Info: Compiler Libraries ----------------" + @echo "LIBGCC:" + @$(CC) -print-libgcc-file-name + @echo "SEARCH-DIRS:" + @$(CC) -print-search-dirs + @echo "---------------- Info: Flags ----------------" + @echo "USER_FLAGS: $(USER_FLAGS)" + @echo "CC_OPTS: $(CC_OPTS)" + @echo "---------------- Info: Host Native GCC Flags ----------------" + @echo "CC_X86: $(CC_X86)" + + +# ----------------------------------------------------------------------------- +# In-console simulation using default/simple testbench and GHDL +# ----------------------------------------------------------------------------- +sim: $(APP_IMG) install + @echo "Simulating $(APP_IMG)..." + @sh $(NEORV32_SIM_PATH)/simple/ghdl.sh + +# ----------------------------------------------------------------------------- +# Show final ELF details (just for debugging) +# ----------------------------------------------------------------------------- +elf_info: main.elf + @$(OBJDUMP) -x main.elf + + +# ----------------------------------------------------------------------------- +# Help +# ----------------------------------------------------------------------------- +help: + @echo "<<< NEORV32 SW Application Makefile >>>" + @echo "Make sure to add the bin folder of RISC-V GCC to your PATH variable." + @echo "" + @echo "== Targets ==" + @echo " help - show this text" + @echo " check - check toolchain" + @echo " info - show makefile/toolchain configuration" + @echo " exe - compile and generate executable for upload via bootloader" + @echo " hex - compile and generate executable raw file" + @echo " image - compile and generate VHDL IMEM boot image (for application) in local folder" + @echo " install - compile, generate and install VHDL IMEM boot image (for application)" + @echo " sim - in-console simulation using default/simple testbench and GHDL" + @echo " all - exe + hex + install" + @echo " elf_info - show ELF layout info" + @echo " clean - clean up project" + @echo " clean_all - clean up project, core libraries and image generator" + @echo " bl_image - compile and generate VHDL BOOTROM boot image (for bootloader only!) in local folder" + @echo " bootloader - compile, generate and install VHDL BOOTROM boot image (for bootloader only!)" + @echo "" + @echo "== Variables ==" + @echo " USER_FLAGS - Custom toolchain flags [append only], default \"$(USER_FLAGS)\"" + @echo " EFFORT - Optimization level, default \"$(EFFORT)\"" + @echo " MARCH - Machine architecture, default \"$(MARCH)\"" + @echo " MABI - Machine binary interface, default \"$(MABI)\"" + @echo " APP_INC - C include folder(s) [append only], default \"$(APP_INC)\"" + @echo " ASM_INC - ASM include folder(s) [append only], default \"$(ASM_INC)\"" + @echo " RISCV_PREFIX - Toolchain prefix, default \"$(RISCV_PREFIX)\"" + @echo " NEORV32_HOME - NEORV32 home folder, default \"$(NEORV32_HOME)\"" + @echo "" + + +# ----------------------------------------------------------------------------- +# Clean up +# ----------------------------------------------------------------------------- +clean: + @rm -f *.elf *.o *.bin *.out *.asm *.vhd *.hex + +clean_all: clean + @rm -f $(OBJ) $(IMAGE_GEN) diff --git a/Libs/RiscV/NEORV32/sw/common/crt0.S b/Libs/RiscV/NEORV32/sw/common/crt0.S new file mode 100644 index 0000000..1c65664 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/common/crt0.S @@ -0,0 +1,261 @@ +/* ################################################################################################# */ +/* # << NEORV32 - crt0.S - Start-Up Code >> # */ +/* # ********************************************************************************************* # */ +/* # BSD 3-Clause License # */ +/* # # */ +/* # Copyright (c) 2021, Stephan Nolting. All rights reserved. # */ +/* # # */ +/* # Redistribution and use in source and binary forms, with or without modification, are # */ +/* # permitted provided that the following conditions are met: # */ +/* # # */ +/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ +/* # conditions and the following disclaimer. # */ +/* # # */ +/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ +/* # conditions and the following disclaimer in the documentation and/or other materials # */ +/* # provided with the distribution. # */ +/* # # */ +/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ +/* # endorse or promote products derived from this software without specific prior written # */ +/* # permission. # */ +/* # # */ +/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ +/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ +/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ +/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ +/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ +/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ +/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ +/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ +/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ +/* # ********************************************************************************************* # */ +/* # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # */ +/* ################################################################################################# */ + +.file "crt0.S" +.section .text.boot +.balign 4 +.global _start + + +_start: +.cfi_startproc +.cfi_undefined ra + + +// ************************************************************************************************ +// This is the very first instruction that is executed after hardware reset. It ensures that x0 is +// written at least once - the CPU HW will ensure it is always set to zero on any write access. +// ************************************************************************************************ + lui zero, 0 // "dummy" instruction that uses no reg-file input operands at all + + +// ************************************************************************************************ +// Setup pointers using linker script symbols +// ************************************************************************************************ +__crt0_pointer_init: + .option push + .option norelax + + la sp, __crt0_stack_begin // stack pointer + la gp, __global_pointer$ // global pointer + + .option pop + + +// ************************************************************************************************ +// Setup CPU core CSRs (some of them DO NOT have a dedicated +// reset and need to be explicitly initialized) +// ************************************************************************************************ +__crt0_cpu_csr_init: + + la x10, __crt0_dummy_trap_handler // configure early trap handler + csrw mtvec, x10 + csrw mepc, x10 // just to init mepc + + csrw mstatus, zero // disable global IRQ + + csrw mie, zero // absolutely no interrupts sources, thanks + + csrw mcounteren, zero // no access from less-privileged modes to counter CSRs + + li x11, ~5 // stop all counters except for [m]cycle[h] and [m]instret[h] + csrw 0x320, x11 // = mcountinhibit (literal address for lagacy toolchain compatibility) + + csrw mcycle, zero // reset cycle counters + csrw mcycleh, zero + csrw minstret, zero // reset instruction counters + csrw minstreth, zero + + +// ************************************************************************************************ +// Initialize integer register file (lower half) +// ************************************************************************************************ +__crt0_reg_file_clear: +//addi x0, x0, 0 // hardwired to zero + addi x1, x0, 0 +//addi x2, x0, 0 // stack pointer sp +//addi x3, x0, 0 // global pointer gp + addi x4, x0, 0 + addi x5, x0, 0 + addi x6, x0, 0 + addi x7, x0, 0 +//addi x8, x0, 0 // implicitly initialized within crt0 +//addi x9, x0, 0 // implicitly initialized within crt0 +//addi x10, x0, 0 // implicitly initialized within crt0 +//addi x11, x0, 0 // implicitly initialized within crt0 +//addi x12, x0, 0 // implicitly initialized within crt0 +//addi x13, x0, 0 // implicitly initialized within crt0 + addi x14, x0, 0 + addi x15, x0, 0 + + +// ************************************************************************************************ +// Initialize integer register file (upper half, if no E extension) +// ************************************************************************************************ +#ifndef __riscv_32e +// do not do this if compiling bootloader (to save some program space) +#ifndef make_bootloader + addi x16, x0, 0 + addi x17, x0, 0 + addi x18, x0, 0 + addi x19, x0, 0 + addi x20, x0, 0 + addi x21, x0, 0 + addi x22, x0, 0 + addi x23, x0, 0 + addi x24, x0, 0 + addi x25, x0, 0 + addi x26, x0, 0 + addi x27, x0, 0 + addi x28, x0, 0 + addi x29, x0, 0 + addi x30, x0, 0 + addi x31, x0, 0 +#endif +#endif + + +// ************************************************************************************************ +// Reset/deactivate IO/peripheral devices +// Devices, that are not implemented, will cause a store bus access fault +// which is captured (but actually ignored) by the dummy trap handler. +// ************************************************************************************************ +__crt0_reset_io: + la x8, __ctr0_io_space_begin // start of processor-internal IO region + la x9, __ctr0_io_space_end // end of processor-internal IO region + +__crt0_reset_io_loop: + sw zero, 0(x8) + addi x8, x8, 4 + bne x8, x9, __crt0_reset_io_loop + + +// ************************************************************************************************ +// Clear .bss section (byte-wise) using linker script symbols +// ************************************************************************************************ +__crt0_clear_bss: + la x11, __crt0_bss_start + la x12, __crt0_bss_end + +__crt0_clear_bss_loop: + bge x11, x12, __crt0_clear_bss_loop_end + sb zero, 0(x11) + addi x11, x11, 1 + j __crt0_clear_bss_loop + +__crt0_clear_bss_loop_end: + + +// ************************************************************************************************ +// Copy initialized .data section from ROM to RAM (byte-wise) using linker script symbols +// ************************************************************************************************ +__crt0_copy_data: + la x11, __crt0_copy_data_src_begin // start of data area (copy source) + la x12, __crt0_copy_data_dst_begin // start of data area (copy destination) + la x13, __crt0_copy_data_dst_end // last address of destination data area + +__crt0_copy_data_loop: + bge x12, x13, __crt0_copy_data_loop_end + lb x14, 0(x11) + sb x14, 0(x12) + addi x11, x11, 1 + addi x12, x12, 1 + j __crt0_copy_data_loop + +__crt0_copy_data_loop_end: + + +// ************************************************************************************************ +// Setup arguments and call main function +// ************************************************************************************************ +__crt0_main_entry: + addi x10, zero, 0 // a0 = argc = 0 + addi x11, zero, 0 // a1 = argv = 0 + jal ra, main // call actual app's main function, this "should" not return + + +// ************************************************************************************************ +// call "after main" handler (if there is any) if main really returns +// ************************************************************************************************ +__crt0_main_aftermath: + csrw mscratch, a0 // copy main's return code in mscratch for debugger + +#ifndef make_bootloader // after_main handler not supported for bootloader + .weak __neorv32_crt0_after_main + la ra, __neorv32_crt0_after_main + beqz ra, __crt0_main_aftermath_end // check if an aftermath handler has been specified + jalr ra // execute handler, main's return code in a0 +#endif + + +// ************************************************************************************************ +// go to endless sleep mode +// ************************************************************************************************ +__crt0_main_aftermath_end: + csrci mstatus, 8 // mstatus: disable global IRQs (mstatus.mie) +__crt0_main_aftermath_end_loop: + wfi // try to go to sleep mode + j __crt0_main_aftermath_end_loop // endless loop + + +// ************************************************************************************************ +// dummy trap handler (for exceptions & IRQs during very early boot stage) +// does nothing but tries to move on to next instruction +// ************************************************************************************************ +.balign 4 +__crt0_dummy_trap_handler: + + addi sp, sp, -8 + sw x8, 0(sp) + sw x9, 4(sp) + + csrr x8, mcause + blt x8, zero, __crt0_dummy_trap_handler_irq // skip mepc modification if interrupt + + csrr x8, mepc + +__crt0_dummy_trap_handler_exc_c_check: // is compressed instruction? + lh x9, 0(x8) // get compressed instruction or lower 16 bits of uncompressed instruction that caused exception + andi x9, x9, 3 // mask: isolate lowest 2 opcode bits (= 11 for uncompressed instructions) + + addi x8, x8, +2 // only this for compressed instructions + csrw mepc, x8 // set return address when compressed instruction + + addi x8, zero, 3 + bne x8, x9, __crt0_dummy_trap_handler_irq // jump if compressed instruction + +__crt0_dummy_trap_handler_exc_uncrompressed: // is uncompressed instruction! + csrr x8, mepc + addi x8, x8, +2 // add another 2 (making +4) for uncompressed instructions + csrw mepc, x8 + +__crt0_dummy_trap_handler_irq: + lw x8, 0(sp) + lw x9, 4(sp) + addi sp, sp, +8 + + mret + +.cfi_endproc +.end diff --git a/Libs/RiscV/NEORV32/sw/common/neorv32.ld b/Libs/RiscV/NEORV32/sw/common/neorv32.ld new file mode 100644 index 0000000..ed20dcd --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/common/neorv32.ld @@ -0,0 +1,309 @@ +/* ################################################################################################# */ +/* # << NEORV32 - RISC-V GCC Linker Script >> # */ +/* # ********************************************************************************************* # */ +/* # BSD 3-Clause License # */ +/* # # */ +/* # Copyright (c) 2021, Stephan Nolting. All rights reserved. # */ +/* # # */ +/* # Redistribution and use in source and binary forms, with or without modification, are # */ +/* # permitted provided that the following conditions are met: # */ +/* # # */ +/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ +/* # conditions and the following disclaimer. # */ +/* # # */ +/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ +/* # conditions and the following disclaimer in the documentation and/or other materials # */ +/* # provided with the distribution. # */ +/* # # */ +/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ +/* # endorse or promote products derived from this software without specific prior written # */ +/* # permission. # */ +/* # # */ +/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ +/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ +/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ +/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ +/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ +/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ +/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ +/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ +/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ +/* # ********************************************************************************************* # */ +/* # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # */ +/* ################################################################################################# */ + +/* Default linker script, for normal executables */ +/* Copyright (C) 2014-2020 Free Software Foundation, Inc. + Copying and distribution of this script, with or without modification, + are permitted in any medium without royalty provided the copyright + notice and this notice are preserved. */ + +/* modified for the NEORV32 processor by Stephan Nolting */ + + +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(_start) +SEARCH_DIR("/opt/riscv/riscv32-unknown-elf/lib"); SEARCH_DIR("=/opt/riscv/riscv64-unknown-linux-gnu/lib"); SEARCH_DIR("=/usr/local/lib"); SEARCH_DIR("=/lib"); SEARCH_DIR("=/usr/lib"); + +/* ************************************************************************** */ +/* NEORV32 memory section configuration. */ +/* ************************************************************************** */ +/* "ram" : data memory (int/ext DMEM) - make sure this is sync with the HW! */ +/* "rom" : instruction memory (int/ext IMEM or bootloader ROM) */ +/* "iodev" : peripheral/IO devices */ +/* ************************************************************************** */ +MEMORY +{ +/* section base addresses and sizes have to be a multiple of 4 bytes */ +/* ram section: first value of LENGTH => data memory used by bootloader (fixed!); second value of LENGTH => *physical* size of data memory */ +/* adapt the right-most value to match the *total physical data memory size* of your setup */ + + ram (rwx) : ORIGIN = 0x80000000, LENGTH = DEFINED(make_bootloader) ? 512 : 8*1024 + +/* rom and iodev sections should NOT be modified by the user at all! */ +/* rom section: first value of ORIGIN/LENGTH => bootloader ROM; second value of ORIGIN/LENGTH => maximum *logical* size of instruction memory */ + + rom (rx) : ORIGIN = DEFINED(make_bootloader) ? 0xFFFF0000 : 0x00000000, LENGTH = DEFINED(make_bootloader) ? 32K : 2048M + iodev (rw) : ORIGIN = 0xFFFFFE00, LENGTH = 512 + +} +/* ************************************************************************* */ + +SECTIONS +{ + /* start section on WORD boundary */ + . = ALIGN(4); + + + /* Actual instructions */ + .text : + { + PROVIDE(__text_start = .); + PROVIDE(__textstart = .); + + PROVIDE_HIDDEN (__rela_iplt_start = .); + *(.rela.iplt) + PROVIDE_HIDDEN (__rela_iplt_end = .); + + *(.rela.plt) + + KEEP(*(.text.boot)); /* keep start-up code at the beginning of rom */ + + KEEP (*(SORT_NONE(.init))) + + *(.text.unlikely .text.*_unlikely .text.unlikely.*) + *(.text.exit .text.exit.*) + *(.text.startup .text.startup.*) + *(.text.hot .text.hot.*) + *(SORT(.text.sorted.*)) + *(.text .stub .text.* .gnu.linkonce.t.*) + /* .gnu.warning sections are handled specially by elf.em. */ + *(.gnu.warning) + + KEEP (*(SORT_NONE(.fini))) + + /* gcc uses crtbegin.o to find the start of + the constructors, so we make sure it is + first. Because this is a wildcard, it + doesn't matter if the user does not + actually link against crtbegin.o; the + linker won't look for a file to match a + wildcard. The wildcard also means that it + doesn't matter which directory crtbegin.o + is in. */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*crtbegin?.o(.ctors)) + /* We don't want to include the .ctor section from + the crtend.o file until after the sorted ctors. + The .ctor section from the crtend file contains the + end of ctors marker and it must be last */ + KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + + KEEP (*crtbegin.o(.dtors)) + KEEP (*crtbegin?.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + + /* finish section on WORD boundary */ + . = ALIGN(4); + + PROVIDE (__etext = .); + PROVIDE (_etext = .); + PROVIDE (etext = .); + } > rom + + + /* read-only data, appended to .text */ + .rodata : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) + KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) + PROVIDE_HIDDEN (__init_array_end = .); + + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) + KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) + PROVIDE_HIDDEN (__fini_array_end = .); + + *(.rodata .rodata.* .gnu.linkonce.r.*) + *(.rodata1) + + /* finish section on WORD boundary */ + . = ALIGN(4); + } > rom + + + /* initialized read/write data, accessed in RAM, placed in ROM, copied during boot */ + .data : + { + __DATA_BEGIN__ = .; + __SDATA_BEGIN__ = .; + *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) + *(.data1) + *(.data .data.* .gnu.linkonce.d.*) + SORT(CONSTRUCTORS) + + *(.data.rel.ro.local* .gnu.linkonce.d.rel.ro.local.*) *(.data.rel.ro .data.rel.ro.* .gnu.linkonce.d.rel.ro.*) + *(.dynamic) + + /* We want the small data sections together, so single-instruction offsets + can access them all, and initialized data all before uninitialized, so + we can shorten the on-disk segment size. */ + + *(.srodata.cst16) *(.srodata.cst8) *(.srodata.cst4) *(.srodata.cst2) *(.srodata .srodata.*) + *(.sdata .sdata.* .gnu.linkonce.s.*) + + PROVIDE_HIDDEN (__tdata_start = .); + *(.tdata .tdata.* .gnu.linkonce.td.*) + + + /* finish section on WORD boundary */ + . = ALIGN(4); + + _edata = .; PROVIDE (edata = .); + . = .; + + } > ram AT > rom + + + /* zero/non-initialized read/write data placed in RAM */ + .bss (NOLOAD): + { + __bss_start = .; + *(.dynsbss) + *(.sbss .sbss.* .gnu.linkonce.sb.*) + *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) + *(.tbss .tbss.* .gnu.linkonce.tb.*) *(.tcommon) + *(.scommon) + *(.dynbss) + *(.bss .bss.* .gnu.linkonce.b.*) + + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array)) + PROVIDE_HIDDEN (__preinit_array_end = .); + + *(COMMON) + /* Align here to ensure that the .bss section occupies space up to + _end. Align after .bss to ensure correct alignment even if the + .bss section disappears because there are no input sections. + FIXME: Why do we need it? When there is no .bss section, we do not + pad the .data section. */ + . = ALIGN(. != 0 ? 32 / 8 : 1); + + . = ALIGN(32 / 8); + __BSS_END__ = .; + __global_pointer$ = MIN(__SDATA_BEGIN__ + 0x800, MAX(__DATA_BEGIN__ + 0x800, __BSS_END__ - 0x800)); + _end = .; PROVIDE (end = .); + } > ram + + + /* Yet unused */ + .jcr : { KEEP (*(.jcr)) } + .got : { *(.got.plt) *(.igot.plt) *(.got) *(.igot) } .interp : { *(.interp) } + .note.gnu.build-id : { *(.note.gnu.build-id) } + .hash : { *(.hash) } + .gnu.hash : { *(.gnu.hash) } + .dynsym : { *(.dynsym) } + .dynstr : { *(.dynstr) } + .gnu.version : { *(.gnu.version) } + .gnu.version_d : { *(.gnu.version_d) } + .gnu.version_r : { *(.gnu.version_r) } + .rela.init : { *(.rela.init) } + .rela.text : { *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) } + .rela.fini : { *(.rela.fini) } + .rela.rodata : { *(.rela.rodata .rela.rodata.* .rela.gnu.linkonce.r.*) } + .rela.data.rel.ro : { *(.rela.data.rel.ro .rela.data.rel.ro.* .rela.gnu.linkonce.d.rel.ro.*) } + .rela.data : { *(.rela.data .rela.data.* .rela.gnu.linkonce.d.*) } + .rela.tdata : { *(.rela.tdata .rela.tdata.* .rela.gnu.linkonce.td.*) } + .rela.tbss : { *(.rela.tbss .rela.tbss.* .rela.gnu.linkonce.tb.*) } + .rela.ctors : { *(.rela.ctors) } + .rela.dtors : { *(.rela.dtors) } + .rela.got : { *(.rela.got) } + .rela.sdata : { *(.rela.sdata .rela.sdata.* .rela.gnu.linkonce.s.*) } + .rela.sbss : { *(.rela.sbss .rela.sbss.* .rela.gnu.linkonce.sb.*) } + .rela.sdata2 : { *(.rela.sdata2 .rela.sdata2.* .rela.gnu.linkonce.s2.*) } + .rela.sbss2 : { *(.rela.sbss2 .rela.sbss2.* .rela.gnu.linkonce.sb2.*) } + .rela.bss : { *(.rela.bss .rela.bss.* .rela.gnu.linkonce.b.*) } + + + /* Stabs debugging sections. */ + .stab 0 : { *(.stab) } + .stabstr 0 : { *(.stabstr) } + .stab.excl 0 : { *(.stab.excl) } + .stab.exclstr 0 : { *(.stab.exclstr) } + .stab.index 0 : { *(.stab.index) } + .stab.indexstr 0 : { *(.stab.indexstr) } + .comment 0 : { *(.comment) } + .gnu.build.attributes : { *(.gnu.build.attributes .gnu.build.attributes.*) } + /* DWARF debug sections. + Symbols in the DWARF debugging sections are relative to the beginning + of the section so we begin them at 0. */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line .debug_line.* .debug_line_end) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + /* DWARF 3 */ + .debug_pubtypes 0 : { *(.debug_pubtypes) } + .debug_ranges 0 : { *(.debug_ranges) } + /* DWARF Extension. */ + .debug_macro 0 : { *(.debug_macro) } + .debug_addr 0 : { *(.debug_addr) } + .gnu.attributes 0 : { KEEP (*(.gnu.attributes)) } + /DISCARD/ : { *(.note.GNU-stack) *(.gnu_debuglink) *(.gnu.lto_*) } + + + /* Provide symbols for neorv32 crt0 start-up code */ + PROVIDE(__ctr0_imem_begin = ORIGIN(rom)); + PROVIDE(__ctr0_dmem_begin = ORIGIN(ram)); + PROVIDE(__crt0_stack_begin = (ORIGIN(ram) + LENGTH(ram)) - 4); + PROVIDE(__crt0_bss_start = __bss_start); + PROVIDE(__crt0_bss_end = __BSS_END__); + PROVIDE(__crt0_copy_data_src_begin = __etext + SIZEOF(.rodata)); + PROVIDE(__crt0_copy_data_dst_begin = __DATA_BEGIN__); + PROVIDE(__crt0_copy_data_dst_end = __DATA_BEGIN__ + SIZEOF(.data)); + PROVIDE(__ctr0_io_space_begin = ORIGIN(iodev)); + PROVIDE(__ctr0_io_space_end = ORIGIN(iodev) + LENGTH(iodev)); +} diff --git a/Libs/RiscV/NEORV32/sw/example/bitmanip_test/README.md b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/README.md new file mode 100644 index 0000000..7e9dae0 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/README.md @@ -0,0 +1,13 @@ +# NEORV32 Bit-Manipulation `B` Extension + +:warning: The RISC-V bit-manipulation extension is frozen but not yet officially ratified. + +:warning: The NEORV32 bit manipulation extensions `B` only supports the `Zbb` and `Zba` sub-extension +(basic bit-manipulation operation) yet. + +The provided test program `main.c` verifies all currently implemented instruction by checking the results against a pure-software emulation model. +The emulation functions as well as the available **intrinsics** for the sub-extension are located in `neorv32_b_extension_intrinsics.h`. + +:information_source: More information regarding the RISC-V bit manipulation extension can be found in the officail GitHub repo: +[github.com/riscv/riscv-bitmanip](https://github.com/riscv/riscv-bitmanip). +The specification of the bit-manipulation spec supported by the NEORV32 can be found in `docs/references/bitmanip-draft.pdf`. diff --git a/Libs/RiscV/NEORV32/sw/example/bitmanip_test/makefile b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h new file mode 100644 index 0000000..2ae53eb --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/bitmanip_test/neorv32_b_extension_intrinsics.h @@ -0,0 +1,903 @@ +// ################################################################################################# +// # << NEORV32 - Intrinsics + Emulation Functions for the CPU B extension >> # +// # ********************************************************************************************* # +// # The intrinsics provided by this library allow to use the hardware bit manipulation unit of # +// # the RISC-V B CPU extension without the need for support by the compiler. # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file bitmanip_test/neorv32_b_extension_intrinsics.h + * @author Stephan Nolting + * @brief "Intrinsic" library for the NEORV32 bit manipulation B extension. + * Also provides emulation functions for all intrinsics (functionality re-built in pure software). + * + * @warning This library is just a temporary fall-back until the B extension is supported by the upstream RISC-V GCC port. + **************************************************************************/ + +#ifndef neorv32_b_extension_intrinsics_h +#define neorv32_b_extension_intrinsics_h + + +// ################################################################################################ +// "Intrinsics" +// ################################################################################################ + + +// ================================================================================================ +// Zbb - Base instructions +// ================================================================================================ + +/**********************************************************************//** + * Intrinsic: Bit manipulation CLZ (count leading zeros) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of leading zeros in source operand. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_clz(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // clz a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b00000, a0, 0b001, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation CTZ (count trailing zeros) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of trailing zeros in source operand. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_ctz(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // ctz a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b00001, a0, 0b001, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation CPOP (count set bits) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of set bits in source operand. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_cpop(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // cpop a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b00010, a0, 0b001, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation SEXT.B (sign-extend byte) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Sign extended byte (operand(7:0)). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_sextb(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // sext.b a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b00100, a0, 0b001, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation SEXT.H (sign-extend half-word) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Sign-extended half-word (operand(15:0)). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_sexth(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // sext.h a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b00101, a0, 0b001, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ZEXT.H (zero-extend half-word) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Zero-extended half-word (operand(15:0)). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_zexth(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // sext.h a0, a0 + CUSTOM_INSTR_R1_TYPE(0b0000100, 0b00000, a0, 0b100, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MIN (select signed minimum) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Signed minimum. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_min(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // min a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000101, a1, a0, 0b100, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MINU (select unsigned minimum) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Unsigned minimum. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_minu(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // minu a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000101, a1, a0, 0b101, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MAX (select signed maximum) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Signed maximum. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_max(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // max a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000101, a1, a0, 0b110, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MAXU (select unsigned maximum) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Unsigned maximum. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_maxu(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // maxu a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000101, a1, a0, 0b111, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ANDN (logical and-negate) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 1 AND NOT operand 2. + **************************************************************************/ +inline inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_andn(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // andn a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0100000, a1, a0, 0b111, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ORN (logical or-negate) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 1 OR NOT operand 2. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_orn(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // orn a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0100000, a1, a0, 0b110, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation XNOR (logical xor-negate) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 1 XOR NOT operand 2. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_xnor(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // xnor a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0100000, a1, a0, 0b100, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ROL (rotate-left) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 1 rotated left by operand_2(4:0) positions. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_rol(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // rol a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0110000, a1, a0, 0b001, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ROR (rotate-right) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 1 rotated right by operand_2(4:0) positions. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_ror(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // ror a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0110000, a1, a0, 0b101, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation RORI (rotate-right) by 20 positions. [B.Zbb] + * @warning Fixed shift amount (20) for now. + * + * @param[in] rs1 Source operand 1 (a0). + * @return Operand 1 rotated right by 20 positions. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_rori20(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // rori a0, a0, 20 + CUSTOM_INSTR_R1_TYPE(0b0110000, 0b10100, a0, 0b101, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ORC.B (or-combine byte) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return OR-combined bytes of operand 1. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_orcb(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // gorci a0, a0, 7 (pseudo-instruction: orc.b a0, a0) + CUSTOM_INSTR_R1_TYPE(0b0010100, 0b00111, a0, 0b101, a0, 0b0010011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation REV8 (byte-swap) [B.Zbb] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Byte swap of operand 1 + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_rev8(uint32_t rs1) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // grevi a0, a0, -8 (pseudo-instruction: rev8 a0, a0) + CUSTOM_INSTR_R1_TYPE(0b0110100, 0b11000, a0, 0b101, a0, 0b0010011); + + return result; +} + + +// ================================================================================================ +// Zbb - Base instructions +// ================================================================================================ + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH1ADD (add with logical-1-shift) [B.Zba] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 2 + (Operand 1 << 1) + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_sh1add(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // sh1add a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b010, a0, 0b0110011); + + return result; +} + + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH2ADD (add with logical-2-shift) [B.Zba] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 2 + (Operand 1 << 2) + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_sh2add(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // sh2add a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b100, a0, 0b0110011); + + return result; +} + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH1ADD (add with logical-3-shift) [B.Zba] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a0). + * @return Operand 2 + (Operand 1 << 3) + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_sh3add(uint32_t rs1, uint32_t rs2) { + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + register uint32_t tmp_b __asm__ ("a1") = rs2; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // sh3add a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b110, a0, 0b0110011); + + return result; +} + + + +// ################################################################################################ +// Emulation functions +// ################################################################################################ + + +// ================================================================================================ +// Zbb - Base instructions +// ================================================================================================ + + +/**********************************************************************//** + * Intrinsic: Bit manipulation CLZ (count leading zeros) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of leading zeros in source operand. + **************************************************************************/ +uint32_t riscv_emulate_clz(uint32_t rs1) { + + uint32_t sreg = rs1; + uint32_t cnt = 0; + + while(1) { + if (sreg & 0x80000000UL) { + break; + } + else { + sreg <<= 1; + cnt++; + } + } + + return cnt; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation CTZ (count trailing zeros) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of trailing zeros in source operand. + **************************************************************************/ +uint32_t riscv_emulate_ctz(uint32_t rs1) { + + uint32_t sreg = rs1; + uint32_t cnt = 0; + + while(1) { + if (sreg & 1) { + break; + } + else { + sreg >>= 1; + cnt++; + } + } + + return cnt; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation CPOP (population count) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Number of set bits in source operand. + **************************************************************************/ +uint32_t riscv_emulate_cpop(uint32_t rs1) { + + uint32_t sreg = rs1; + uint32_t cnt = 0; + int i; + + for (i=0; i<32; i++) { + if (sreg & 1) { + cnt++; + } + sreg >>= 1; + } + + return cnt; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation SEXT.B (sign-extend byte) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Sign-extended byte (operand(7:0)). + **************************************************************************/ +uint32_t riscv_emulate_sextb(uint32_t rs1) { + + uint32_t tmp = rs1 & 0xff; + + if (tmp & 0x80) { + tmp |= 0xFFFFFF00UL; + } + + return tmp; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation SEXT.H (sign-extend half-word) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Sign-extended half-word (operand(15:0)). + **************************************************************************/ +uint32_t riscv_emulate_sexth(uint32_t rs1) { + + uint32_t tmp = rs1 & 0xffff; + + if (tmp & 0x8000) { + tmp |= 0xFFFF0000UL; + } + + return tmp; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ZEXT.H (zero-extend half-word) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Zero-extended half-word (operand(15:0)). + **************************************************************************/ +uint32_t riscv_emulate_zexth(uint32_t rs1) { + + return rs1 & 0x0000FFFFUL; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MIN (select signed minimum) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Signed minimum. + **************************************************************************/ +uint32_t riscv_emulate_min(uint32_t rs1, uint32_t rs2) { + + int32_t s_opa = (int32_t)rs1; + int32_t s_opb = (int32_t)rs2; + + if (s_opa < s_opb) { + return rs1; + } + else { + return rs2; + } +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MINU (select unsigned minimum) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Unsigned minimum. + **************************************************************************/ +uint32_t riscv_emulate_minu(uint32_t rs1, uint32_t rs2) { + + if (rs1 < rs2) { + return rs1; + } + else { + return rs2; + } +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MAX (select signed maximum) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Signed maximum. + **************************************************************************/ +uint32_t riscv_emulate_max(uint32_t rs1, uint32_t rs2) { + + int32_t s_opa = (int32_t)rs1; + int32_t s_opb = (int32_t)rs2; + + if (s_opa < s_opb) { + return rs2; + } + else { + return rs1; + } +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation MAXU (select unsigned maximum) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Unsigned maximum. + **************************************************************************/ +uint32_t riscv_emulate_maxu(uint32_t rs1, uint32_t rs2) { + + if (rs1 < rs2) { + return rs2; + } + else { + return rs1; + } +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ANDN (logical and-negate) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 1 AND NOT operand 2. + **************************************************************************/ +uint32_t riscv_emulate_andn(uint32_t rs1, uint32_t rs2) { + + return rs1 & (~rs2); +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ORN (logical or-negate) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 1 OR NOT operand 2. + **************************************************************************/ +uint32_t riscv_emulate_orn(uint32_t rs1, uint32_t rs2) { + + return rs1 | (~rs2); +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation XNOR (logical xor-negate) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 1 XOR NOT operand 2. + **************************************************************************/ +uint32_t riscv_emulate_xnor(uint32_t rs1, uint32_t rs2) { + + return rs1 ^ (~rs2); +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ROL (rotate-left) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 1 rotated left by operand_2(4:0) positions. + **************************************************************************/ +uint32_t riscv_emulate_rol(uint32_t rs1, uint32_t rs2) { + + uint32_t shamt = rs2 & 0x1f; + + uint32_t tmp_a = rs1 << shamt; + uint32_t tmp_b = rs1 >> (32-shamt); + + return tmp_a | tmp_b; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ROR (rotate-right) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 1 rotated right by operand_2(4:0) positions. + **************************************************************************/ +uint32_t riscv_emulate_ror(uint32_t rs1, uint32_t rs2) { + + uint32_t shamt = rs2 & 0x1f; + + uint32_t tmp_a = rs1 >> shamt; + uint32_t tmp_b = rs1 << (32-shamt); + + return tmp_a | tmp_b; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation REV8 (byte swap) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return Operand 1 byte swapped. + **************************************************************************/ +uint32_t riscv_emulate_rev8(uint32_t rs1) { + + uint32_t tmp_a = (rs1 & 0x000000ffUL) << 24; + uint32_t tmp_b = (rs1 & 0x0000ff00UL) << 8; + uint32_t tmp_c = (rs1 & 0x00ff0000UL) >> 8; + uint32_t tmp_d = (rs1 & 0xff000000UL) >> 24; + + return tmp_a | tmp_b | tmp_c | tmp_d; +} + + +/**********************************************************************//** + * Intrinsic: Bit manipulation ORCB (or-combine bytes) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @return OR-combined bytes of operand 1. + **************************************************************************/ +uint32_t riscv_emulate_orcb(uint32_t rs1) { + + uint32_t tmp = 0; + + if (rs1 & 0x000000ffUL) { + tmp |= 0x000000ffUL; + } + if (rs1 & 0x0000ff00UL) { + tmp |= 0x0000ff00UL; + } + if (rs1 & 0x00ff0000UL) { + tmp |= 0x00ff0000UL; + } + if (rs1 & 0xff000000UL) { + tmp |= 0xff000000UL; + } + + return tmp; +} + + +// ================================================================================================ +// Zba - Address generation instructions +// ================================================================================================ + + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH1ADD (add with logical-1-shift) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 2 + (Operand 1 << 1) + **************************************************************************/ +uint32_t riscv_emulate_sh1add(uint32_t rs1, uint32_t rs2) { + + return rs2 + (rs1 << 1); +} + + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH2ADD (add with logical-2-shift) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 2 + (Operand 1 << 2) + **************************************************************************/ +uint32_t riscv_emulate_sh2add(uint32_t rs1, uint32_t rs2) { + + return rs2 + (rs1 << 2); +} + + +/**********************************************************************//** + * Intrinsic: Address generation instructions SH3ADD (add with logical-3-shift) [emulation] + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 1 (a0). + * @return Operand 2 + (Operand 1 << 3) + **************************************************************************/ +uint32_t riscv_emulate_sh3add(uint32_t rs1, uint32_t rs2) { + + return rs2 + (rs1 << 3); +} + + +#endif // neorv32_b_extension_intrinsics_h diff --git a/Libs/RiscV/NEORV32/sw/example/blink_led/blink_led_in_asm.S b/Libs/RiscV/NEORV32/sw/example/blink_led/blink_led_in_asm.S new file mode 100644 index 0000000..072e7a1 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/blink_led/blink_led_in_asm.S @@ -0,0 +1,37 @@ +.file "blink_led_in_asm.S" +.section .text +.balign 4 +.global blink_led_asm + +blink_led_asm: + + /* base address of GPIO controller's output port is passed as argument (in a0)*/ + sw zero, 0(a0) /* clear output port */ + + li t1, 0 /* initialize counter */ + + +blink_loop: + andi t1, t1, 255 /* apply 8-bit mask */ + sw t1, 0(a0) /* output current counter value */ + addi t1, t1, 1 /* increment counter */ + + jal ra, blink_delay /* call delay function */ + + j blink_loop + + +blink_delay: + li t2, 0xfffff /* delay time */ + +blink_delay_loop: + beq t2, zero, blink_delay_end + addi t2, t2, -1 + nop + nop + j blink_delay_loop + +blink_delay_end: + ret + +.end diff --git a/Libs/RiscV/NEORV32/sw/example/blink_led/makefile b/Libs/RiscV/NEORV32/sw/example/blink_led/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/blink_led/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/bus_explorer/makefile b/Libs/RiscV/NEORV32/sw/example/bus_explorer/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/bus_explorer/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/coremark/LICENSE.md b/Libs/RiscV/NEORV32/sw/example/coremark/LICENSE.md new file mode 100644 index 0000000..14e53e9 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/coremark/LICENSE.md @@ -0,0 +1,100 @@ +# COREMARK® ACCEPTABLE USE AGREEMENT + +This ACCEPTABLE USE AGREEMENT (this “Agreementâ€) is offered by Embedded Microprocessor Benchmark Consortium, a California nonprofit corporation (“Licensorâ€), to users of its CoreMark® software (“Licenseeâ€) exclusively on the following terms. + +Licensor offers benchmarking software (“Softwareâ€) pursuant to an open source license, but carefully controls use of its benchmarks and their associated goodwill. Licensor has registered its trademark in one of the benchmarks available through the Software, COREMARK, Ser. No. 85/487,290; Reg. No. 4,179,307 (the “Trademarkâ€), and promotes the use of a standard metric as a benchmark for assessing the performance of embedded systems. Solely on the terms described herein, Licensee may use and display the Trademark in connection with the generation of data regarding measurement and analysis of computer and embedded system benchmarking via the Software (the “Licensed Useâ€). + +## Article 1 – License Grant. +1.1. License. Subject to the terms and conditions of this Agreement, Licensor hereby grants to Licensee, and Licensee hereby accepts from Licensor, a personal, non-exclusive, royalty-free, revocable right and license to use and display the Trademark during the term of this Agreement (the “Termâ€), solely and exclusively in connection with the Licensed Use. During the Term, Licensee (i) shall not modify or otherwise create derivative works of the Trademark, and (ii) may use the Trademark only to the extent permitted under this License. Neither Licensee nor any affiliate or agent thereof shall otherwise use the Trademark without the prior express written consent of Licensor, which may be withheld in its sole and absolute discretion. All rights not expressly granted to Licensee hereunder shall remain the exclusive property of Licensor. + +1.2. Modifications to the Software. Licensee shall not use the Trademark in connection with any use of a modified, derivative, or otherwise altered copy of the Software. + +1.3. Licensor’s Use. Nothing in this Agreement shall preclude Licensor or any of its successors or assigns from using or permitting other entities to use the Trademark, whether or not such entity directly or indirectly competes or conflicts with Licensee’s Licensed Use in any manner. + +1.4. Term and Termination. This Agreement is perpetual unless terminated by either of the parties. Licensee may terminate this Agreement for convenience, without cause or liability, for any reason or for no reason whatsoever, upon ten (10) business days written notice. Licensor may terminate this Agreement effective immediately upon notice of breach. Upon termination, Licensee shall immediately remove all implementations of the Trademark from the Licensed Use, and delete all digitals files and records of all materials related to the Trademark. + +## Article 2 – Ownership. +2.1. Ownership. Licensee acknowledges and agrees that Licensor is the owner of all right, title, and interest in and to the Trademark, and all such right, title, and interest shall remain with Licensor. Licensee shall not contest, dispute, challenge, oppose, or seek to cancel Licensor’s right, title, and interest in and to the Trademark. Licensee shall not prosecute any application for registration of the Trademark. Licensee shall display appropriate notices regarding ownership of the Trademark in connection with the Licensed Use. + +2.2. Goodwill. Licensee acknowledges that Licensee shall not acquire any right, title, or interest in the Trademark by virtue of this Agreement other than the license granted hereunder, and disclaims any such right, title, interest, or ownership. All goodwill and reputation generated by Licensee’s use of the Trademark shall inure to the exclusive benefit of Licensor. Licensee shall not by any act or omission use the Trademark in any manner that disparages or reflects adversely on Licensor or its Licensed Use or reputation. Licensee shall not take any action that would interfere with or prejudice Licensor’s ownership or registration of the Trademark, the validity of the Trademark or the validity of the license granted by this Agreement. If Licensor determines and notifies Licensee that any act taken in connection with the Licensed Use (i) is inaccurate, unlawful or offensive to good taste; (ii) fails to provide for proper trademark notices, or (iii) otherwise violates Licensee’s obligations under this Agreement, the license granted under this Agreement shall terminate. + +## Article 3 – Indemnification. +3.1. Indemnification Generally. Licensee agrees to indemnify, defend, and hold harmless (collectively “indemnify†or “indemnificationâ€) Licensor, including Licensor’s members, managers, officers, and employees (collectively “Related Personsâ€), from and against, and pay or reimburse Licensor and such Related Persons for, any and all third-party actions, claims, demands, proceedings, investigations, inquiries (collectively, “Claimsâ€), and any and all liabilities, obligations, fines, deficiencies, costs, expenses, royalties, losses, and damages (including reasonable outside counsel fees and expenses) associated with such Claims, to the extent that such Claim arises out of (i) Licensee’s material breach of this Agreement, or (ii) any allegation(s) that Licensee’s actions infringe or violate any third-party intellectual property right, including without limitation, any U.S. copyright, patent, or trademark, or are otherwise found to be tortious or criminal (whether or not such indemnified person is a named party in a legal proceeding). + +3.2. Notice and Defense of Claims. Licensor shall promptly notify Licensee of any Claim for which indemnification is sought, following actual knowledge of such Claim, provided however that the failure to give such notice shall not relieve Licensee of its obligations hereunder except to the extent that Licensee is materially prejudiced by such failure. In the event that any third-party Claim is brought, Licensee shall have the right and option to undertake and control the defense of such action with counsel of its choice, provided however that (i) Licensor at its own expense may participate and appear on an equal footing with Licensee in the defense of any such Claim, (ii) Licensor may undertake and control such defense in the event of the material failure of Licensee to undertake and control the same; and (iii) the defense of any Claim relating to the intellectual property rights of Licensor or its licensors and any related counterclaims shall be solely controlled by Licensor with counsel of its choice. Licensee shall not consent to judgment or concede or settle or compromise any Claim without the prior written approval of Licensor (whose approval shall not be unreasonably withheld), unless such concession or settlement or compromise includes a full and unconditional release of Licensor and any applicable Related Persons from all liabilities in respect of such Claim. + +## Article 4 – Miscellaneous. +4.1. Relationship of the Parties. This Agreement does not create a partnership, franchise, joint venture, agency, fiduciary, or employment relationship between the parties. + +4.2. No Third-Party Beneficiaries. Except for the rights of Related Persons under Article 3 (Indemnification), there are no third-party beneficiaries to this Agreement. + +4.3. Assignment. Licensee’s rights hereunder are non-assignable, and may not be sublicensed. + +4.4. Equitable Relief. Licensee acknowledges that the remedies available at law for any breach of this Agreement will, by their nature, be inadequate. Accordingly, Licensor may obtain injunctive relief or other equitable relief to restrain a breach or threatened breach of this Agreement or to specifically enforce this Agreement, without proving that any monetary damages have been sustained, and without the requirement of posting of a bond prior to obtaining such equitable relief. + +4.5. Governing Law. This Agreement will be interpreted, construed, and enforced in all respects in accordance with the laws of the State of California, without reference to its conflict of law principles. + +4.6. Attorneys’ Fees. If any legal action, arbitration or other proceeding is brought for the enforcement of this Agreement, or because of an alleged dispute, breach, default, or misrepresentation in connection with any of the provisions of this Agreement, the successful or prevailing party shall be entitled to recover its reasonable attorneys’ fees and other reasonable costs incurred in that action or proceeding, in addition to any other relief to which it may be entitled. + +4.7. Amendment; Waiver. This Agreement may not be amended, nor may any rights under it be waived, except in writing by Licensor. + +4.8. Severability. If any provision of this Agreement is held by a court of competent jurisdiction to be contrary to law, the provision shall be modified by the court and interpreted so as best to accomplish the objectives of the original provision to the fullest extent +permitted by law, and the remaining provisions of this Agreement shall remain in effect. + +4.9. Entire Agreement. This Agreement constitutes the entire agreement between the parties and supersedes all prior and contemporaneous agreements, proposals or representations, written or oral, concerning its subject matter. + + +# Apache License + +Version 2.0, January 2004 + +http://www.apache.org/licenses/ + +## TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + +1. Definitions. + +"License" shall mean the terms and conditions for use, reproduction, and distribution as defined by Sections 1 through 9 of this document. + +"Licensor" shall mean the copyright owner or entity authorized by the copyright owner that is granting the License. + +"Legal Entity" shall mean the union of the acting entity and all other entities that control, are controlled by, or are under common control with that entity. For the purposes of this definition, "control" means (i) the power, direct or indirect, to cause the direction or management of such entity, whether by contract or otherwise, or (ii) ownership of fifty percent (50%) or more of the outstanding shares, or (iii) beneficial ownership of such entity. + +"You" (or "Your") shall mean an individual or Legal Entity exercising permissions granted by this License. + +"Source" form shall mean the preferred form for making modifications, including but not limited to software source code, documentation source, and configuration files. + +"Object" form shall mean any form resulting from mechanical transformation or translation of a Source form, including but not limited to compiled object code, generated documentation, and conversions to other media types. + +"Work" shall mean the work of authorship, whether in Source or Object form, made available under the License, as indicated by a copyright notice that is included in or attached to the work (an example is provided in the Appendix below). + +"Derivative Works" shall mean any work, whether in Source or Object form, that is based on (or derived from) the Work and for which the editorial revisions, annotations, elaborations, or other modifications represent, as a whole, an original work of authorship. For the purposes of this License, Derivative Works shall not include works that remain separable from, or merely link (or bind by name) to the interfaces of, the Work and Derivative Works thereof. + +"Contribution" shall mean any work of authorship, including the original version of the Work and any modifications or additions to that Work or Derivative Works thereof, that is intentionally submitted to Licensor for inclusion in the Work by the copyright owner or by an individual or Legal Entity authorized to submit on behalf of the copyright owner. For the purposes of this definition, "submitted" means any form of electronic, verbal, or written communication sent to the Licensor or its representatives, including but not limited to communication on electronic mailing lists, source code control systems, and issue tracking systems that are managed by, or on behalf of, the Licensor for the purpose of discussing and improving the Work, but excluding communication that is conspicuously marked or otherwise designated in writing by the copyright owner as "Not a Contribution." + +"Contributor" shall mean Licensor and any individual or Legal Entity on behalf of whom a Contribution has been received by Licensor and subsequently incorporated within the Work. + +2. Grant of Copyright License. Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, irrevocable copyright license to reproduce, prepare Derivative Works of, publicly display, publicly perform, sublicense, and distribute the Work and such Derivative Works in Source or Object form. + +3. Grant of Patent License. Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, irrevocable (except as stated in this section) patent license to make, have made, use, offer to sell, sell, import, and otherwise transfer the Work, where such license applies only to those patent claims licensable by such Contributor that are necessarily infringed by their Contribution(s) alone or by combination of their Contribution(s) with the Work to which such Contribution(s) was submitted. If You institute patent litigation against any entity (including a cross-claim or counterclaim in a lawsuit) alleging that the Work or a Contribution incorporated within the Work constitutes direct or contributory patent infringement, then any patent licenses granted to You under this License for that Work shall terminate as of the date such litigation is filed. + +4. Redistribution. You may reproduce and distribute copies of the Work or Derivative Works thereof in any medium, with or without modifications, and in Source or Object form, provided that You meet the following conditions: + + You must give any other recipients of the Work or Derivative Works a copy of this License; and + You must cause any modified files to carry prominent notices stating that You changed the files; and + You must retain, in the Source form of any Derivative Works that You distribute, all copyright, patent, trademark, and attribution notices from the Source form of the Work, excluding those notices that do not pertain to any part of the Derivative Works; and + If the Work includes a "NOTICE" text file as part of its distribution, then any Derivative Works that You distribute must include a readable copy of the attribution notices contained within such NOTICE file, excluding those notices that do not pertain to any part of the Derivative Works, in at least one of the following places: within a NOTICE text file distributed as part of the Derivative Works; within the Source form or documentation, if provided along with the Derivative Works; or, within a display generated by the Derivative Works, if and wherever such third-party notices normally appear. The contents of the NOTICE file are for informational purposes only and do not modify the License. You may add Your own attribution notices within Derivative Works that You distribute, alongside or as an addendum to the NOTICE text from the Work, provided that such additional attribution notices cannot be construed as modifying the License. + + You may add Your own copyright statement to Your modifications and may provide additional or different license terms and conditions for use, reproduction, or distribution of Your modifications, or for any such Derivative Works as a whole, provided Your use, reproduction, and distribution of the Work otherwise complies with the conditions stated in this License. + +5. Submission of Contributions. Unless You explicitly state otherwise, any Contribution intentionally submitted for inclusion in the Work by You to the Licensor shall be under the terms and conditions of this License, without any additional terms or conditions. Notwithstanding the above, nothing herein shall supersede or modify the terms of any separate license agreement you may have executed with Licensor regarding such Contributions. + +6. Trademarks. This License does not grant permission to use the trade names, trademarks, service marks, or product names of the Licensor, except as required for reasonable and customary use in describing the origin of the Work and reproducing the content of the NOTICE file. + +7. Disclaimer of Warranty. Unless required by applicable law or agreed to in writing, Licensor provides the Work (and each Contributor provides its Contributions) on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied, including, without limitation, any warranties or conditions of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A PARTICULAR PURPOSE. You are solely responsible for determining the appropriateness of using or redistributing the Work and assume any risks associated with Your exercise of permissions under this License. + +8. Limitation of Liability. In no event and under no legal theory, whether in tort (including negligence), contract, or otherwise, unless required by applicable law (such as deliberate and grossly negligent acts) or agreed to in writing, shall any Contributor be liable to You for damages, including any direct, indirect, special, incidental, or consequential damages of any character arising as a result of this License or out of the use or inability to use the Work (including but not limited to damages for loss of goodwill, work stoppage, computer failure or malfunction, or any and all other commercial damages or losses), even if such Contributor has been advised of the possibility of such damages. + +9. Accepting Warranty or Additional Liability. While redistributing the Work or Derivative Works thereof, You may choose to offer, and charge a fee for, acceptance of support, warranty, indemnity, or other liability obligations and/or rights consistent with this License. However, in accepting such obligations, You may act only on Your own behalf and on Your sole responsibility, not on behalf of any other Contributor, and only if You agree to indemnify, defend, and hold each Contributor harmless for any liability incurred by, or claims asserted against, such Contributor by reason of your accepting any such warranty or additional liability. + +END OF TERMS AND CONDITIONS diff --git a/Libs/RiscV/NEORV32/sw/example/coremark/README.md b/Libs/RiscV/NEORV32/sw/example/coremark/README.md new file mode 100644 index 0000000..d37b09a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/coremark/README.md @@ -0,0 +1,78 @@ + +# Introduction + +CoreMark's primary goals are simplicity and providing a method for testing only a processor's core features. For more information about EEMBC's comprehensive embedded benchmark suites, please see www.eembc.org. + +For a more compute-intensive version of CoreMark that uses larger datasets and execution loops taken from common applications, please check out EEMBC's [CoreMark-PRO](https://www.github.com/eembc/coremark-pro) benchmark, also on GitHub. + +This project folder is a port of CoreMark (from the official [GitHub repository](https://github.com/eembc/coremark)) for the NEORV32 processor. + +# Building + +To build the executable (`neorv32_exe.bin`) of the benchmark, type: + +`> make USER_FLAGS+=-DRUN_COREMARK clean_all exe` + +Make sure to define `RUN_COREMARK` *when invoking* `make` (via `USER_FLAGS+=-DRUN_COREMARK`). + +To build the executable for a certain CPU configuration and a certain optimization level of the benchmark, type (`rv32imc` and `O3` in this example): + +`> make USER_FLAGS+=-DRUN_COREMARK MARCH=rv32imc EFFORT=-O3 clean_all exe` + + +# Running + +Upload the generated executable `neorv32_exe.bin` via the bootloader ('u' command) and execute it ('e' command): + +``` +<< NEORV32 Bootloader >> + +BLDV: Nov 7 2020 +HWV: 0x01040700 +CLK: 0x05F5E100 Hz +USER: 0x10000DE0 +MISA: 0x40901104 +PROC: 0x007F0015 +IMEM: 0x00008000 bytes @ 0x00000000 +DMEM: 0x00008000 bytes @ 0x80000000 + +Autoboot in 8s. Press key to abort. +Aborted. + +Available CMDs: + h: Help + r: Restart + u: Upload + s: Store to flash + l: Load from flash + e: Execute +CMD:> u +Awaiting neorv32_exe.bin... OK +CMD:> e +Booting... + +NEORV32: Processor running at 100000000 Hz +NEORV32: Executing coremark (2000 iterations). This may take some time... + +2K performance run parameters for coremark. +CoreMark Size : 666 +Total ticks : 3036959876 +Total time (secs): 30 +Iterations/Sec : 66 +Iterations : 2000 +Compiler version : GCC10.1.0 +Compiler flags : -> default, see makefile +Memory location : STACK +seedcrc : 0xe9f5 +[0]crclist : 0xe714 +[0]crcmatrix : 0x1fd7 +[0]crcstate : 0x8e3a +[0]crcfinal : 0x4983 +Correct operation validated. See README.md for run and reporting rules. + +NEORV32: All reported numbers only show the integer results. + +NEORV32: Executed instructions 0x00000000_24b8576e +NEORV32: CoreMark core clock cycles 0x00000000_b5045484 +NEORV32: Average CPI (integer part only): 4 cycles/instruction +``` diff --git a/Libs/RiscV/NEORV32/sw/example/coremark/core_portme.h b/Libs/RiscV/NEORV32/sw/example/coremark/core_portme.h new file mode 100644 index 0000000..df3320a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/coremark/core_portme.h @@ -0,0 +1,232 @@ +/* +Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) + +Licensed under the Apache License, Version 2.0 (the "License"); +you may not use this file except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. + +Original Author: Shay Gal-on +*/ + +/* Modified for the NEORV32 Processor - by Stephan Nolting */ + +/* Topic : Description + This file contains configuration constants required to execute on + different platforms +*/ +#ifndef CORE_PORTME_H +#define CORE_PORTME_H + +#include +#include + +/************************/ +/* NEORV32-specific */ +/************************/ +#define BAUD_RATE (19200) +#define ITERATIONS (2000) +#define FLAGS_STR "-> default, see makefile" // compiler optimization + +/************************/ +/* Data types and settings */ +/************************/ +/* Configuration : HAS_FLOAT + Define to 1 if the platform supports floating point. +*/ +#ifndef HAS_FLOAT +#define HAS_FLOAT 0 +#endif +/* Configuration : HAS_TIME_H + Define to 1 if platform has the time.h header file, + and implementation of functions thereof. +*/ +#ifndef HAS_TIME_H +#define HAS_TIME_H 0 +#endif +/* Configuration : USE_CLOCK + Define to 1 if platform has the time.h header file, + and implementation of functions thereof. +*/ +#ifndef USE_CLOCK +#define USE_CLOCK 0 +#endif +/* Configuration : HAS_STDIO + Define to 1 if the platform has stdio.h. +*/ +#ifndef HAS_STDIO +#define HAS_STDIO 0 +#endif +/* Configuration : HAS_PRINTF + Define to 1 if the platform has stdio.h and implements the printf + function. +*/ +#ifndef HAS_PRINTF +#define HAS_PRINTF 0 +#endif + +/* Definitions : COMPILER_VERSION, COMPILER_FLAGS, MEM_LOCATION + Initialize these strings per platform +*/ +#ifndef COMPILER_VERSION +#ifdef __GNUC__ +#define COMPILER_VERSION "GCC"__VERSION__ +#else +#define COMPILER_VERSION "Please put compiler version here (e.g. gcc 4.1)" +#endif +#endif +#ifndef COMPILER_FLAGS +#define COMPILER_FLAGS \ + FLAGS_STR /* "Please put compiler flags here (e.g. -o3)" */ +#endif +#ifndef MEM_LOCATION +#define MEM_LOCATION "STATIC" +#endif + +/* Data Types : + To avoid compiler issues, define the data types that need ot be used for + 8b, 16b and 32b in . + + *Imprtant* : + ee_ptr_int needs to be the data type used to hold pointers, otherwise + coremark may fail!!! +*/ +typedef int16_t ee_s16; +typedef uint16_t ee_u16; +typedef int32_t ee_s32; +typedef double ee_f32; +typedef unsigned char ee_u8; +typedef uint32_t ee_u32; +typedef uint64_t ee_u64; +typedef ee_u32 ee_ptr_int; +typedef size_t ee_size_t; +#define NULL ((void *)0) +/* align_mem : + This macro is used to align an offset to point to a 32b value. It is + used in the Matrix algorithm to initialize the input memory blocks. +*/ +#define align_mem(x) (void *)(4 + (((ee_ptr_int)(x)-1) & ~3)) + +/* Configuration : CORE_TICKS + Define type of return from the timing functions. + */ +#define CORETIMETYPE ee_u64 +typedef ee_u64 CORE_TICKS; + +/* Configuration : SEED_METHOD + Defines method to get seed values that cannot be computed at compile + time. + + Valid values : + SEED_ARG - from command line. + SEED_FUNC - from a system function. + SEED_VOLATILE - from volatile variables. +*/ +#ifndef SEED_METHOD +#define SEED_METHOD SEED_VOLATILE +#endif + +/* Configuration : MEM_METHOD + Defines method to get a block of memry. + + Valid values : + MEM_MALLOC - for platforms that implement malloc and have malloc.h. + MEM_STATIC - to use a static memory array. + MEM_STACK - to allocate the data block on the stack (NYI). +*/ +#ifndef MEM_METHOD +#define MEM_METHOD MEM_STATIC +#endif + +/* Configuration : MULTITHREAD + Define for parallel execution + + Valid values : + 1 - only one context (default). + N>1 - will execute N copies in parallel. + + Note : + If this flag is defined to more then 1, an implementation for launching + parallel contexts must be defined. + + Two sample implementations are provided. Use or + to enable them. + + It is valid to have a different implementation of + and in , to fit a particular architecture. +*/ +#ifndef MULTITHREAD +#define MULTITHREAD 1 +#define USE_PTHREAD 0 +#define USE_FORK 0 +#define USE_SOCKET 0 +#endif + +/* Configuration : MAIN_HAS_NOARGC + Needed if platform does not support getting arguments to main. + + Valid values : + 0 - argc/argv to main is supported + 1 - argc/argv to main is not supported + + Note : + This flag only matters if MULTITHREAD has been defined to a value + greater then 1. +*/ +#ifndef MAIN_HAS_NOARGC +#define MAIN_HAS_NOARGC 0 +#endif + +/* Configuration : MAIN_HAS_NORETURN + Needed if platform does not support returning a value from main. + + Valid values : + 0 - main returns an int, and return value will be 0. + 1 - platform does not support returning a value from main +*/ +#ifndef MAIN_HAS_NORETURN +#define MAIN_HAS_NORETURN 0 +#endif + +/* Variable : default_num_contexts + Not used for this simple port, must cintain the value 1. +*/ +extern ee_u32 default_num_contexts; + +typedef struct CORE_PORTABLE_S +{ + ee_u8 portable_id; +} core_portable; + +/* target specific init/fini */ +#ifndef RUN_COREMARK +void +__attribute__((__noreturn__)) +portable_init(core_portable *p, int *argc, char *argv[]); +#else +void +portable_init(core_portable *p, int *argc, char *argv[]); +#endif +void portable_fini(core_portable *p); + +#if !defined(PROFILE_RUN) && !defined(PERFORMANCE_RUN) \ + && !defined(VALIDATION_RUN) +#if (TOTAL_DATA_SIZE == 1200) +#define PROFILE_RUN 1 +#elif (TOTAL_DATA_SIZE == 2000) +#define PERFORMANCE_RUN 1 +#else +#define VALIDATION_RUN 1 +#endif +#endif + +int ee_printf(const char *fmt, ...); + +#endif /* CORE_PORTME_H */ diff --git a/Libs/RiscV/NEORV32/sw/example/coremark/coremark.h b/Libs/RiscV/NEORV32/sw/example/coremark/coremark.h new file mode 100644 index 0000000..9c5e406 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/coremark/coremark.h @@ -0,0 +1,183 @@ +/* +Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) + +Licensed under the Apache License, Version 2.0 (the "License"); +you may not use this file except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. + +Original Author: Shay Gal-on +*/ + +/* Topic: Description + This file contains declarations of the various benchmark functions. +*/ + +/* Configuration: TOTAL_DATA_SIZE + Define total size for data algorithms will operate on +*/ +#ifndef TOTAL_DATA_SIZE +#define TOTAL_DATA_SIZE 2 * 1000 +#endif + +#define SEED_ARG 0 +#define SEED_FUNC 1 +#define SEED_VOLATILE 2 + +#define MEM_STATIC 0 +#define MEM_MALLOC 1 +#define MEM_STACK 2 + +#include "core_portme.h" + +#if HAS_STDIO +#include +#endif +#if HAS_PRINTF +#define ee_printf printf +#endif + +/* Actual benchmark execution in iterate */ +void *iterate(void *pres); + +/* Typedef: secs_ret + For machines that have floating point support, get number of seconds as + a double. Otherwise an unsigned int. +*/ +#if HAS_FLOAT +typedef double secs_ret; +#else +typedef ee_u32 secs_ret; +#endif + +#if MAIN_HAS_NORETURN +#define MAIN_RETURN_VAL +#define MAIN_RETURN_TYPE void +#else +#define MAIN_RETURN_VAL 0 +#define MAIN_RETURN_TYPE int +#endif + +void start_time(void); +void stop_time(void); +CORE_TICKS get_time(void); +secs_ret time_in_secs(CORE_TICKS ticks); + +/* Misc useful functions */ +ee_u16 crcu8(ee_u8 data, ee_u16 crc); +ee_u16 crc16(ee_s16 newval, ee_u16 crc); +ee_u16 crcu16(ee_u16 newval, ee_u16 crc); +ee_u16 crcu32(ee_u32 newval, ee_u16 crc); +ee_u8 check_data_types(void); +void * portable_malloc(ee_size_t size); +void portable_free(void *p); +ee_s32 parseval(char *valstring); + +/* Algorithm IDS */ +#define ID_LIST (1 << 0) +#define ID_MATRIX (1 << 1) +#define ID_STATE (1 << 2) +#define ALL_ALGORITHMS_MASK (ID_LIST | ID_MATRIX | ID_STATE) +#define NUM_ALGORITHMS 3 + +/* list data structures */ +typedef struct list_data_s +{ + ee_s16 data16; + ee_s16 idx; +} list_data; + +typedef struct list_head_s +{ + struct list_head_s *next; + struct list_data_s *info; +} list_head; + +/*matrix benchmark related stuff */ +#define MATDAT_INT 1 +#if MATDAT_INT +typedef ee_s16 MATDAT; +typedef ee_s32 MATRES; +#else +typedef ee_f16 MATDAT; +typedef ee_f32 MATRES; +#endif + +typedef struct MAT_PARAMS_S +{ + int N; + MATDAT *A; + MATDAT *B; + MATRES *C; +} mat_params; + +/* state machine related stuff */ +/* List of all the possible states for the FSM */ +typedef enum CORE_STATE +{ + CORE_START = 0, + CORE_INVALID, + CORE_S1, + CORE_S2, + CORE_INT, + CORE_FLOAT, + CORE_EXPONENT, + CORE_SCIENTIFIC, + NUM_CORE_STATES +} core_state_e; + +/* Helper structure to hold results */ +typedef struct RESULTS_S +{ + /* inputs */ + ee_s16 seed1; /* Initializing seed */ + ee_s16 seed2; /* Initializing seed */ + ee_s16 seed3; /* Initializing seed */ + void * memblock[4]; /* Pointer to safe memory location */ + ee_u32 size; /* Size of the data */ + ee_u32 iterations; /* Number of iterations to execute */ + ee_u32 execs; /* Bitmask of operations to execute */ + struct list_head_s *list; + mat_params mat; + /* outputs */ + ee_u16 crc; + ee_u16 crclist; + ee_u16 crcmatrix; + ee_u16 crcstate; + ee_s16 err; + /* ultithread specific */ + core_portable port; +} core_results; + +/* Multicore execution handling */ +#if (MULTITHREAD > 1) +ee_u8 core_start_parallel(core_results *res); +ee_u8 core_stop_parallel(core_results *res); +#endif + +/* list benchmark functions */ +list_head *core_list_init(ee_u32 blksize, list_head *memblock, ee_s16 seed); +ee_u16 core_bench_list(core_results *res, ee_s16 finder_idx); + +/* state benchmark functions */ +void core_init_state(ee_u32 size, ee_s16 seed, ee_u8 *p); +ee_u16 core_bench_state(ee_u32 blksize, + ee_u8 *memblock, + ee_s16 seed1, + ee_s16 seed2, + ee_s16 step, + ee_u16 crc); + +/* matrix benchmark functions */ +ee_u32 core_init_matrix(ee_u32 blksize, + void * memblk, + ee_s32 seed, + mat_params *p); +ee_u16 core_bench_matrix(mat_params *p, ee_s16 seed, ee_u16 crc); diff --git a/Libs/RiscV/NEORV32/sw/example/coremark/makefile b/Libs/RiscV/NEORV32/sw/example/coremark/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/coremark/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/FreeRTOSConfig.h b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/FreeRTOSConfig.h new file mode 100644 index 0000000..8de5d6e --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/FreeRTOSConfig.h @@ -0,0 +1,152 @@ +/* + FreeRTOS V8.2.3 - Copyright (C) 2015 Real Time Engineers Ltd. + All rights reserved + + VISIT http://www.FreeRTOS.org TO ENSURE YOU ARE USING THE LATEST VERSION. + + This file is part of the FreeRTOS distribution. + + FreeRTOS is free software; you can redistribute it and/or modify it under + the terms of the GNU General Public License (version 2) as published by the + Free Software Foundation >>>> AND MODIFIED BY <<<< the FreeRTOS exception. + + *************************************************************************** + >>! NOTE: The modification to the GPL is included to allow you to !<< + >>! distribute a combined work that includes FreeRTOS without being !<< + >>! obliged to provide the source code for proprietary components !<< + >>! outside of the FreeRTOS kernel. !<< + *************************************************************************** + + FreeRTOS is distributed in the hope that it will be useful, but WITHOUT ANY + WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS + FOR A PARTICULAR PURPOSE. Full license text is available on the following + link: http://www.freertos.org/a00114.html + + *************************************************************************** + * * + * FreeRTOS provides completely free yet professionally developed, * + * robust, strictly quality controlled, supported, and cross * + * platform software that is more than just the market leader, it * + * is the industry's de facto standard. * + * * + * Help yourself get started quickly while simultaneously helping * + * to support the FreeRTOS project by purchasing a FreeRTOS * + * tutorial book, reference manual, or both: * + * http://www.FreeRTOS.org/Documentation * + * * + *************************************************************************** + + http://www.FreeRTOS.org/FAQHelp.html - Having a problem? Start by reading + the FAQ page "My application does not run, what could be wrong?". Have you + defined configASSERT()? + + http://www.FreeRTOS.org/support - In return for receiving this top quality + embedded software for free we request you assist our global community by + participating in the support forum. + + http://www.FreeRTOS.org/training - Investing in training allows your team to + be as productive as possible as early as possible. Now you can receive + FreeRTOS training directly from Richard Barry, CEO of Real Time Engineers + Ltd, and the world's leading authority on the world's leading RTOS. + + http://www.FreeRTOS.org/plus - A selection of FreeRTOS ecosystem products, + including FreeRTOS+Trace - an indispensable productivity tool, a DOS + compatible FAT file system, and our tiny thread aware UDP/IP stack. + + http://www.FreeRTOS.org/labs - Where new FreeRTOS products go to incubate. + Come and try FreeRTOS+TCP, our new open source TCP/IP stack for FreeRTOS. + + http://www.OpenRTOS.com - Real Time Engineers ltd. license FreeRTOS to High + Integrity Systems ltd. to sell under the OpenRTOS brand. Low cost OpenRTOS + licenses offer ticketed support, indemnification and commercial middleware. + + http://www.SafeRTOS.com - High Integrity Systems also provide a safety + engineered and independently SIL3 certified version for use in safety and + mission critical applications that require provable dependability. + + 1 tab == 4 spaces! +*/ + + +#ifndef FREERTOS_CONFIG_H +#define FREERTOS_CONFIG_H + +//#include "clock_config.h" + +/*----------------------------------------------------------- + * Application specific definitions. + * + * These definitions should be adjusted for your particular hardware and + * application requirements. + * + * THESE PARAMETERS ARE DESCRIBED WITHIN THE 'CONFIGURATION' SECTION OF THE + * FreeRTOS API DOCUMENTATION AVAILABLE ON THE FreeRTOS.org WEB SITE. + * + * See http://www.freertos.org/a00110.html. + *----------------------------------------------------------*/ + +/* See https://www.freertos.org/Using-FreeRTOS-on-RISC-V.html */ +#define configMTIME_BASE_ADDRESS ( 0xFFFFFF90UL ) +#define configMTIMECMP_BASE_ADDRESS ( 0xFFFFFF98UL ) + +#define configISR_STACK_SIZE_WORDS ( 128 ) + +#define configUSE_PREEMPTION 1 +#define configUSE_IDLE_HOOK 1 +#define configUSE_TICK_HOOK 1 +#define configCPU_CLOCK_HZ 100000000 +#define configTICK_RATE_HZ ( ( TickType_t ) 100 ) +#define configMAX_PRIORITIES ( 5 ) +#define configMINIMAL_STACK_SIZE ( ( unsigned short ) 128 ) /* Can be as low as 60 but some of the demo tasks that use this constant require it to be higher. */ +#define configSUPPORT_DYNAMIC_ALLOCATION 1 +#define configTOTAL_HEAP_SIZE ( ( size_t ) ( 7 * 1024 ) ) +#define configMAX_TASK_NAME_LEN ( 16 ) +#define configUSE_TRACE_FACILITY 1 +#define configUSE_16_BIT_TICKS 0 +#define configIDLE_SHOULD_YIELD 0 +#define configUSE_MUTEXES 1 +#define configQUEUE_REGISTRY_SIZE 8 +#define configCHECK_FOR_STACK_OVERFLOW 2 +#define configUSE_RECURSIVE_MUTEXES 1 +#define configUSE_MALLOC_FAILED_HOOK 1 +#define configUSE_APPLICATION_TASK_TAG 0 +#define configUSE_COUNTING_SEMAPHORES 1 +#define configGENERATE_RUN_TIME_STATS 0 +#define configTASK_NOTIFICATION_ARRAY_ENTRIES 4 +#define configUSE_PORT_OPTIMISED_TASK_SELECTION 1 + +/* Co-routine definitions. */ +#define configUSE_CO_ROUTINES 0 +#define configMAX_CO_ROUTINE_PRIORITIES ( 2 ) + +/* Software timer definitions. */ +#define configUSE_TIMERS 1 +#define configTIMER_TASK_PRIORITY ( configMAX_PRIORITIES - 1 ) +#define configTIMER_QUEUE_LENGTH 4 +#define configTIMER_TASK_STACK_DEPTH ( configMINIMAL_STACK_SIZE ) + +/* Task priorities. Allow these to be overridden. */ +#ifndef uartPRIMARY_PRIORITY + #define uartPRIMARY_PRIORITY ( configMAX_PRIORITIES - 3 ) +#endif + +/* Set the following definitions to 1 to include the API function, or zero +to exclude the API function. */ +#define INCLUDE_vTaskPrioritySet 1 +#define INCLUDE_uxTaskPriorityGet 1 +#define INCLUDE_vTaskDelete 1 +#define INCLUDE_vTaskCleanUpResources 1 +#define INCLUDE_vTaskSuspend 1 +#define INCLUDE_vTaskDelayUntil 1 +#define INCLUDE_vTaskDelay 1 +#define INCLUDE_eTaskGetState 1 +#define INCLUDE_xTimerPendFunctionCall 1 +#define INCLUDE_xTaskAbortDelay 1 +#define INCLUDE_xTaskGetHandle 1 +#define INCLUDE_xSemaphoreGetMutexHolder 1 + +/* Normal assert() semantics without relying on the provision of an assert.h +header file. */ +#define configASSERT( x ) if( ( x ) == 0 ) { taskDISABLE_INTERRUPTS(); __asm volatile( "ebreak" ); for( ;; ); } + +#endif /* FREERTOS_CONFIG_H */ diff --git a/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/README.md b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/README.md new file mode 100644 index 0000000..b35ace8 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/README.md @@ -0,0 +1,71 @@ + # FreeRTOS Demo for the NEORV32 Processor + +This example shows how to run [FreeRTOS](https://www.freertos.org/) on the NEORV32 processor. It features the default +"blinky_demo" and the more sophisticated "full_demo" demo applications. See the comments in `main.c` and the according +source files for more information. + +The chip-specific extensions folder (`chip_specific_extensions/neorv32`) should be in `$(FREERTOS_HOME)/Source/portable/GCC/RISC-V/chip_specific_extensions`, +but is placed in this source directory for simplicity. + + +## Hardware Requirements + +* DMEM/IMEM requriements depend on the actual application (for example: 8kB DMEM and 16kB IMEM for *blinky_demo*) +* MTIME (machine timer) + UART + GPIO +* `Zicsr` CPU extension + + +## Instructions + +Download FreeRTOS from the [official GitHub repository](https://github.com/FreeRTOS/FreeRTOS) or from the its official homepage. + + $ git clone https://github.com/FreeRTOS/FreeRTOS.git + +Open the makefile from this example folder and configure the `FREERTOS_HOME` variable to point to your FreeRTOS home folder. + + FREERTOS_HOME ?= /mnt/n/Projects/FreeRTOSv10.4.1 + +Compile the NEORV32 executable. Do not forget the `RUN_FREERTOS_DEMO` switch. + + $ make USER_FLAGS+=-DRUN_FREERTOS_DEMO clean_all exe + +Note: The *.c sources and the FreeRTOS-specific part of the makefile have (include) guards that test if `RUN_FREERTOS_DEMO` is defined. +This has no pratical usage for the user - it is just a work-around for the NEORV32 CI environment. + +Upload the executable (`neorv32_exe.bin`) to the processor via the bootloader and execute it. + +``` +Awaiting neorv32_exe.bin... OK +CMD:> e +Booting... + +FreeRTOS V10.4.1 +Blink +Blink +Blink +``` + +## FreeRTOS Plus + +To automatically add source and include files from FreeRTOS plus extensions add one (or more) of the following arguments when invoking `make`: + +* FreeRTOS-Plus-CLI: `USER_FLAGS+=-FREERTOS_PLUS_CLI` +* FreeRTOS-Plus-TCP: `USER_FLAGS+=-FREERTOS_PLUS_TCP` + +Example: + + $ make USER_FLAGS+=-DRUN_FREERTOS_DEMO USER_FLAGS+=-FREERTOS_PLUS_TCP clean_all exe + + +## Notes + +The onfiguration of the FreeRTOS home folder (via `FREERTOS_HOME`) is corrupted if the compiler shows the following error: + +``` +main.c:36:10: fatal error: FreeRTOS.h: No such file or directory + 36 | #include + | ^~~~~~~~~~~~ +compilation terminated. +make: *** [makefile:203: main.c.o] Error 1 +``` + diff --git a/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/chip_specific_extensions/neorv32/freertos_risc_v_chip_specific_extensions.h b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/chip_specific_extensions/neorv32/freertos_risc_v_chip_specific_extensions.h new file mode 100644 index 0000000..c10f848 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/chip_specific_extensions/neorv32/freertos_risc_v_chip_specific_extensions.h @@ -0,0 +1,72 @@ +/* + * FreeRTOS Kernel V10.3.1 + * Copyright (C) 2020 Amazon.com, Inc. or its affiliates. All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy of + * this software and associated documentation files (the "Software"), to deal in + * the Software without restriction, including without limitation the rights to + * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of + * the Software, and to permit persons to whom the Software is furnished to do so, + * subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS + * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR + * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER + * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN + * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + * + * http://www.FreeRTOS.org + * http://aws.amazon.com/freertos + * + * 1 tab == 4 spaces! + */ + +/* + * The FreeRTOS kernel's RISC-V port is split between the the code that is + * common across all currently supported RISC-V chips (implementations of the + * RISC-V ISA), and code that tailors the port to a specific RISC-V chip: + * + * + FreeRTOS\Source\portable\GCC\RISC-V-RV32\portASM.S contains the code that + * is common to all currently supported RISC-V chips. There is only one + * portASM.S file because the same file is built for all RISC-V target chips. + * + * + Header files called freertos_risc_v_chip_specific_extensions.h contain the + * code that tailors the FreeRTOS kernel's RISC-V port to a specific RISC-V + * chip. There are multiple freertos_risc_v_chip_specific_extensions.h files + * as there are multiple RISC-V chip implementations. + * + * !!!NOTE!!! + * TAKE CARE TO INCLUDE THE CORRECT freertos_risc_v_chip_specific_extensions.h + * HEADER FILE FOR THE CHIP IN USE. This is done using the assembler's (not the + * compiler's!) include path. For example, if the chip in use includes a core + * local interrupter (CLINT) and does not include any chip specific register + * extensions then add the path below to the assembler's include path: + * FreeRTOS\Source\portable\GCC\RISC-V-RV32\chip_specific_extensions\RV32I_CLINT_no_extensions + * + */ + +/* + * NEORV32 chip specific extensions + */ + + +#ifndef __FREERTOS_RISC_V_EXTENSIONS_H__ +#define __FREERTOS_RISC_V_EXTENSIONS_H__ + +#define portasmHAS_SIFIVE_CLINT 0 +#define portasmHAS_MTIME 1 +#define portasmADDITIONAL_CONTEXT_SIZE 0 /* Must be even number on 32-bit cores. */ + +.macro portasmSAVE_ADDITIONAL_REGISTERS + /* No additional registers to save, so this macro does nothing. */ + .endm + +.macro portasmRESTORE_ADDITIONAL_REGISTERS + /* No additional registers to restore, so this macro does nothing. */ + .endm + +#endif /* __FREERTOS_RISC_V_EXTENSIONS_H__ */ diff --git a/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/full_demo/RegTest.s b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/full_demo/RegTest.s new file mode 100644 index 0000000..e274604 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/full_demo/RegTest.s @@ -0,0 +1,266 @@ +/* + * FreeRTOS Kernel V10.3.0 + * Copyright (C) 2020 Amazon.com, Inc. or its affiliates. All Rights Reserved. + * + * Permission is hereby granted, free of charge, to any person obtaining a copy of + * this software and associated documentation files (the "Software"), to deal in + * the Software without restriction, including without limitation the rights to + * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of + * the Software, and to permit persons to whom the Software is furnished to do so, + * subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS + * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR + * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER + * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN + * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + * + * http://www.FreeRTOS.org + * http://aws.amazon.com/freertos + * + * 1 tab == 4 spaces! + */ + + .extern ulRegTest1LoopCounter + .extern ulRegTest2LoopCounter + + .global vRegTest1Implementation + .global vRegTest2Implementation + +/*-----------------------------------------------------------*/ + +/* + * The register check tasks are described in the comments at the top of + * main_full.c. + */ + +.align( 4 ) +vRegTest1Implementation: + + /* Fill the core registers with known values. */ + li x5, 0x5 + li x6, 0x6 + li x7, 0x7 + li x8, 0x8 + li x9, 0x9 + li x10, 0xa + li x11, 0xb + li x12, 0xc + li x13, 0xd + li x14, 0xe + li x15, 0xf + li x16, 0x10 + li x17, 0x11 + li x18, 0x12 + li x19, 0x13 + li x20, 0x14 + li x21, 0x15 + li x22, 0x16 + li x23, 0x17 + li x24, 0x18 + li x25, 0x19 + li x26, 0x1a + li x27, 0x1b + li x28, 0x1c + li x29, 0x1d + li x30, 0x1e + +reg1_loop: + + /* Check each register still contains the expected known value. + vRegTest1Implementation uses x31 as the temporary, vRegTest2Implementation + uses x5 as the temporary. */ + li x31, 0x5 + bne x31, x5, reg1_error_loop + li x31, 0x6 + bne x31, x6, reg1_error_loop + li x31, 0x7 + bne x31, x7, reg1_error_loop + li x31, 0x8 + bne x31, x8, reg1_error_loop + li x31, 0x9 + bne x31, x9, reg1_error_loop + li x31, 0xa + bne x31, x10, reg1_error_loop + li x31, 0xb + bne x31, x11, reg1_error_loop + li x31, 0xc + bne x31, x12, reg1_error_loop + li x31, 0xd + bne x31, x13, reg1_error_loop + li x31, 0xe + bne x31, x14, reg1_error_loop + li x31, 0xf + bne x31, x15, reg1_error_loop + li x31, 0x10 + bne x31, x16, reg1_error_loop + li x31, 0x11 + bne x31, x17, reg1_error_loop + li x31, 0x12 + bne x31, x18, reg1_error_loop + li x31, 0x13 + bne x31, x19, reg1_error_loop + li x31, 0x14 + bne x31, x20, reg1_error_loop + li x31, 0x15 + bne x31, x21, reg1_error_loop + li x31, 0x16 + bne x31, x22, reg1_error_loop + li x31, 0x17 + bne x31, x23, reg1_error_loop + li x31, 0x18 + bne x31, x24, reg1_error_loop + li x31, 0x19 + bne x31, x25, reg1_error_loop + li x31, 0x1a + bne x31, x26, reg1_error_loop + li x31, 0x1b + bne x31, x27, reg1_error_loop + li x31, 0x1c + bne x31, x28, reg1_error_loop + li x31, 0x1d + bne x31, x29, reg1_error_loop + li x31, 0x1e + bne x31, x30, reg1_error_loop + + /* Everything passed, increment the loop counter. */ + lw x31, ulRegTest1LoopCounterConst + lw x30, 0(x31) + addi x30, x30, 1 + sw x30, 0(x31) + + /* Restore clobbered register reading for next loop. */ + li x30, 0x1e + + /* Yield to increase code coverage. */ + ecall + + /* Start again. */ + jal reg1_loop + +reg1_error_loop: + /* Jump here if a register contains an uxpected value. This stops the loop + counter being incremented so the check task knows an error was found. */ + ebreak + jal reg1_error_loop + +.align( 4 ) +ulRegTest1LoopCounterConst: .word ulRegTest1LoopCounter + +/*-----------------------------------------------------------*/ + +.align( 4 ) +vRegTest2Implementation: + + /* Fill the core registers with known values. */ + li x6, 0x61 + li x7, 0x71 + li x8, 0x81 + li x9, 0x91 + li x10, 0xa1 + li x11, 0xb1 + li x12, 0xc1 + li x13, 0xd1 + li x14, 0xe1 + li x15, 0xf1 + li x16, 0x20 + li x17, 0x21 + li x18, 0x22 + li x19, 0x23 + li x20, 0x24 + li x21, 0x25 + li x22, 0x26 + li x23, 0x27 + li x24, 0x28 + li x25, 0x29 + li x26, 0x2a + li x27, 0x2b + li x28, 0x2c + li x29, 0x2d + li x30, 0x2e + li x31, 0x2f + +Reg2_loop: + + /* Check each register still contains the expected known value. + vRegTest2Implementation uses x5 as the temporary, vRegTest1Implementation + uses x31 as the temporary. */ + li x5, 0x61 + bne x5, x6, reg2_error_loop + li x5, 0x71 + bne x5, x7, reg2_error_loop + li x5, 0x81 + bne x5, x8, reg2_error_loop + li x5, 0x91 + bne x5, x9, reg2_error_loop + li x5, 0xa1 + bne x5, x10, reg2_error_loop + li x5, 0xb1 + bne x5, x11, reg2_error_loop + li x5, 0xc1 + bne x5, x12, reg2_error_loop + li x5, 0xd1 + bne x5, x13, reg2_error_loop + li x5, 0xe1 + bne x5, x14, reg2_error_loop + li x5, 0xf1 + bne x5, x15, reg2_error_loop + li x5, 0x20 + bne x5, x16, reg2_error_loop + li x5, 0x21 + bne x5, x17, reg2_error_loop + li x5, 0x22 + bne x5, x18, reg2_error_loop + li x5, 0x23 + bne x5, x19, reg2_error_loop + li x5, 0x24 + bne x5, x20, reg2_error_loop + li x5, 0x25 + bne x5, x21, reg2_error_loop + li x5, 0x26 + bne x5, x22, reg2_error_loop + li x5, 0x27 + bne x5, x23, reg2_error_loop + li x5, 0x28 + bne x5, x24, reg2_error_loop + li x5, 0x29 + bne x5, x25, reg2_error_loop + li x5, 0x2a + bne x5, x26, reg2_error_loop + li x5, 0x2b + bne x5, x27, reg2_error_loop + li x5, 0x2c + bne x5, x28, reg2_error_loop + li x5, 0x2d + bne x5, x29, reg2_error_loop + li x5, 0x2e + bne x5, x30, reg2_error_loop + li x5, 0x2f + bne x5, x31, reg2_error_loop + + /* Everything passed, increment the loop counter. */ + lw x5, ulRegTest2LoopCounterConst + lw x6, 0(x5) + addi x6, x6, 1 + sw x6, 0(x5) + + /* Restore clobbered register reading for next loop. */ + li x6, 0x61 + + /* Start again. */ + jal Reg2_loop + +reg2_error_loop: + /* Jump here if a register contains an uxpected value. This stops the loop + counter being incremented so the check task knows an error was found. */ + ebreak + jal reg2_error_loop + +.align( 4 ) +ulRegTest2LoopCounterConst: .word ulRegTest2LoopCounter + + diff --git a/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/makefile b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/makefile new file mode 100644 index 0000000..cdc8c1a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_freeRTOS/makefile @@ -0,0 +1,144 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + + +# ***************************************************************************** +# USER CONFIGURATION +# ***************************************************************************** +# User's application sources (*.c, *.cpp, *.s, *.S); add additional files here +APP_SRC ?= $(wildcard ./*.c) $(wildcard ./*.s) $(wildcard ./*.cpp) $(wildcard ./*.S) + +# User's application include folders (don't forget the '-I' before each entry) +APP_INC ?= -I . +# User's application include folders - for assembly files only (don't forget the '-I' before each entry) +ASM_INC ?= -I . + +# Optimization +EFFORT ?= -Os + +# Compiler toolchain +RISCV_PREFIX ?= riscv32-unknown-elf- + +# CPU architecture and ABI +MARCH ?= rv32i +MABI ?= ilp32 + +# User flags for additional configuration (will be added to compiler flags) +USER_FLAGS ?= + +# Relative or absolute path to the NEORV32 home folder +NEORV32_HOME ?= ../../.. +# ***************************************************************************** + + + +# ----------------------------------------------------------------------------- +# FreeRTOS +# ----------------------------------------------------------------------------- +ifneq (,$(findstring RUN_FREERTOS_DEMO,$(USER_FLAGS))) +# FreeRTOS home folder (adapt this!) +FREERTOS_HOME ?= /mnt/n/Projects/FreeRTOSv10.4.1 + +# FreeRTOS RISC-V specific +APP_SRC += $(wildcard $(FREERTOS_HOME)/FreeRTOS/Source/portable/GCC/RISC-V/*.c) +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Source/portable/GCC/RISC-V/portASM.S + +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS/Source/portable/GCC/RISC-V + +# FreeRTOS core +APP_SRC += $(wildcard $(FREERTOS_HOME)/FreeRTOS/Source/*.c) +APP_SRC += $(wildcard $(FREERTOS_HOME)/FreeRTOS/Source/portable/MemMang/heap_4.c) + +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS/Source/include + +# FreeRTOS sources for the full_demo +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/blocktim.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/dynamic.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/EventGroupsDemo.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/GenQTest.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/recmutex.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/TaskNotify.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/TaskNotifyArray.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS/Demo/Common/Minimal/TimerDemo.c + +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS/Demo/Common/include + +# NEORV32 specific +ASM_INC += -DportasmHANDLE_INTERRUPT=SystemIrqHandler + +APP_INC += -I chip_specific_extensions/neorv32 + +ASM_INC += -I chip_specific_extensions/neorv32 + +# Demo application +APP_SRC += blinky_demo/main_blinky.c +APP_SRC += full_demo/main_full.c +APP_SRC += full_demo/RegTest.s +endif + +# ----------------- +# FreeRTOS-Plus-CLI +# ----------------- +ifneq (,$(findstring FREERTOS_PLUS_CLI,$(USER_FLAGS))) +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-CLI/FreeRTOS_CLI.c + +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-CLI +endif + +# ----------------- +# FreeRTOS-Plus-TCP +# ----------------- +ifneq (,$(findstring FREERTOS_PLUS_TCP,$(USER_FLAGS))) +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_ARP.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_DHCP.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_DNS.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_IP.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_Sockets.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_Stream_Buffer.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_TCP_IP.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_TCP_WIN.c +APP_SRC += $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_UDP_IP.c + +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/include +APP_INC += -I $(FREERTOS_HOME)/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/Compiler/GCC +endif + + + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_gptmr/makefile b/Libs/RiscV/NEORV32/sw/example/demo_gptmr/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_gptmr/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_neopixel/makefile b/Libs/RiscV/NEORV32/sw/example/demo_neopixel/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_neopixel/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_pwm/makefile b/Libs/RiscV/NEORV32/sw/example/demo_pwm/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_pwm/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_slink/makefile b/Libs/RiscV/NEORV32/sw/example/demo_slink/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_slink/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_spi/makefile b/Libs/RiscV/NEORV32/sw/example/demo_spi/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_spi/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_trng/makefile b/Libs/RiscV/NEORV32/sw/example/demo_trng/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_trng/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_twi/makefile b/Libs/RiscV/NEORV32/sw/example/demo_twi/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_twi/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_wdt/makefile b/Libs/RiscV/NEORV32/sw/example/demo_wdt/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_wdt/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/demo_xirq/makefile b/Libs/RiscV/NEORV32/sw/example/demo_xirq/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/demo_xirq/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/dhrystone/LICENSE b/Libs/RiscV/NEORV32/sw/example/dhrystone/LICENSE new file mode 100644 index 0000000..ab666a9 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/dhrystone/LICENSE @@ -0,0 +1,9 @@ +Original LICENSE from https://github.com/sifive/benchmark-dhrystone + +Dhrystone +------------------------------------------------------------------------------ +There is no explicit license defined. They were originally +written in ADA by Reinhold P. Weicker and translated to C by Rick Richardson . + +The source obtained from the following site: +https://fossies.org/linux/privat/old/dhrystone-2.1.tar.gz diff --git a/Libs/RiscV/NEORV32/sw/example/dhrystone/README.md b/Libs/RiscV/NEORV32/sw/example/dhrystone/README.md new file mode 100644 index 0000000..81c4ec1 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/dhrystone/README.md @@ -0,0 +1,98 @@ +# Dhrystone Benchmark + +:copyright: Original sources from [https://github.com/sifive/benchmark-dhrystone](https://github.com/sifive/benchmark-dhrystone) (also, see `LICENSE`). +The source code has been modified for the NEORV32 processor. + +To compile the NEORV32 executable: +``` +neorv32/sw/example/dhrystone$ sh dhrystone.sh +``` + +The default number of iterations is 2000000. You can modify this by changing `USER_FLAGS+=-DDHRY_ITERS=2000000` in `dhrystone.sh`. +The default optimization level (EFFORT) is `O3`. + +:warning: Dhrystone will require an IMEM size of 16kB and a DMEM size of 16kB. The MTIME machine timer is used for timing evaluation. + +:construction: Porting Dhrystone is still work-in-progress. Performance results might be incorrect and not optimized. +All results only show the integer parts. + +### Exemplary Output + +Output generated for processor HW version [v1.5.9.1](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) +using performance-optimized configuration options. + +``` +NEORV32: Processor running at 100000000 Hz +NEORV32: Executing Dhrystone (2000000 iterations). This may take some time... + + +Dhrystone Benchmark, Version 2.1 (Language: C) + +Program compiled without 'register' attribute + +Execution starts, 2000000 runs through Dhrystone +Execution ends + +Final values of the variables used in the benchmark: + +Int_Glob: 5 + should be: 5 +Bool_Glob: 1 + should be: 1 +Ch_1_Glob: A + should be: A +Ch_2_Glob: B + should be: B +Arr_1_Glob[8]: 7 + should be: 7 +Arr_2_Glob[8][7]: 2000010 + should be: Number_Of_Runs + 10 +Ptr_Glob-> + Ptr_Comp: -2147467428 + should be: (implementation-dependent) + Discr: 0 + should be: 0 + Enum_Comp: 2 + should be: 2 + Int_Comp: 17 + should be: 17 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Next_Ptr_Glob-> + Ptr_Comp: -2147467428 + should be: (implementation-dependent), same as above + Discr: 0 + should be: 0 + Enum_Comp: 1 + should be: 1 + Int_Comp: 18 + should be: 18 + Str_Comp: DHRYSTONE PROGRAM, SOME STRING + should be: DHRYSTONE PROGRAM, SOME STRING +Int_1_Loc: 5 + should be: 5 +Int_2_Loc: 13 + should be: 13 +Int_3_Loc: 7 + should be: 7 +Enum_Loc: 1 + should be: 1 +Str_1_Loc: DHRYSTONE PROGRAM, 1'ST STRING + should be: DHRYSTONE PROGRAM, 1'ST STRING +Str_2_Loc: DHRYSTONE PROGRAM, 2'ND STRING + should be: DHRYSTONE PROGRAM, 2'ND STRING + +Microseconds for one run through Dhrystone: 13 +Dhrystones per Second: 76923 + +NEORV32: << DETAILED RESULTS (integer parts only) >> +NEORV32: Total cycles: 2662000187 +NEORV32: Cycles per second: 100000000 +NEORV32: Total runs: 2000000 + +NEORV32: DMIPS/s: 76923 +NEORV32: DMIPS/MHz: 769 + +NEORV32: VAX DMIPS/s: 43 +NEORV32: VAX DMIPS/MHz: 43/100 +``` diff --git a/Libs/RiscV/NEORV32/sw/example/dhrystone/dhry.h b/Libs/RiscV/NEORV32/sw/example/dhrystone/dhry.h new file mode 100644 index 0000000..f296c1b --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/dhrystone/dhry.h @@ -0,0 +1,451 @@ +/* + **************************************************************************** + * + * "DHRYSTONE" Benchmark Program + * ----------------------------- + * + * Version: C, Version 2.1 + * + * File: dhry.h (part 1 of 3) + * + * Date: May 25, 1988 + * + * Author: Reinhold P. Weicker + * Siemens AG, E STE 35 + * Postfach 3240 + * 8520 Erlangen + * Germany (West) + * Phone: [xxx-49]-9131-7-20330 + * (8-17 Central European Time) + * Usenet: ..!mcvax!unido!estevax!weicker + * + * Original Version (in Ada) published in + * "Communications of the ACM" vol. 27., no. 10 (Oct. 1984), + * pp. 1013 - 1030, together with the statistics + * on which the distribution of statements etc. is based. + * + * In this C version, the following C library functions are used: + * - strcpy, strcmp (inside the measurement loop) + * - printf, scanf (outside the measurement loop) + * In addition, Berkeley UNIX system calls "times ()" or "time ()" + * are used for execution time measurement. For measurements + * on other systems, these calls have to be changed. + * + * Collection of Results: + * Reinhold Weicker (address see above) and + * + * Rick Richardson + * PC Research. Inc. + * 94 Apple Orchard Drive + * Tinton Falls, NJ 07724 + * Phone: (201) 389-8963 (9-17 EST) + * Usenet: ...!uunet!pcrat!rick + * + * Please send results to Rick Richardson and/or Reinhold Weicker. + * Complete information should be given on hardware and software used. + * Hardware information includes: Machine type, CPU, type and size + * of caches; for microprocessors: clock frequency, memory speed + * (number of wait states). + * Software information includes: Compiler (and runtime library) + * manufacturer and version, compilation switches, OS version. + * The Operating System version may give an indication about the + * compiler; Dhrystone itself performs no OS calls in the measurement loop. + * + * The complete output generated by the program should be mailed + * such that at least some checks for correctness can be made. + * + *************************************************************************** + * + * History: This version C/2.1 has been made for two reasons: + * + * 1) There is an obvious need for a common C version of + * Dhrystone, since C is at present the most popular system + * programming language for the class of processors + * (microcomputers, minicomputers) where Dhrystone is used most. + * There should be, as far as possible, only one C version of + * Dhrystone such that results can be compared without + * restrictions. In the past, the C versions distributed + * by Rick Richardson (Version 1.1) and by Reinhold Weicker + * had small (though not significant) differences. + * + * 2) As far as it is possible without changes to the Dhrystone + * statistics, optimizing compilers should be prevented from + * removing significant statements. + * + * This C version has been developed in cooperation with + * Rick Richardson (Tinton Falls, NJ), it incorporates many + * ideas from the "Version 1.1" distributed previously by + * him over the UNIX network Usenet. + * I also thank Chaim Benedelac (National Semiconductor), + * David Ditzel (SUN), Earl Killian and John Mashey (MIPS), + * Alan Smith and Rafael Saavedra-Barrera (UC at Berkeley) + * for their help with comments on earlier versions of the + * benchmark. + * + * Changes: In the initialization part, this version follows mostly + * Rick Richardson's version distributed via Usenet, not the + * version distributed earlier via floppy disk by Reinhold Weicker. + * As a concession to older compilers, names have been made + * unique within the first 8 characters. + * Inside the measurement loop, this version follows the + * version previously distributed by Reinhold Weicker. + * + * At several places in the benchmark, code has been added, + * but within the measurement loop only in branches that + * are not executed. The intention is that optimizing compilers + * should be prevented from moving code out of the measurement + * loop, or from removing code altogether. Since the statements + * that are executed within the measurement loop have NOT been + * changed, the numbers defining the "Dhrystone distribution" + * (distribution of statements, operand types and locality) + * still hold. Except for sophisticated optimizing compilers, + * execution times for this version should be the same as + * for previous versions. + * + * Since it has proven difficult to subtract the time for the + * measurement loop overhead in a correct way, the loop check + * has been made a part of the benchmark. This does have + * an impact - though a very minor one - on the distribution + * statistics which have been updated for this version. + * + * All changes within the measurement loop are described + * and discussed in the companion paper "Rationale for + * Dhrystone version 2". + * + * Because of the self-imposed limitation that the order and + * distribution of the executed statements should not be + * changed, there are still cases where optimizing compilers + * may not generate code for some statements. To a certain + * degree, this is unavoidable for small synthetic benchmarks. + * Users of the benchmark are advised to check code listings + * whether code is generated for all statements of Dhrystone. + * + * Version 2.1 is identical to version 2.0 distributed via + * the UNIX network Usenet in March 1988 except that it corrects + * some minor deficiencies that were found by users of version 2.0. + * The only change within the measurement loop is that a + * non-executed "else" part was added to the "if" statement in + * Func_3, and a non-executed "else" part removed from Proc_3. + * + *************************************************************************** + * + * Defines: The following "Defines" are possible: + * -DREG=register (default: Not defined) + * As an approximation to what an average C programmer + * might do, the "register" storage class is applied + * (if enabled by -DREG=register) + * - for local variables, if they are used (dynamically) + * five or more times + * - for parameters if they are used (dynamically) + * six or more times + * Note that an optimal "register" strategy is + * compiler-dependent, and that "register" declarations + * do not necessarily lead to faster execution. + * -DNOSTRUCTASSIGN (default: Not defined) + * Define if the C compiler does not support + * assignment of structures. + * -DNOENUMS (default: Not defined) + * Define if the C compiler does not support + * enumeration types. + * -DTIMES (default) + * -DTIME + * The "times" function of UNIX (returning process times) + * or the "time" function (returning wallclock time) + * is used for measurement. + * For single user machines, "time ()" is adequate. For + * multi-user machines where you cannot get single-user + * access, use the "times ()" function. If you have + * neither, use a stopwatch in the dead of night. + * "printf"s are provided marking the points "Start Timer" + * and "Stop Timer". DO NOT use the UNIX "time(1)" + * command, as this will measure the total time to + * run this program, which will (erroneously) include + * the time to allocate storage (malloc) and to perform + * the initialization. + * -DHZ=nnn + * In Berkeley UNIX, the function "times" returns process + * time in 1/HZ seconds, with HZ = 60 for most systems. + * CHECK YOUR SYSTEM DESCRIPTION BEFORE YOU JUST APPLY + * A VALUE. + * + *************************************************************************** + * + * Compilation model and measurement (IMPORTANT): + * + * This C version of Dhrystone consists of three files: + * - dhry.h (this file, containing global definitions and comments) + * - dhry_1.c (containing the code corresponding to Ada package Pack_1) + * - dhry_2.c (containing the code corresponding to Ada package Pack_2) + * + * The following "ground rules" apply for measurements: + * - Separate compilation + * - No procedure merging + * - Otherwise, compiler optimizations are allowed but should be indicated + * - Default results are those without register declarations + * See the companion paper "Rationale for Dhrystone Version 2" for a more + * detailed discussion of these ground rules. + * + * For 16-Bit processors (e.g. 80186, 80286), times for all compilation + * models ("small", "medium", "large" etc.) should be given if possible, + * together with a definition of these models for the compiler system used. + * + ************************************************************************** + * + * Dhrystone (C version) statistics: + * + * [Comment from the first distribution, updated for version 2. + * Note that because of language differences, the numbers are slightly + * different from the Ada version.] + * + * The following program contains statements of a high level programming + * language (here: C) in a distribution considered representative: + * + * assignments 52 (51.0 %) + * control statements 33 (32.4 %) + * procedure, function calls 17 (16.7 %) + * + * 103 statements are dynamically executed. The program is balanced with + * respect to the three aspects: + * + * - statement type + * - operand type + * - operand locality + * operand global, local, parameter, or constant. + * + * The combination of these three aspects is balanced only approximately. + * + * 1. Statement Type: + * ----------------- number + * + * V1 = V2 9 + * (incl. V1 = F(..) + * V = Constant 12 + * Assignment, 7 + * with array element + * Assignment, 6 + * with record component + * -- + * 34 34 + * + * X = Y +|-|"&&"|"|" Z 5 + * X = Y +|-|"==" Constant 6 + * X = X +|- 1 3 + * X = Y *|/ Z 2 + * X = Expression, 1 + * two operators + * X = Expression, 1 + * three operators + * -- + * 18 18 + * + * if .... 14 + * with "else" 7 + * without "else" 7 + * executed 3 + * not executed 4 + * for ... 7 | counted every time + * while ... 4 | the loop condition + * do ... while 1 | is evaluated + * switch ... 1 + * break 1 + * declaration with 1 + * initialization + * -- + * 34 34 + * + * P (...) procedure call 11 + * user procedure 10 + * library procedure 1 + * X = F (...) + * function call 6 + * user function 5 + * library function 1 + * -- + * 17 17 + * --- + * 103 + * + * The average number of parameters in procedure or function calls + * is 1.82 (not counting the function values aX * + * + * 2. Operators + * ------------ + * number approximate + * percentage + * + * Arithmetic 32 50.8 + * + * + 21 33.3 + * - 7 11.1 + * * 3 4.8 + * / (int div) 1 1.6 + * + * Comparison 27 42.8 + * + * == 9 14.3 + * /= 4 6.3 + * > 1 1.6 + * < 3 4.8 + * >= 1 1.6 + * <= 9 14.3 + * + * Logic 4 6.3 + * + * && (AND-THEN) 1 1.6 + * | (OR) 1 1.6 + * ! (NOT) 2 3.2 + * + * -- ----- + * 63 100.1 + * + * + * 3. Operand Type (counted once per operand reference): + * --------------- + * number approximate + * percentage + * + * Integer 175 72.3 % + * Character 45 18.6 % + * Pointer 12 5.0 % + * String30 6 2.5 % + * Array 2 0.8 % + * Record 2 0.8 % + * --- ------- + * 242 100.0 % + * + * When there is an access path leading to the final operand (e.g. a record + * component), only the final data type on the access path is counted. + * + * + * 4. Operand Locality: + * ------------------- + * number approximate + * percentage + * + * local variable 114 47.1 % + * global variable 22 9.1 % + * parameter 45 18.6 % + * value 23 9.5 % + * reference 22 9.1 % + * function result 6 2.5 % + * constant 55 22.7 % + * --- ------- + * 242 100.0 % + * + * + * The program does not compute anything meaningful, but it is syntactically + * and semantically correct. All variables have a value assigned to them + * before they are used as a source operand. + * + * There has been no explicit effort to account for the effects of a + * cache, or to balance the use of long or short displacements for code or + * data. + * + *************************************************************************** + */ + +#ifndef dhrystone_h +#define dhrystone_h + +/* Compiler and system dependent definitions: */ + +#ifndef TIME +#undef TIMES +#define TIMES +#endif + /* Use times(2) time function unless */ + /* explicitly defined otherwise */ + +//#ifdef MSC_CLOCK +//#undef HZ +//#undef TIMES +//#include +//#define HZ CLK_TCK +//#endif +// /* Use Microsoft C hi-res clock */ + +#define HZ SYSINFO_CLK + +#ifdef TIMES +#include +#include + /* for "times" */ +#endif + +#define Mic_secs_Per_Second 1000000 + /* Berkeley UNIX C returns process times in seconds/HZ */ + +#ifdef NOSTRUCTASSIGN +#define structassign(d, s) memcpy(&(d), &(s), sizeof(d)) +#else +#define structassign(d, s) d = s +#endif + +#ifdef NOENUM +#define Ident_1 0 +#define Ident_2 1 +#define Ident_3 2 +#define Ident_4 3 +#define Ident_5 4 + typedef int Enumeration; +#else + typedef enum {Ident_1, Ident_2, Ident_3, Ident_4, Ident_5} + Enumeration; +#endif + /* for boolean and enumeration types in Ada, Pascal */ + +/* General definitions: */ + +#include + /* for strcpy, strcmp */ + +#define Null 0 + /* Value of a Null pointer */ +#define true 1 +#define false 0 + +typedef int One_Thirty; +typedef int One_Fifty; +typedef char Capital_Letter; +typedef int Boolean; +typedef char Str_30 [31]; +typedef int Arr_1_Dim [50]; +typedef int Arr_2_Dim [50] [50]; + +typedef struct record + { + struct record *Ptr_Comp; + Enumeration Discr; + union { + struct { + Enumeration Enum_Comp; + int Int_Comp; + char Str_Comp [31]; + } var_1; + struct { + Enumeration E_Comp_2; + char Str_2_Comp [31]; + } var_2; + struct { + char Ch_1_Comp; + char Ch_2_Comp; + } var_3; + } variant; + } Rec_Type, *Rec_Pointer; + + +// function prototypes +Enumeration Func_1 (Ch_1_Par_Val, Ch_2_Par_Val); +Boolean Func_2 (Str_1_Par_Ref, Str_2_Par_Ref); +Boolean Func_3 (Enum_Par_Val); +void Proc_1 (Ptr_Val_Par); +void Proc_2 (Int_Par_Ref); +void Proc_3 (Ptr_Ref_Par); +void Proc_4 (void); +void Proc_5 (void); +void Proc_6 (Enum_Val_Par, Enum_Ref_Par); +void Proc_7 (Int_1_Par_Val, Int_2_Par_Val, Int_Par_Ref); +void Proc_8 (Arr_1_Par_Ref, Arr_2_Par_Ref, Int_1_Par_Val, Int_2_Par_Val); +int strcmp(const char *p1, const char *p2); + +#endif // dhrystone_h diff --git a/Libs/RiscV/NEORV32/sw/example/dhrystone/dhrystone.sh b/Libs/RiscV/NEORV32/sw/example/dhrystone/dhrystone.sh new file mode 100644 index 0000000..89f0c79 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/dhrystone/dhrystone.sh @@ -0,0 +1 @@ +make USER_FLAGS+=-DRUN_DHRYSTONE USER_FLAGS+=-DDHRY_ITERS=2000000 USER_FLAGS+=-DNOENUM MARCH=rv32imc EFFORT=-O3 clean_all exe diff --git a/Libs/RiscV/NEORV32/sw/example/dhrystone/makefile b/Libs/RiscV/NEORV32/sw/example/dhrystone/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/dhrystone/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/floating_point_test/README.md b/Libs/RiscV/NEORV32/sw/example/floating_point_test/README.md new file mode 100644 index 0000000..80c4bb1 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/floating_point_test/README.md @@ -0,0 +1,52 @@ +# NEORV32 `Zfinx` Floating-Point Extension + +The NEORV32 floating-point unit (FPU) implements the `Zfinx` RISC-V extension. The extensions can be enabled via the `CPU_EXTENSION_RISCV_Zfinx` top configuration generic. + +The RISC-V `Zfinx` single-precision floating-point extensions uses the integer register file `x` instead of the dedicated floating-point `f` register file (which is +defined by the RISC-V `F` single-precision floating-point extension). Hence, the standard data transfer instructions from the `F` extension are **not** available in `Zfinx`: + +* floating-point load/store operations (`FLW`, `FSW`) and their compressed versions +* integer register file `x` <-> floating point register file `f` move operations (`FMV.W.X`, `FMV.X.W`) + +:information_source: More information regarding the RISC-V `Zfinx` single-precision floating-point extension can be found in the official GitHub repo: +[`github.com/riscv/riscv-zfinx`](https://github.com/riscv/riscv-zfinx). + +:warning: The RISC-V `Zfinx` extension is not officially ratified yet, but it is assumed to remain unchanged. Hence, it is not supported by the upstream RISC-V GCC port. +Make sure you **do not** use the `f` ISA attribute when compiling applications that use floating-point arithmetic (`MARCH=rv32i*f*` is **NOT ALLOWED!**). + + +### :warning: FPU Limitations + +* The FPU **does not support subnormal numbers** yet. Subnormal FPU inputs and subnormal FPU results are always *flushed to zero*. The *classify* instruction `FCLASS` will never set the "subnormal" mask bits. +* Rounding mode `ob100` "round to nearest, ties to max magnitude" is not supported yet (this and all invalid rounding mode configurations behave as "round towards zero" (truncation)). + + +## Intrinsic Library + +The NEORV32 `Zfinx` floating-point extension can still be used using the provided **intrinsic library**. This library uses "custom" inline assmbly instructions +wrapped within normal C-language functions. Each original instruction of the extension can be utilized using an according intrinsic function. + +For example, the floating-point addition instruction `FADD.S` can be invoked using the according intrinsic function: + +```c +float riscv_intrinsic_fadds(float rs1, float rs2) +``` + +The pure-software emulation instruction, which uses the standard built-in functions to execute all floating-point operations, is available via wrapper function. The +emulation function for the `FADD.S` instruction is: + +```c +float riscv_emulate_fadds(float rs1, float rs2) +``` + +The emulation functions as well as the available intrinsics for the `Zfinx` extension are located in `neorv32_zfinx_extension_intrinsics.h`. + +The provided test program `main.c` verifies all currently implemented `Zfinx` instructions by checking the functionality against the pure software-based emulation model +(GCC soft-float library). + + +## Resources + +* Great page with online calculators for floating-point arithmetic: [http://www.ecs.umass.edu/ece/koren/arith/simulator/](http://www.ecs.umass.edu/ece/koren/arith/simulator/) +* A handy tool for visualizing floating-point numbers in their binary representation: [https://www.h-schmidt.net/FloatConverter/IEEE754.html](https://www.h-schmidt.net/FloatConverter/IEEE754.html) +* This helped me to understand what results the different FPU operation generate when having "special" inputs like NaN: [https://techdocs.altium.com/display/FPGA/IEEE+754+Standard+-+Overview](https://techdocs.altium.com/display/FPGA/IEEE+754+Standard+-+Overview) diff --git a/Libs/RiscV/NEORV32/sw/example/floating_point_test/makefile b/Libs/RiscV/NEORV32/sw/example/floating_point_test/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/floating_point_test/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h b/Libs/RiscV/NEORV32/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h new file mode 100644 index 0000000..5b4b578 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/floating_point_test/neorv32_zfinx_extension_intrinsics.h @@ -0,0 +1,1370 @@ +// ################################################################################################# +// # << NEORV32 - Intrinsics + Emulation Functions for the RISC-V "Zfinx" CPU extension >> # +// # ********************************************************************************************* # +// # The intrinsics provided by this library allow to use the hardware floating-point unit of the # +// # RISC-V Zfinx CPU extension without the need for Zfinx support by the compiler / toolchain. # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file floating_point_test/neorv32_zfinx_extension_intrinsics.h + * @author Stephan Nolting + * + * @brief "Intrinsic" library for the NEORV32 single-precision floating-point in x registers (Zfinx) extension + * @brief Also provides emulation functions for all intrinsics (functionality re-built in pure software). The functionality of the emulation + * @brief functions is based on the RISC-V floating-point spec. + * + * @note All operations from this library use the default GCC "round to nearest, ties to even" rounding mode. + * + * @warning This library is just a temporary fall-back until the Zfinx extensions are supported by the upstream RISC-V GCC port. + **************************************************************************/ + +#ifndef neorv32_zfinx_extension_intrinsics_h +#define neorv32_zfinx_extension_intrinsics_h + +#define __USE_GNU + +#include +//#pragma STDC FENV_ACCESS ON + +#define _GNU_SOURCE + +#include +#include + + +/**********************************************************************//** + * Sanity check + **************************************************************************/ +#if defined __riscv_f || (__riscv_flen == 32) + #error Application programs using the Zfinx intrinsic library have to be compiled WITHOUT the MARCH ISA attribute! +#endif + + +/**********************************************************************//** + * Custom data type to access floating-point values as native floats and in binary representation + **************************************************************************/ +typedef union +{ + uint32_t binary_value; /**< Access as native float */ + float float_value; /**< Access in binary representation */ +} float_conv_t; + + +// ################################################################################################ +// Helper functions +// ################################################################################################ + +/**********************************************************************//** + * Flush to zero if denormal number. + * + * @warning Subnormal numbers are not supported yet! Flush them to zero. + * + * @param[in] tmp Source operand. + * @return Result. + **************************************************************************/ +float subnormal_flush(float tmp) { + + float res = tmp; + + if (fpclassify(tmp) == FP_SUBNORMAL) { + if (signbit(tmp) != 0) { + res = -0.0f; + } + else { + res = +0.0f; + } + } + + return res; +} + + +// ################################################################################################ +// Exception access +// ################################################################################################ + +/**********************************************************************//** + * Get exception flags from fflags CSR (floating-point hardware). + * + * @return Floating point exception status word. + **************************************************************************/ +uint32_t get_hw_exceptions(void) { + + uint32_t res = neorv32_cpu_csr_read(CSR_FFLAGS); + + neorv32_cpu_csr_write(CSR_FFLAGS, 0); // clear status word + + return res; +} + + +/**********************************************************************//** + * Get exception flags from C runtime (floating-point emulation). + * + * @warning WORK-IN-PROGRESS! + * + * @return Floating point exception status word. + **************************************************************************/ +uint32_t get_sw_exceptions(void) { + + const uint32_t FP_EXC_NV_C = 1 << 0; // invalid operation + const uint32_t FP_EXC_DZ_C = 1 << 1; // divide by zero + const uint32_t FP_EXC_OF_C = 1 << 2; // overflow + const uint32_t FP_EXC_UF_C = 1 << 3; // underflow + const uint32_t FP_EXC_NX_C = 1 << 4; // inexact + + int fpeRaised = fetestexcept(FE_ALL_EXCEPT); + + uint32_t res = 0; + + if (fpeRaised & FE_INVALID) { res |= FP_EXC_NV_C; } + if (fpeRaised & FE_DIVBYZERO) { res |= FP_EXC_DZ_C; } + if (fpeRaised & FE_OVERFLOW) { res |= FP_EXC_OF_C; } + if (fpeRaised & FE_UNDERFLOW) { res |= FP_EXC_UF_C; } + if (fpeRaised & FE_INEXACT) { res |= FP_EXC_NX_C; } + + feclearexcept(FE_ALL_EXCEPT); + + return res; +} + + +// ################################################################################################ +// "Intrinsics" +// ################################################################################################ + +/**********************************************************************//** + * Single-precision floating-point addition + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fadds(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fadd.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000000, a1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point subtraction + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fsubs(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fsub.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0000100, a1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point multiplication + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fmuls(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fmul.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0001000, a1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point minimum + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fmins(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fmin.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010100, a1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point maximum + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fmaxs(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fmax.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010100, a1, a0, 0b001, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point convert float to unsigned integer + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_fcvt_wus(float rs1) { + + float_conv_t opa; + opa.float_value = rs1; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // fcvt.wu.s a0, a0 + CUSTOM_INSTR_R2_TYPE(0b1100000, x1, a0, 0b000, a0, 0b1010011); + + return result; +} + + +/**********************************************************************//** + * Single-precision floating-point convert float to signed integer + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline int32_t __attribute__ ((always_inline)) riscv_intrinsic_fcvt_ws(float rs1) { + + float_conv_t opa; + opa.float_value = rs1; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // fcvt.w.s a0, a0 + CUSTOM_INSTR_R2_TYPE(0b1100000, x0, a0, 0b000, a0, 0b1010011); + + return (int32_t)result; +} + + +/**********************************************************************//** + * Single-precision floating-point convert unsigned integer to float + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fcvt_swu(uint32_t rs1) { + + float_conv_t res; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // fcvt.s.wu a0, a0 + CUSTOM_INSTR_R2_TYPE(0b1101000, x1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point convert signed integer to float + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fcvt_sw(int32_t rs1) { + + float_conv_t res; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = (uint32_t)rs1; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // fcvt.s.w a0, a0 + CUSTOM_INSTR_R2_TYPE(0b1101000, x0, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point equal comparison + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_feqs(float rs1, float rs2) { + + float_conv_t opa, opb; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // feq.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b1010000, a1, a0, 0b010, a0, 0b1010011); + + return result; +} + + +/**********************************************************************//** + * Single-precision floating-point less-than comparison + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_flts(float rs1, float rs2) { + + float_conv_t opa, opb; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // flt.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b1010000, a1, a0, 0b001, a0, 0b1010011); + + return result; +} + + +/**********************************************************************//** + * Single-precision floating-point less-than-or-equal comparison + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_fles(float rs1, float rs2) { + + float_conv_t opa, opb; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fle.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b1010000, a1, a0, 0b000, a0, 0b1010011); + + return result; +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fsgnjs(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fsgnj.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b000, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection NOT + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fsgnjns(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fsgnjn.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b001, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection XOR + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fsgnjxs(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fsgnjx.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0010000, a1, a0, 0b010, a0, 0b1010011); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point number classification + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) riscv_intrinsic_fclasss(float rs1) { + + float_conv_t opa; + opa.float_value = rs1; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("" : [output] "=r" (result) : [input_i] "r" (tmp_a)); + + // fclass.s a0, a0 + CUSTOM_INSTR_R2_TYPE(0b1110000, x0, a0, 0b001, a0, 0b1010011); + + return result; +} + + +// ################################################################################################ +// !!! UNSUPPORTED instructions !!! +// ################################################################################################ + +/**********************************************************************//** + * Single-precision floating-point division + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0). + * @param[in] rs2 Source operand 2 (a1). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fdivs(float rs1, float rs2) { + + float_conv_t opa, opb, res; + opa.float_value = rs1; + opb.float_value = rs2; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + + // fdiv.s a0, a0, x1 + CUSTOM_INSTR_R2_TYPE(0b0001100, a1, a0, 0b000, a0, 0b1010011); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point square root + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0). + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fsqrts(float rs1) { + + float_conv_t opa, res; + opa.float_value = rs1; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], x0" : : [input_i] "r" (tmp_a)); + + // fsqrt.s a0, a0, a1 + CUSTOM_INSTR_R2_TYPE(0b0101100, a1, a0, 0b000, a0, 0b1010011); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point fused multiply-add + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0) + * @param[in] rs2 Source operand 2 (a1) + * @param[in] rs3 Source operand 3 (a2) + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fmadds(float rs1, float rs2, float rs3) { + + float_conv_t opa, opb, opc, res; + opa.float_value = rs1; + opb.float_value = rs2; + opc.float_value = rs3; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + register uint32_t tmp_c __asm__ ("a2") = opc.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_b), [input_j] "r" (tmp_c)); + + // fmadd.s a0, a0, a1, a2 + CUSTOM_INSTR_R3_TYPE(a2, a1, a0, 0b000, a0, 0b1000011); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point fused multiply-sub + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0) + * @param[in] rs2 Source operand 2 (a1) + * @param[in] rs3 Source operand 3 (a2) + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fmsubs(float rs1, float rs2, float rs3) { + + float_conv_t opa, opb, opc, res; + opa.float_value = rs1; + opb.float_value = rs2; + opc.float_value = rs3; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + register uint32_t tmp_c __asm__ ("a2") = opc.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_b), [input_j] "r" (tmp_c)); + + // fmsub.s a0, a0, a1, a2 + CUSTOM_INSTR_R3_TYPE(a2, a1, a0, 0b000, a0, 0b1000111); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point fused negated multiply-sub + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0) + * @param[in] rs2 Source operand 2 (a1) + * @param[in] rs3 Source operand 3 (a2) + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fnmsubs(float rs1, float rs2, float rs3) { + + float_conv_t opa, opb, opc, res; + opa.float_value = rs1; + opb.float_value = rs2; + opc.float_value = rs3; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + register uint32_t tmp_c __asm__ ("a2") = opc.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_b), [input_j] "r" (tmp_c)); + + // fnmsub.s a0, a0, a1, a2 + CUSTOM_INSTR_R3_TYPE(a2, a1, a0, 0b000, a0, 0b1001011); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +/**********************************************************************//** + * Single-precision floating-point fused negated multiply-add + * + * @warning This instruction is not supported and should raise an illegal instruction exception when executed. + * + * @param[in] rs1 Source operand 1 (a0) + * @param[in] rs2 Source operand 2 (a1) + * @param[in] rs3 Source operand 3 (a2) + * @return Result. + **************************************************************************/ +inline float __attribute__ ((always_inline)) riscv_intrinsic_fnmadds(float rs1, float rs2, float rs3) { + + float_conv_t opa, opb, opc, res; + opa.float_value = rs1; + opb.float_value = rs2; + opc.float_value = rs3; + + register uint32_t result __asm__ ("a0"); + register uint32_t tmp_a __asm__ ("a0") = opa.binary_value; + register uint32_t tmp_b __asm__ ("a1") = opb.binary_value; + register uint32_t tmp_c __asm__ ("a2") = opc.binary_value; + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_a), [input_j] "r" (tmp_b)); + asm volatile ("add x0, %[input_i], %[input_j]" : : [input_i] "r" (tmp_b), [input_j] "r" (tmp_c)); + + // fnmadd.s a0, a0, a1, a2 + CUSTOM_INSTR_R3_TYPE(a2, a1, a0, 0b000, a0, 0b1001111); + + // dummy instruction to prevent GCC "constprop" optimization + asm volatile ("add %[res], %[input], x0" : [res] "=r" (result) : [input] "r" (result) ); + + res.binary_value = result; + return res.float_value; +} + + +// ################################################################################################ +// Emulation functions +// ################################################################################################ + +/**********************************************************************//** + * Single-precision floating-point addition + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fadds(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + float res = opa + opb; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point subtraction + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fsubs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + float res = opa - opb; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point multiplication + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fmuls(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + float res = opa * opb; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point minimum + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fmins(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + union { + uint32_t binary_value; /**< Access as native float */ + float float_value; /**< Access in binary representation */ + } tmp_a, tmp_b; + + if ((fpclassify(opa) == FP_NAN) && (fpclassify(opb) == FP_NAN)) { + return nanf(""); + } + + if (fpclassify(opa) == FP_NAN) { + return opb; + } + + if (fpclassify(opb) == FP_NAN) { + return opa; + } + + // RISC-V spec: -0 < +0 + tmp_a.float_value = opa; + tmp_b.float_value = opb; + if (((tmp_a.binary_value == 0x80000000) && (tmp_b.binary_value == 0x00000000)) || + ((tmp_a.binary_value == 0x00000000) && (tmp_b.binary_value == 0x80000000))) { + return -0.0f; + } + + return fmin(opa, opb); +} + + +/**********************************************************************//** + * Single-precision floating-point maximum + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fmaxs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + union { + uint32_t binary_value; /**< Access as native float */ + float float_value; /**< Access in binary representation */ + } tmp_a, tmp_b; + + + if ((fpclassify(opa) == FP_NAN) && (fpclassify(opb) == FP_NAN)) { + return nanf(""); + } + + if (fpclassify(opa) == FP_NAN) { + return opb; + } + + if (fpclassify(opb) == FP_NAN) { + return opa; + } + + // RISC-V spec: -0 < +0 + tmp_a.float_value = opa; + tmp_b.float_value = opb; + if (((tmp_a.binary_value == 0x80000000) && (tmp_b.binary_value == 0x00000000)) || + ((tmp_a.binary_value == 0x00000000) && (tmp_b.binary_value == 0x80000000))) { + return +0.0f; + } + + return fmax(opa, opb); +} + + +/**********************************************************************//** + * Single-precision floating-point float to unsigned integer + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +uint32_t __attribute__ ((noinline)) riscv_emulate_fcvt_wus(float rs1) { + + float opa = subnormal_flush(rs1); + + return (uint32_t)roundf(opa); +} + + +/**********************************************************************//** + * Single-precision floating-point float to signed integer + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +int32_t __attribute__ ((noinline)) riscv_emulate_fcvt_ws(float rs1) { + + float opa = subnormal_flush(rs1); + + return (int32_t)roundf(opa); +} + + +/**********************************************************************//** + * Single-precision floating-point unsigned integer to float + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fcvt_swu(uint32_t rs1) { + + return (float)rs1; +} + + +/**********************************************************************//** + * Single-precision floating-point signed integer to float + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fcvt_sw(int32_t rs1) { + + return (float)rs1; +} + + +/**********************************************************************//** + * Single-precision floating-point equal comparison + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +uint32_t __attribute__ ((noinline)) riscv_emulate_feqs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + if ((fpclassify(opa) == FP_NAN) || (fpclassify(opb) == FP_NAN)) { + return 0; + } + + if isless(opa, opb) { + return 0; + } + else if isgreater(opa, opb) { + return 0; + } + else { + return 1; + } +} + + +/**********************************************************************//** + * Single-precision floating-point less-than comparison + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +uint32_t __attribute__ ((noinline)) riscv_emulate_flts(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + if ((fpclassify(opa) == FP_NAN) || (fpclassify(opb) == FP_NAN)) { + return 0; + } + + if isless(opa, opb) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Single-precision floating-point less-than-or-equal comparison + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +uint32_t __attribute__ ((noinline)) riscv_emulate_fles(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + if ((fpclassify(opa) == FP_NAN) || (fpclassify(opb) == FP_NAN)) { + return 0; + } + + if islessequal(opa, opb) { + return 1; + } + else { + return 0; + } +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fsgnjs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + int sign_1 = (int)signbit(opa); + int sign_2 = (int)signbit(opb); + float res = 0; + + if (sign_2 != 0) { // opb is negative + if (sign_1 == 0) { + res = -opa; + } + else { + res = opa; + } + } + else { // opb is positive + if (sign_1 == 0) { + res = opa; + } + else { + res = -opa; + } + } + + return res; +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection NOT + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fsgnjns(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + int sign_1 = (int)signbit(opa); + int sign_2 = (int)signbit(opb); + float res = 0; + + if (sign_2 != 0) { // opb is negative + if (sign_1 == 0) { + res = opa; + } + else { + res = -opa; + } + } + else { // opb is positive + if (sign_1 == 0) { + res = -opa; + } + else { + res = opa; + } + } + + return res; +} + + +/**********************************************************************//** + * Single-precision floating-point sign-injection XOR + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fsgnjxs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + int sign_1 = (int)signbit(opa); + int sign_2 = (int)signbit(opb); + float res = 0; + + if (((sign_1 == 0) && (sign_2 != 0)) || ((sign_1 != 0) && (sign_2 == 0))) { + if (sign_1 == 0) { + res = -opa; + } + else { + res = opa; + } + } + else { + if (sign_1 == 0) { + res = opa; + } + else { + res = -opa; + } + } + + return res; +} + + +/**********************************************************************//** + * Single-precision floating-point number classification + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +uint32_t __attribute__ ((noinline)) riscv_emulate_fclasss(float rs1) { + + float opa = subnormal_flush(rs1); + + union { + uint32_t binary_value; /**< Access as native float */ + float float_value; /**< Access in binary representation */ + } aux; + + // RISC-V classify result layout + const uint32_t CLASS_NEG_INF = 1 << 0; // negative infinity + const uint32_t CLASS_NEG_NORM = 1 << 1; // negative normal number + const uint32_t CLASS_NEG_DENORM = 1 << 2; // negative subnormal number + const uint32_t CLASS_NEG_ZERO = 1 << 3; // negative zero + const uint32_t CLASS_POS_ZERO = 1 << 4; // positive zero + const uint32_t CLASS_POS_DENORM = 1 << 5; // positive subnormal number + const uint32_t CLASS_POS_NORM = 1 << 6; // positive normal number + const uint32_t CLASS_POS_INF = 1 << 7; // positive infinity + const uint32_t CLASS_SNAN = 1 << 8; // signaling NaN (sNaN) + const uint32_t CLASS_QNAN = 1 << 9; // quiet NaN (qNaN) + + int tmp = fpclassify(opa); + int sgn = (int)signbit(opa); + + uint32_t res = 0; + + // infinity + if (tmp == FP_INFINITE) { + if (sgn) { res |= CLASS_NEG_INF; } + else { res |= CLASS_POS_INF; } + } + + // zero + if (tmp == FP_ZERO) { + if (sgn) { res |= CLASS_NEG_ZERO; } + else { res |= CLASS_POS_ZERO; } + } + + // normal + if (tmp == FP_NORMAL) { + if (sgn) { res |= CLASS_NEG_NORM; } + else { res |= CLASS_POS_NORM; } + } + + // subnormal + if (tmp == FP_SUBNORMAL) { + if (sgn) { res |= CLASS_NEG_DENORM; } + else { res |= CLASS_POS_DENORM; } + } + + // NaN + if (tmp == FP_NAN) { + aux.float_value = opa; + if ((aux.binary_value >> 22) & 0b1) { // bit 22 (mantissa's MSB) is set -> canonical (quiet) NAN + res |= CLASS_QNAN; + } + else { + res |= CLASS_SNAN; + } + } + + return res; +} + + +/**********************************************************************//** + * Single-precision floating-point division + * + * @param[in] rs1 Source operand 1. + * @param[in] rs2 Source operand 2. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fdivs(float rs1, float rs2) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + + float res = opa / opb; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point square root + * + * @param[in] rs1 Source operand 1. + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fsqrts(float rs1) { + + float opa = subnormal_flush(rs1); + + float res = sqrtf(opa); + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point fused multiply-add + * + * @note "noinline" attributed to make sure arguments/return values are in a0 and a1. + * + * @warning This instruction is not supported! + * + * @param[in] rs1 Source operand 1 + * @param[in] rs2 Source operand 2 + * @param[in] rs3 Source operand 3 + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fmadds(float rs1, float rs2, float rs3) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + float opc = subnormal_flush(rs3); + + float res = (opa * opb) + opc; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point fused multiply-sub + * + * @param[in] rs1 Source operand 1 + * @param[in] rs2 Source operand 2 + * @param[in] rs3 Source operand 3 + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fmsubs(float rs1, float rs2, float rs3) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + float opc = subnormal_flush(rs3); + + float res = (opa * opb) - opc; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point fused negated multiply-sub + * + * @param[in] rs1 Source operand 1 + * @param[in] rs2 Source operand 2 + * @param[in] rs3 Source operand 3 + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fnmsubs(float rs1, float rs2, float rs3) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + float opc = subnormal_flush(rs3); + + float res = -(opa * opb) + opc; + return subnormal_flush(res); +} + + +/**********************************************************************//** + * Single-precision floating-point fused negated multiply-add + * + * @param[in] rs1 Source operand 1 + * @param[in] rs2 Source operand 2 + * @param[in] rs3 Source operand 3 + * @return Result. + **************************************************************************/ +float __attribute__ ((noinline)) riscv_emulate_fnmadds(float rs1, float rs2, float rs3) { + + float opa = subnormal_flush(rs1); + float opb = subnormal_flush(rs2); + float opc = subnormal_flush(rs3); + + float res = -(opa * opb) - opc; + return subnormal_flush(res); +} + + +#endif // neorv32_zfinx_extension_intrinsics_h + \ No newline at end of file diff --git a/Libs/RiscV/NEORV32/sw/example/game_of_life/makefile b/Libs/RiscV/NEORV32/sw/example/game_of_life/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/game_of_life/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/hello_world/makefile b/Libs/RiscV/NEORV32/sw/example/hello_world/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/hello_world/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/example/makefile b/Libs/RiscV/NEORV32/sw/example/makefile new file mode 100644 index 0000000..572b8c2 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/makefile @@ -0,0 +1,71 @@ +################################################################################################# +# << NEORV32 - All-Projects Makefile >> # +# ********************************************************************************************* # +# Make sure to add the riscv GCC compiler's bin folder to your PATH variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2020, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + + +#------------------------------------------------------------------------------- +# Make defaults and targets +#------------------------------------------------------------------------------- +.SUFFIXES: +.DEFAULT_GOAL := help + +TOPTARGETS := exe clean_all check info all + +SUBDIRS := $(wildcard */.) +# ignore dummy folders (starting with '~') +DUMMYDIRS := $(wildcard ~*/.) +SUBDIRS := $(filter-out $(DUMMYDIRS), $(SUBDIRS)) + +$(TOPTARGETS): $(SUBDIRS) +$(SUBDIRS): + @set -e + @$(MAKE) -C $@ $(MAKECMDGOALS) + +.PHONY: $(TOPTARGETS) $(SUBDIRS) + + +#------------------------------------------------------------------------------- +# Help +#------------------------------------------------------------------------------- +help: + @echo "Build / clean up all projects" + @echo "Targets:" + @echo " help - show this text" + @echo " check - check toolchain" + @echo " info - show makefile configuration" + @echo " exe - create executables from all projects" + @echo " all - create executables and boot images from all projects" + @echo " clean_all - clean up everything" + diff --git a/Libs/RiscV/NEORV32/sw/example/processor_check/makefile b/Libs/RiscV/NEORV32/sw/example/processor_check/makefile new file mode 100644 index 0000000..cd8a97a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/example/processor_check/makefile @@ -0,0 +1,40 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../../.. + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/Libs/RiscV/NEORV32/sw/image_gen/uart_upload.sh b/Libs/RiscV/NEORV32/sw/image_gen/uart_upload.sh new file mode 100644 index 0000000..0d6ab76 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/image_gen/uart_upload.sh @@ -0,0 +1,58 @@ +#!/bin/sh + +set -e + +# Simple script to upload executable to bootloader + +if [ $# -ne 2 ] +then + echo "Upload image via serial port (UART) to the NEORV32 bootloader." + echo "Reset processor before starting the upload." + echo "Usage: [sudo] sh uart_upload.sh " + echo "Example: sh uart_upload.sh /dev/ttyS6 neorv32_exe.bin" + exit +fi + +# configure serial port +stty -F "$1" 19200 -hup raw -echo -echoe -echok -echoctl -echoke -crtscts cs8 -cstopb noflsh clocal cread + +# trigger fast upload mode and get response +exec 3<$1 # redirect serial output to fd 3 + cat <&3 > uart_upload.response.dat & # redirect serial output to file + PID=$! # save pid to kill cat + printf "u" > $1 # send upload command to serial port + sleep 0.5s # wait for bootloader response + kill $PID # kill cat process + +exec 3<&- # free fd 3 + +# check response +if ! grep -Fq "Awaiting neorv32_exe.bin" uart_upload.response.dat; +then + echo "Bootloader response error." + echo "Reset processor before starting the upload." + rm -f uart_upload.response.dat + exit +fi + +# send executable and get repsonse +echo -n "Uploading... " +exec 3<$1 # redirect serial output to fd 3 + cat <&3 > uart_upload.response.dat & # redirect serial output to file + PID=$! # save pid to kill cat + cat "$2" > "$1" # send executable to serial port + sleep 3s # wait for bootloader response + kill $PID # kill cat process + +exec 3<&- # free fd 3 + +# check response +if ! grep -Fq "OK" uart_upload.response.dat; +then + echo "Upload error." + rm -f uart_upload.response.dat + exit +fi + +rm -f uart_upload.response.dat +echo "Done." diff --git a/Libs/RiscV/NEORV32/sw/isa-test/common.mk b/Libs/RiscV/NEORV32/sw/isa-test/common.mk new file mode 100644 index 0000000..e272cce --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/common.mk @@ -0,0 +1,74 @@ +ifndef NEORV32_ROOT + $(error NEORV32_ROOT is undefined) +endif + +NEORV32_LOCAL_RTL ?= $(NEORV32_ROOT)/sim/work + +TARGET_SIM ?= ghdl +TARGET_FLAGS ?= $(RISCV_TARGET_FLAGS) + +ifeq ($(shell command -v $(TARGET_SIM) 2> /dev/null),) + $(error Target simulator executable '$(TARGET_SIM)` not found) +endif + +NEORV32_MARCH ?= rv32i +NEORV32_MABI ?= ilp32 + +RISCV_PREFIX ?= riscv32-unknown-elf- +RISCV_GCC ?= $(RISCV_PREFIX)gcc +RISCV_OBJDUMP ?= $(RISCV_PREFIX)objdump +RISCV_OBJCOPY ?= $(RISCV_PREFIX)objcopy +RISCV_READELF ?= $(RISCV_PREFIX)readelf +RISCV_GCC_OPTS ?= -static -mcmodel=medany -fvisibility=hidden -nostdlib -nostartfiles -march=$(NEORV32_MARCH) -mabi=$(NEORV32_MABI) + +NEORV32_LINK ?= link.imem_rom.ld + +COMPILE_TARGET ?= \ + $$(RISCV_GCC) $(1) $$(RISCV_GCC_OPTS) \ + $$(RISCV_TARGET_FLAGS) \ + -I$(ROOTDIR)/riscv-test-suite/env/ \ + -I$(TARGETDIR)/$(RISCV_TARGET)/ \ + -T$(TARGETDIR)/$(RISCV_TARGET)/$(NEORV32_LINK) \ + $$(<) -o $$@ + +NEORV32_CPU_EXTENSION_RISCV_C ?= false +NEORV32_CPU_EXTENSION_RISCV_E ?= false +NEORV32_CPU_EXTENSION_RISCV_M ?= false +NEORV32_CPU_EXTENSION_RISCV_ZIFENCEI ?= false +NEORV32_MEM_INT_IMEM_SIZE ?= '2097152' + +NEORV32_SOFTWARE_EXAMPLE ?= $(NEORV32_ROOT)/sw/example/blink_led + +ifeq ($(NEORV32_CPU_EXTENSION_RISCV_ZIFENCEI), true) +RUN_TARGET ?= \ + echo "copying/using SIM-only IMEM (pre-initialized RAM!)"; \ + rm -f $(NEORV32_LOCAL_RTL)/core/mem/neorv32_imem.default.vhd; \ + cp -f $(NEORV32_ROOT)/sim/simple/neorv32_imem.iram.simple.vhd $(NEORV32_LOCAL_RTL)/core/mem/neorv32_imem.default.vhd; +else +RUN_TARGET ?= \ + echo "copying/using SIM-only IMEM (pre-initialized ROM!)"; \ + rm -f $(NEORV32_LOCAL_RTL)/core/mem/neorv32_imem.default.vhd; \ + cp -f $(NEORV32_ROOT)/sim/simple/neorv32_imem.simple.vhd $(NEORV32_LOCAL_RTL)/core/mem/neorv32_imem.default.vhd; +endif + +RUN_TARGET += \ + cd $(work_dir_isa); \ + echo ">"; \ + rm -f $(NEORV32_ROOT)/sim/*.out; \ + make -C $(NEORV32_SOFTWARE_EXAMPLE) main.elf; \ + cp -f $< $(NEORV32_SOFTWARE_EXAMPLE)/main.elf; \ + make -C $(NEORV32_SOFTWARE_EXAMPLE) main.bin install; \ + touch $(NEORV32_ROOT)/sim/simple/neorv32.uart0.sim_mode.data.out; \ + GHDL_DEVNULL=true $(shell which time) -v $(NEORV32_ROOT)/sim/simple/ghdl.run.sh \ + --stop-time=$(SIM_TIME) \ + -gCPU_EXTENSION_RISCV_A=false \ + -gCPU_EXTENSION_RISCV_C=$(NEORV32_CPU_EXTENSION_RISCV_C) \ + -gCPU_EXTENSION_RISCV_E=$(NEORV32_CPU_EXTENSION_RISCV_E) \ + -gCPU_EXTENSION_RISCV_M=$(NEORV32_CPU_EXTENSION_RISCV_M) \ + -gCPU_EXTENSION_RISCV_U=false \ + -gCPU_EXTENSION_RISCV_Zicsr=true \ + -gCPU_EXTENSION_RISCV_Zifencei=true \ + -gEXT_IMEM_C=false \ + -gMEM_INT_IMEM_SIZE=$(NEORV32_MEM_INT_IMEM_SIZE); \ + cp $(NEORV32_ROOT)/sim/simple/neorv32.uart0.sim_mode.data.out $(*).signature.output; \ + echo "<"; diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/README.md b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/README.md new file mode 100644 index 0000000..d263a24 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/README.md @@ -0,0 +1,28 @@ +# NEORV32 Port for running the RISC-V Architecture Tests + + +The following tasks are executed by the device makefiles: + +* replace the original processor's IMEM rtl file by a simulation-optimized IMEM (ROM!) +* `sed` command is used to modify the default testbench (`neorv32/sim/neorv32_tb.simple.vhd`): + * enable/disable the required `CPU_EXTENSION_RISCV_xxx` VHDL configuration generics in the testbench (`neorv32/sim/neorv32_tb.simple.vhd`) + * set the processor memory configuration +* compile test code and install application image to processor's `rtl/core` folder + * compilation uses the `link.imem_rom.ld` linker script as default; code (the test code) is executed from simulation-optimized IMEM (which is read-only); data including signature is stored to DMEM + * certain areas in the DMEM are initialized using port code in `model_test.h` (`RVTEST` = 0xbabecafe and `SIGNATURE` = 0xdeadbeef); can be disabled using `RISCV_TARGET_FLAGS=-DNEORV32_NO_DATA_INIT` +* the processor is simulated using the default testbench +* the results are dumped via the SIM_MODE feature of UART0 + * the according code can be found in the `RVMODEL_HALT` macro in `model_test.h` + * data output (the "signature") is zero-padded to be always a multiple of 16 bytes + + +**Notes** + +:warning: The `Zifencei` test requires the r/w/e capabilities of the original IMEM rtl file. +Hence, the original file is restored for this test. Also, this test uses `link.imem_ram.ld` as linker script since the +IMEM is used as RAM to allow self-modifying code. + +:information_source: The `RVMODEL_BOOT` macro in `model_test.h` provides a simple "dummy trap handler" that just advances +to the next instruction. This trap handler is required for some `C` tests as the NEORV32 will raise an illegal instruction +exception for **all** unimplemented instructions. The trap handler can be overriden (by changing `mtval` CSR) if a test +uses the defualt trap handler of the test framework. diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/C/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/C/Makefile.include new file mode 100644 index 0000000..36d296e --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/C/Makefile.include @@ -0,0 +1,6 @@ +NEORV32_MARCH ?= rv32ec +NEORV32_MABI ?= ilp32e +NEORV32_CPU_EXTENSION_RISCV_C ?= true +NEORV32_CPU_EXTENSION_RISCV_E ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/E/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/E/Makefile.include new file mode 100644 index 0000000..e797e8c --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/E/Makefile.include @@ -0,0 +1,5 @@ +NEORV32_MARCH ?= rv32e +NEORV32_MABI ?= ilp32e +NEORV32_CPU_EXTENSION_RISCV_E ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/M/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/M/Makefile.include new file mode 100644 index 0000000..e3623b3 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32e_unratified/M/Makefile.include @@ -0,0 +1,6 @@ +NEORV32_MARCH ?= rv32em +NEORV32_MABI ?= ilp32e +NEORV32_CPU_EXTENSION_RISCV_E ?= true +NEORV32_CPU_EXTENSION_RISCV_M ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/C/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/C/Makefile.include new file mode 100644 index 0000000..d699665 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/C/Makefile.include @@ -0,0 +1,4 @@ +NEORV32_MARCH ?= rv32ic +NEORV32_CPU_EXTENSION_RISCV_C ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/I/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/I/Makefile.include new file mode 100644 index 0000000..9e41632 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/I/Makefile.include @@ -0,0 +1 @@ +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/M/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/M/Makefile.include new file mode 100644 index 0000000..523d7b3 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/M/Makefile.include @@ -0,0 +1,4 @@ +NEORV32_MARCH ?= rv32im +NEORV32_CPU_EXTENSION_RISCV_M ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei/Makefile.include new file mode 100644 index 0000000..bbc15b3 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/Zifencei/Makefile.include @@ -0,0 +1,6 @@ +NEORV32_MARCH ?= rv32im +NEORV32_LINK ?= link.imem_ram.ld +NEORV32_MEM_INT_IMEM_SIZE ?= '16384' +NEORV32_CPU_EXTENSION_RISCV_ZIFENCEI ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/privilege/Makefile.include b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/privilege/Makefile.include new file mode 100644 index 0000000..c6cd2e5 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/device/rv32i_m/privilege/Makefile.include @@ -0,0 +1,3 @@ +NEORV32_CPU_EXTENSION_RISCV_C ?= true + +include $(NEORV32_ROOT)/sw/isa-test/common.mk diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_ram.ld b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_ram.ld new file mode 100644 index 0000000..bc8be81 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_ram.ld @@ -0,0 +1,22 @@ +OUTPUT_ARCH( "riscv" ) +ENTRY(rvtest_entry_point) + +SECTIONS +{ + . = 0x00000000; + .text : + { + *(.text.init) + . = ALIGN(0x1000); + *(.text) + . = ALIGN(0x1000); + *(.tohost) + *(.data) + *(.data.string) + *(.bss) + . = ALIGN(0x1000); + + _end = .; + } +} + diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_rom.ld b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_rom.ld new file mode 100644 index 0000000..0e41dd7 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/link.imem_rom.ld @@ -0,0 +1,26 @@ +OUTPUT_ARCH( "riscv" ) +ENTRY(rvtest_entry_point) + +SECTIONS +{ + . = 0x00000000; + .text : + { + *(.text.init) + . = ALIGN(0x1000); + *(.text) + . = ALIGN(0x1000); + _end = .; + } + + . = 0x80000000; + .data : + { + . = ALIGN(0x1000); + *(.tohost) + *(.data) + *(.data.string) + *(.bss) + } +} + diff --git a/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/model_test.h b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/model_test.h new file mode 100644 index 0000000..72a9255 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/isa-test/port-neorv32/model_test.h @@ -0,0 +1,212 @@ +// SPDX-License-Identifier: BSD-3-Clause + +// Modified by Stephan Nolting for the NEORV32 Processor + +#ifndef _COMPLIANCE_MODEL_H +#define _COMPLIANCE_MODEL_H + +#define RVMODEL_DATA_SECTION \ + .pushsection .tohost,"aw",@progbits; \ + .align 8; .global tohost; tohost: .dword 0; \ + .align 8; .global fromhost; fromhost: .dword 0; \ + .popsection; \ + .align 8; .global begin_regstate; begin_regstate: \ + .word 128; \ + .align 8; .global end_regstate; end_regstate: \ + .word 4; + +//RV_COMPLIANCE_HALT +// neorv32: this will dump the results via the UART0_SIM_MODE data file output +// neorv32: due to the modifications on "end_signature" (not 4-aligned) we need to make sure we output a 4-aligned number of data here +// neorv32: -> for zero-padding of the rest of the SIGNATURE section +#define RVMODEL_HALT \ + signature_dump: \ + la a0, begin_signature; \ + la a1, end_signature; \ + li a2, 0xFFFFFFA4; \ + signature_dump_loop: \ + beq a0, a1, signature_dump_padding; \ + lw t0, 0(a0); \ + sw t0, 0(a2); \ + addi a0, a0, 4; \ + j signature_dump_loop; \ +nop; \ +nop; \ + signature_dump_padding: \ + andi a0, a1, 0x0000000C; \ + beq a0, zero, signature_dump_end; \ + li t0, 16; \ + sub a0, t0, a0; \ + signature_dump_padding_loop: \ + beq a0, zero, signature_dump_end; \ + sw zero, 0(a2); \ + addi a0, a0, -4; \ + j signature_dump_padding_loop; \ + signature_dump_end: \ + j signature_dump_end + +//TODO: declare the start of your signature region here. Nothing else to be used here. +// The .align 4 ensures that the signature ends at a 16-byte boundary +#define RVMODEL_DATA_BEGIN \ + .align 4; .global begin_signature; begin_signature: + +//TODO: declare the end of the signature region here. Add other target specific contents here. +//neorv32: DO NOT use align_4 here! end_signature is used to indicate the actual "number" of signature words +#define RVMODEL_DATA_END \ + .global end_signature; end_signature: \ + RVMODEL_DATA_SECTION + +//RVMODEL_BOOT +// neorv32: enable UART0 (ctrl(28)) and enable UART0_SIM_MODE (ctrl(12)) +// neorv32: initialize the complete RVTEST_DATA section in data RAM (DMEM) with 0xBABECAFE +// neorv32: initialize the complete SIGNATURE section (that is a multiple of four 32-bit entries) in data RAM (DMEM) with 0xDEADBEEF +// neorv32: this code also provides a dummy trap handler that just moves on to the next instruction +// neorv32: -> this trap handler can be overridden by the compliance-suite by modifying mtval +// neorv32: -> the dummy trap handler is required to deal with the neorv32 X extension (-> all illegal/undefined instruction trigger an exception) +#ifdef NEORV32_NO_DATA_INIT +// ------------------------- WITHOUT DATA INIT ------------------------- +#define RVMODEL_BOOT \ + core_init: \ + la x1, core_dummy_trap_handler; \ + csrw mtvec, x1; \ + csrw mie, x0; \ + j uart0_sim_mode_init; \ +nop; \ +nop; \ + .balign 4; \ + core_dummy_trap_handler: \ + csrw mscratch, sp; \ + la sp, end_signature; \ + addi sp, sp, 32; \ + sw x8, 0(sp); \ + sw x9, 4(sp); \ + csrr x8, mcause; \ + blt x8, zero, core_dummy_trap_handler_irq; \ + csrr x8, mepc; \ + core_dummy_trap_handler_exc_c_check: \ + lh x9, 0(x8); \ + andi x9, x9, 3; \ + addi x8, x8, +2; \ + csrw mepc, x8; \ + addi x8, zero, 3; \ + bne x8, x9, core_dummy_trap_handler_irq; \ + core_dummy_trap_handler_exc_uncrompressed: \ + csrr x8, mepc; \ + addi x8, x8, +2; \ + csrw mepc, x8; \ + core_dummy_trap_handler_irq: \ + lw x9, 0(sp); \ + lw x8, 4(sp); \ + csrr sp, mscratch; \ + mret; \ +nop; \ +nop; \ + uart0_sim_mode_init: \ + li a0, 0xFFFFFFA0; \ + sw zero, 0(a0); \ + li a1, 1 << 28; \ + li a2, 1 << 12; \ + or a1, a1, a2; \ + sw a1, 0(a0); + +#else + +// ------------------------- WITH DATA INIT ------------------------- +#define RVMODEL_BOOT \ + core_init: \ + la x1, core_dummy_trap_handler; \ + csrw mtvec, x1; \ + csrw mie, x0; \ +nop; \ +nop; \ + init_rvtest_data: \ + la a0, rvtest_data_begin; \ + la a1, rvtest_data_end; \ + li a2, 0xBABECAFE; \ + init_rvtest_data_loop: \ + beq a0, a1, init_rvtest_data_loop_end; \ + sw a2, 0(a0); \ + addi a0, a0, 4; \ + j init_rvtest_data_loop; \ + init_rvtest_data_loop_end: \ +nop; \ +nop; \ + init_signature: \ + la a0, begin_signature; \ + la a1, end_signature; \ + li a2, 0xDEADBEEF; \ + init_signature_loop: \ + beq a0, a1, init_signature_loop_end; \ + sw a2, 0(a0); \ + addi a0, a0, 4; \ + j init_signature_loop; \ + init_signature_loop_end: \ + j uart0_sim_mode_init; \ +nop; \ +nop; \ + .balign 4; \ + core_dummy_trap_handler: \ + csrw mscratch, sp; \ + la sp, end_signature; \ + addi sp, sp, 32; \ + sw x8, 0(sp); \ + sw x9, 4(sp); \ + csrr x8, mcause; \ + blt x8, zero, core_dummy_trap_handler_irq; \ + csrr x8, mepc; \ + core_dummy_trap_handler_exc_c_check: \ + lh x9, 0(x8); \ + andi x9, x9, 3; \ + addi x8, x8, +2; \ + csrw mepc, x8; \ + addi x8, zero, 3; \ + bne x8, x9, core_dummy_trap_handler_irq; \ + core_dummy_trap_handler_exc_uncrompressed: \ + csrr x8, mepc; \ + addi x8, x8, +2; \ + csrw mepc, x8; \ + core_dummy_trap_handler_irq: \ + lw x9, 0(sp); \ + lw x8, 4(sp); \ + csrr sp, mscratch; \ + mret; \ +nop; \ +nop; \ + uart0_sim_mode_init: \ + li a0, 0xFFFFFFA0; \ + sw zero, 0(a0); \ + li a1, 1 << 28; \ + li a2, 1 << 12; \ + or a1, a1, a2; \ + sw a1, 0(a0); + +#endif + + +//RVTEST_IO_INIT +#define RVMODEL_IO_INIT +//RVTEST_IO_WRITE_STR +#define RVMODEL_IO_WRITE_STR(_R, _STR) +//RVTEST_IO_CHECK +#define RVMODEL_IO_CHECK() + +//RVTEST_IO_ASSERT_GPR_EQ +#define RVMODEL_IO_ASSERT_GPR_EQ(_S, _R, _I) +//RVTEST_IO_ASSERT_SFPR_EQ +#define RVMODEL_IO_ASSERT_SFPR_EQ(_F, _R, _I) +//RVTEST_IO_ASSERT_DFPR_EQ +#define RVMODEL_IO_ASSERT_DFPR_EQ(_D, _R, _I) + +// TODO: specify the routine for setting machine software interrupt +#define RVMODEL_SET_MSW_INT + +// TODO: specify the routine for clearing machine software interrupt +#define RVMODEL_CLEAR_MSW_INT + +// TODO: specify the routine for clearing machine timer interrupt +#define RVMODEL_CLEAR_MTIMER_INT + +// TODO: specify the routine for clearing machine external interrupt +#define RVMODEL_CLEAR_MEXT_INT + +#endif // _COMPLIANCE_MODEL_H diff --git a/Libs/RiscV/NEORV32/sw/lib/README.md b/Libs/RiscV/NEORV32/sw/lib/README.md new file mode 100644 index 0000000..b29f0ea --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/README.md @@ -0,0 +1,6 @@ +## NEORV32 Core Library + +This folder provides the hardware abstraction layer (HAL) libraries for the CPU itself and the individual processor modules (peripheral/IO devices). + +The `source` folder contains the actual C-code hardware driver functions (*.c*) while the `include` folder provides the according header files (*.h). +Application programs should only include the *main NEORV32 define file* `include/neorv32.h`. This file automatically includes all other provided header files. diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32.h new file mode 100644 index 0000000..636d8fc --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32.h @@ -0,0 +1,1311 @@ +// ################################################################################################# +// # << NEORV32: neorv32.h - Main Core Library File >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32.h + * @author Stephan Nolting + * + * @brief Main NEORV32 core library include file. + **************************************************************************/ + +#ifndef neorv32_h +#define neorv32_h + +#ifdef __cplusplus +extern "C" { +#endif + + +// Standard libraries +#include +#include +#include + + +/**********************************************************************//** + * Available CPU Control and Status Registers (CSRs) + **************************************************************************/ +enum NEORV32_CSR_enum { + CSR_FFLAGS = 0x001, /**< 0x001 - fflags (r/w): Floating-point accrued exception flags */ + CSR_FRM = 0x002, /**< 0x002 - frm (r/w): Floating-point dynamic rounding mode */ + CSR_FCSR = 0x003, /**< 0x003 - fcsr (r/w): Floating-point control/staturs register (frm + fflags) */ + + CSR_MSTATUS = 0x300, /**< 0x300 - mstatus (r/w): Machine status register */ + CSR_MISA = 0x301, /**< 0x301 - misa (r/-): CPU ISA and extensions (read-only in NEORV32) */ + CSR_MIE = 0x304, /**< 0x304 - mie (r/w): Machine interrupt-enable register */ + CSR_MTVEC = 0x305, /**< 0x305 - mtvec (r/w): Machine trap-handler base address (for ALL traps) */ + CSR_MCOUNTEREN = 0x306, /**< 0x305 - mcounteren (r/w): Machine counter enable register (controls access rights from U-mode) */ + + CSR_MENVCFG = 0x30a, /**< 0x30a - menvcfg (r/-): Machine environment configuration register */ + + CSR_MSTATUSH = 0x310, /**< 0x310 - mstatush (r/w): Machine status register - high word */ + + CSR_MENVCFGH = 0x31a, /**< 0x31a - menvcfgh (r/-): Machine environment configuration register - high word */ + + CSR_MCOUNTINHIBIT = 0x320, /**< 0x320 - mcountinhibit (r/w): Machine counter-inhibit register */ + + CSR_MHPMEVENT3 = 0x323, /**< 0x323 - mhpmevent3 (r/w): Machine hardware performance monitor event selector 3 */ + CSR_MHPMEVENT4 = 0x324, /**< 0x324 - mhpmevent4 (r/w): Machine hardware performance monitor event selector 4 */ + CSR_MHPMEVENT5 = 0x325, /**< 0x325 - mhpmevent5 (r/w): Machine hardware performance monitor event selector 5 */ + CSR_MHPMEVENT6 = 0x326, /**< 0x326 - mhpmevent6 (r/w): Machine hardware performance monitor event selector 6 */ + CSR_MHPMEVENT7 = 0x327, /**< 0x327 - mhpmevent7 (r/w): Machine hardware performance monitor event selector 7 */ + CSR_MHPMEVENT8 = 0x328, /**< 0x328 - mhpmevent8 (r/w): Machine hardware performance monitor event selector 8 */ + CSR_MHPMEVENT9 = 0x329, /**< 0x329 - mhpmevent9 (r/w): Machine hardware performance monitor event selector 9 */ + CSR_MHPMEVENT10 = 0x32a, /**< 0x32a - mhpmevent10 (r/w): Machine hardware performance monitor event selector 10 */ + CSR_MHPMEVENT11 = 0x32b, /**< 0x32b - mhpmevent11 (r/w): Machine hardware performance monitor event selector 11 */ + CSR_MHPMEVENT12 = 0x32c, /**< 0x32c - mhpmevent12 (r/w): Machine hardware performance monitor event selector 12 */ + CSR_MHPMEVENT13 = 0x32d, /**< 0x32d - mhpmevent13 (r/w): Machine hardware performance monitor event selector 13 */ + CSR_MHPMEVENT14 = 0x32e, /**< 0x32e - mhpmevent14 (r/w): Machine hardware performance monitor event selector 14 */ + CSR_MHPMEVENT15 = 0x32f, /**< 0x32f - mhpmevent15 (r/w): Machine hardware performance monitor event selector 15 */ + CSR_MHPMEVENT16 = 0x330, /**< 0x330 - mhpmevent16 (r/w): Machine hardware performance monitor event selector 16 */ + CSR_MHPMEVENT17 = 0x331, /**< 0x331 - mhpmevent17 (r/w): Machine hardware performance monitor event selector 17 */ + CSR_MHPMEVENT18 = 0x332, /**< 0x332 - mhpmevent18 (r/w): Machine hardware performance monitor event selector 18 */ + CSR_MHPMEVENT19 = 0x333, /**< 0x333 - mhpmevent19 (r/w): Machine hardware performance monitor event selector 19 */ + CSR_MHPMEVENT20 = 0x334, /**< 0x334 - mhpmevent20 (r/w): Machine hardware performance monitor event selector 20 */ + CSR_MHPMEVENT21 = 0x335, /**< 0x335 - mhpmevent21 (r/w): Machine hardware performance monitor event selector 21 */ + CSR_MHPMEVENT22 = 0x336, /**< 0x336 - mhpmevent22 (r/w): Machine hardware performance monitor event selector 22 */ + CSR_MHPMEVENT23 = 0x337, /**< 0x337 - mhpmevent23 (r/w): Machine hardware performance monitor event selector 23 */ + CSR_MHPMEVENT24 = 0x338, /**< 0x338 - mhpmevent24 (r/w): Machine hardware performance monitor event selector 24 */ + CSR_MHPMEVENT25 = 0x339, /**< 0x339 - mhpmevent25 (r/w): Machine hardware performance monitor event selector 25 */ + CSR_MHPMEVENT26 = 0x33a, /**< 0x33a - mhpmevent26 (r/w): Machine hardware performance monitor event selector 26 */ + CSR_MHPMEVENT27 = 0x33b, /**< 0x33b - mhpmevent27 (r/w): Machine hardware performance monitor event selector 27 */ + CSR_MHPMEVENT28 = 0x33c, /**< 0x33c - mhpmevent28 (r/w): Machine hardware performance monitor event selector 28 */ + CSR_MHPMEVENT29 = 0x33d, /**< 0x33d - mhpmevent29 (r/w): Machine hardware performance monitor event selector 29 */ + CSR_MHPMEVENT30 = 0x33e, /**< 0x33e - mhpmevent30 (r/w): Machine hardware performance monitor event selector 30 */ + CSR_MHPMEVENT31 = 0x33f, /**< 0x33f - mhpmevent31 (r/w): Machine hardware performance monitor event selector 31 */ + + CSR_MSCRATCH = 0x340, /**< 0x340 - mscratch (r/w): Machine scratch register */ + CSR_MEPC = 0x341, /**< 0x341 - mepc (r/w): Machine exception program counter */ + CSR_MCAUSE = 0x342, /**< 0x342 - mcause (r/w): Machine trap cause */ + CSR_MTVAL = 0x343, /**< 0x343 - mtval (r/-): Machine bad address or instruction */ + CSR_MIP = 0x344, /**< 0x344 - mip (r/-): Machine interrupt pending register */ + + CSR_PMPCFG0 = 0x3a0, /**< 0x3a0 - pmpcfg0 (r/w): Physical memory protection configuration register 0 */ + CSR_PMPCFG1 = 0x3a1, /**< 0x3a1 - pmpcfg1 (r/w): Physical memory protection configuration register 1 */ + CSR_PMPCFG2 = 0x3a2, /**< 0x3a2 - pmpcfg2 (r/w): Physical memory protection configuration register 2 */ + CSR_PMPCFG3 = 0x3a3, /**< 0x3a3 - pmpcfg3 (r/w): Physical memory protection configuration register 3 */ + CSR_PMPCFG4 = 0x3a4, /**< 0x3a4 - pmpcfg4 (r/w): Physical memory protection configuration register 4 */ + CSR_PMPCFG5 = 0x3a5, /**< 0x3a5 - pmpcfg5 (r/w): Physical memory protection configuration register 5 */ + CSR_PMPCFG6 = 0x3a6, /**< 0x3a6 - pmpcfg6 (r/w): Physical memory protection configuration register 6 */ + CSR_PMPCFG7 = 0x3a7, /**< 0x3a7 - pmpcfg7 (r/w): Physical memory protection configuration register 7 */ + CSR_PMPCFG8 = 0x3a8, /**< 0x3a8 - pmpcfg8 (r/w): Physical memory protection configuration register 8 */ + CSR_PMPCFG9 = 0x3a9, /**< 0x3a9 - pmpcfg9 (r/w): Physical memory protection configuration register 9 */ + CSR_PMPCFG10 = 0x3aa, /**< 0x3aa - pmpcfg10 (r/w): Physical memory protection configuration register 10 */ + CSR_PMPCFG11 = 0x3ab, /**< 0x3ab - pmpcfg11 (r/w): Physical memory protection configuration register 11 */ + CSR_PMPCFG12 = 0x3ac, /**< 0x3ac - pmpcfg12 (r/w): Physical memory protection configuration register 12 */ + CSR_PMPCFG13 = 0x3ad, /**< 0x3ad - pmpcfg13 (r/w): Physical memory protection configuration register 13 */ + CSR_PMPCFG14 = 0x3ae, /**< 0x3ae - pmpcfg14 (r/w): Physical memory protection configuration register 14 */ + CSR_PMPCFG15 = 0x3af, /**< 0x3af - pmpcfg15 (r/w): Physical memory protection configuration register 15 */ + + CSR_PMPADDR0 = 0x3b0, /**< 0x3b0 - pmpaddr0 (r/w): Physical memory protection address register 0 */ + CSR_PMPADDR1 = 0x3b1, /**< 0x3b1 - pmpaddr1 (r/w): Physical memory protection address register 1 */ + CSR_PMPADDR2 = 0x3b2, /**< 0x3b2 - pmpaddr2 (r/w): Physical memory protection address register 2 */ + CSR_PMPADDR3 = 0x3b3, /**< 0x3b3 - pmpaddr3 (r/w): Physical memory protection address register 3 */ + CSR_PMPADDR4 = 0x3b4, /**< 0x3b4 - pmpaddr4 (r/w): Physical memory protection address register 4 */ + CSR_PMPADDR5 = 0x3b5, /**< 0x3b5 - pmpaddr5 (r/w): Physical memory protection address register 5 */ + CSR_PMPADDR6 = 0x3b6, /**< 0x3b6 - pmpaddr6 (r/w): Physical memory protection address register 6 */ + CSR_PMPADDR7 = 0x3b7, /**< 0x3b7 - pmpaddr7 (r/w): Physical memory protection address register 7 */ + CSR_PMPADDR8 = 0x3b8, /**< 0x3b8 - pmpaddr8 (r/w): Physical memory protection address register 8 */ + CSR_PMPADDR9 = 0x3b9, /**< 0x3b9 - pmpaddr9 (r/w): Physical memory protection address register 9 */ + CSR_PMPADDR10 = 0x3ba, /**< 0x3ba - pmpaddr10 (r/w): Physical memory protection address register 10 */ + CSR_PMPADDR11 = 0x3bb, /**< 0x3bb - pmpaddr11 (r/w): Physical memory protection address register 11 */ + CSR_PMPADDR12 = 0x3bc, /**< 0x3bc - pmpaddr12 (r/w): Physical memory protection address register 12 */ + CSR_PMPADDR13 = 0x3bd, /**< 0x3bd - pmpaddr13 (r/w): Physical memory protection address register 13 */ + CSR_PMPADDR14 = 0x3be, /**< 0x3be - pmpaddr14 (r/w): Physical memory protection address register 14 */ + CSR_PMPADDR15 = 0x3bf, /**< 0x3bf - pmpaddr15 (r/w): Physical memory protection address register 15 */ + CSR_PMPADDR16 = 0x3c0, /**< 0x3c0 - pmpaddr16 (r/w): Physical memory protection address register 16 */ + CSR_PMPADDR17 = 0x3c1, /**< 0x3c1 - pmpaddr17 (r/w): Physical memory protection address register 17 */ + CSR_PMPADDR18 = 0x3c2, /**< 0x3c2 - pmpaddr18 (r/w): Physical memory protection address register 18 */ + CSR_PMPADDR19 = 0x3c3, /**< 0x3c3 - pmpaddr19 (r/w): Physical memory protection address register 19 */ + CSR_PMPADDR20 = 0x3c4, /**< 0x3c4 - pmpaddr20 (r/w): Physical memory protection address register 20 */ + CSR_PMPADDR21 = 0x3c5, /**< 0x3c5 - pmpaddr21 (r/w): Physical memory protection address register 21 */ + CSR_PMPADDR22 = 0x3c6, /**< 0x3c6 - pmpaddr22 (r/w): Physical memory protection address register 22 */ + CSR_PMPADDR23 = 0x3c7, /**< 0x3c7 - pmpaddr23 (r/w): Physical memory protection address register 23 */ + CSR_PMPADDR24 = 0x3c8, /**< 0x3c8 - pmpaddr24 (r/w): Physical memory protection address register 24 */ + CSR_PMPADDR25 = 0x3c9, /**< 0x3c9 - pmpaddr25 (r/w): Physical memory protection address register 25 */ + CSR_PMPADDR26 = 0x3ca, /**< 0x3ca - pmpaddr26 (r/w): Physical memory protection address register 26 */ + CSR_PMPADDR27 = 0x3cb, /**< 0x3cb - pmpaddr27 (r/w): Physical memory protection address register 27 */ + CSR_PMPADDR28 = 0x3cc, /**< 0x3cc - pmpaddr28 (r/w): Physical memory protection address register 28 */ + CSR_PMPADDR29 = 0x3cd, /**< 0x3cd - pmpaddr29 (r/w): Physical memory protection address register 29 */ + CSR_PMPADDR30 = 0x3ce, /**< 0x3ce - pmpaddr30 (r/w): Physical memory protection address register 30 */ + CSR_PMPADDR31 = 0x3cf, /**< 0x3cf - pmpaddr31 (r/w): Physical memory protection address register 31 */ + CSR_PMPADDR32 = 0x3d0, /**< 0x3d0 - pmpaddr32 (r/w): Physical memory protection address register 32 */ + CSR_PMPADDR33 = 0x3d1, /**< 0x3d1 - pmpaddr33 (r/w): Physical memory protection address register 33 */ + CSR_PMPADDR34 = 0x3d2, /**< 0x3d2 - pmpaddr34 (r/w): Physical memory protection address register 34 */ + CSR_PMPADDR35 = 0x3d3, /**< 0x3d3 - pmpaddr35 (r/w): Physical memory protection address register 35 */ + CSR_PMPADDR36 = 0x3d4, /**< 0x3d4 - pmpaddr36 (r/w): Physical memory protection address register 36 */ + CSR_PMPADDR37 = 0x3d5, /**< 0x3d5 - pmpaddr37 (r/w): Physical memory protection address register 37 */ + CSR_PMPADDR38 = 0x3d6, /**< 0x3d6 - pmpaddr38 (r/w): Physical memory protection address register 38 */ + CSR_PMPADDR39 = 0x3d7, /**< 0x3d7 - pmpaddr39 (r/w): Physical memory protection address register 39 */ + CSR_PMPADDR40 = 0x3d8, /**< 0x3d8 - pmpaddr40 (r/w): Physical memory protection address register 40 */ + CSR_PMPADDR41 = 0x3d9, /**< 0x3d9 - pmpaddr41 (r/w): Physical memory protection address register 41 */ + CSR_PMPADDR42 = 0x3da, /**< 0x3da - pmpaddr42 (r/w): Physical memory protection address register 42 */ + CSR_PMPADDR43 = 0x3db, /**< 0x3db - pmpaddr43 (r/w): Physical memory protection address register 43 */ + CSR_PMPADDR44 = 0x3dc, /**< 0x3dc - pmpaddr44 (r/w): Physical memory protection address register 44 */ + CSR_PMPADDR45 = 0x3dd, /**< 0x3dd - pmpaddr45 (r/w): Physical memory protection address register 45 */ + CSR_PMPADDR46 = 0x3de, /**< 0x3de - pmpaddr46 (r/w): Physical memory protection address register 46 */ + CSR_PMPADDR47 = 0x3df, /**< 0x3df - pmpaddr47 (r/w): Physical memory protection address register 47 */ + CSR_PMPADDR48 = 0x3e0, /**< 0x3e0 - pmpaddr48 (r/w): Physical memory protection address register 48 */ + CSR_PMPADDR49 = 0x3e1, /**< 0x3e1 - pmpaddr49 (r/w): Physical memory protection address register 49 */ + CSR_PMPADDR50 = 0x3e2, /**< 0x3e2 - pmpaddr50 (r/w): Physical memory protection address register 50 */ + CSR_PMPADDR51 = 0x3e3, /**< 0x3e3 - pmpaddr51 (r/w): Physical memory protection address register 51 */ + CSR_PMPADDR52 = 0x3e4, /**< 0x3e4 - pmpaddr52 (r/w): Physical memory protection address register 52 */ + CSR_PMPADDR53 = 0x3e5, /**< 0x3e5 - pmpaddr53 (r/w): Physical memory protection address register 53 */ + CSR_PMPADDR54 = 0x3e6, /**< 0x3e6 - pmpaddr54 (r/w): Physical memory protection address register 54 */ + CSR_PMPADDR55 = 0x3e7, /**< 0x3e7 - pmpaddr55 (r/w): Physical memory protection address register 55 */ + CSR_PMPADDR56 = 0x3e8, /**< 0x3e8 - pmpaddr56 (r/w): Physical memory protection address register 56 */ + CSR_PMPADDR57 = 0x3e9, /**< 0x3e9 - pmpaddr57 (r/w): Physical memory protection address register 57 */ + CSR_PMPADDR58 = 0x3ea, /**< 0x3ea - pmpaddr58 (r/w): Physical memory protection address register 58 */ + CSR_PMPADDR59 = 0x3eb, /**< 0x3eb - pmpaddr59 (r/w): Physical memory protection address register 59 */ + CSR_PMPADDR60 = 0x3ec, /**< 0x3ec - pmpaddr60 (r/w): Physical memory protection address register 60 */ + CSR_PMPADDR61 = 0x3ed, /**< 0x3ed - pmpaddr61 (r/w): Physical memory protection address register 61 */ + CSR_PMPADDR62 = 0x3ee, /**< 0x3ee - pmpaddr62 (r/w): Physical memory protection address register 62 */ + CSR_PMPADDR63 = 0x3ef, /**< 0x3ef - pmpaddr63 (r/w): Physical memory protection address register 63 */ + + CSR_MCYCLE = 0xb00, /**< 0xb00 - mcycle (r/w): Machine cycle counter low word */ + CSR_MINSTRET = 0xb02, /**< 0xb02 - minstret (r/w): Machine instructions-retired counter low word */ + + CSR_MHPMCOUNTER3 = 0xb03, /**< 0xb03 - mhpmcounter3 (r/w): Machine hardware performance monitor 3 counter low word */ + CSR_MHPMCOUNTER4 = 0xb04, /**< 0xb04 - mhpmcounter4 (r/w): Machine hardware performance monitor 4 counter low word */ + CSR_MHPMCOUNTER5 = 0xb05, /**< 0xb05 - mhpmcounter5 (r/w): Machine hardware performance monitor 5 counter low word */ + CSR_MHPMCOUNTER6 = 0xb06, /**< 0xb06 - mhpmcounter6 (r/w): Machine hardware performance monitor 6 counter low word */ + CSR_MHPMCOUNTER7 = 0xb07, /**< 0xb07 - mhpmcounter7 (r/w): Machine hardware performance monitor 7 counter low word */ + CSR_MHPMCOUNTER8 = 0xb08, /**< 0xb08 - mhpmcounter8 (r/w): Machine hardware performance monitor 8 counter low word */ + CSR_MHPMCOUNTER9 = 0xb09, /**< 0xb09 - mhpmcounter9 (r/w): Machine hardware performance monitor 9 counter low word */ + CSR_MHPMCOUNTER10 = 0xb0a, /**< 0xb0a - mhpmcounter10 (r/w): Machine hardware performance monitor 10 counter low word */ + CSR_MHPMCOUNTER11 = 0xb0b, /**< 0xb0b - mhpmcounter11 (r/w): Machine hardware performance monitor 11 counter low word */ + CSR_MHPMCOUNTER12 = 0xb0c, /**< 0xb0c - mhpmcounter12 (r/w): Machine hardware performance monitor 12 counter low word */ + CSR_MHPMCOUNTER13 = 0xb0d, /**< 0xb0d - mhpmcounter13 (r/w): Machine hardware performance monitor 13 counter low word */ + CSR_MHPMCOUNTER14 = 0xb0e, /**< 0xb0e - mhpmcounter14 (r/w): Machine hardware performance monitor 14 counter low word */ + CSR_MHPMCOUNTER15 = 0xb0f, /**< 0xb0f - mhpmcounter15 (r/w): Machine hardware performance monitor 15 counter low word */ + CSR_MHPMCOUNTER16 = 0xb10, /**< 0xb10 - mhpmcounter16 (r/w): Machine hardware performance monitor 16 counter low word */ + CSR_MHPMCOUNTER17 = 0xb11, /**< 0xb11 - mhpmcounter17 (r/w): Machine hardware performance monitor 17 counter low word */ + CSR_MHPMCOUNTER18 = 0xb12, /**< 0xb12 - mhpmcounter18 (r/w): Machine hardware performance monitor 18 counter low word */ + CSR_MHPMCOUNTER19 = 0xb13, /**< 0xb13 - mhpmcounter19 (r/w): Machine hardware performance monitor 19 counter low word */ + CSR_MHPMCOUNTER20 = 0xb14, /**< 0xb14 - mhpmcounter20 (r/w): Machine hardware performance monitor 20 counter low word */ + CSR_MHPMCOUNTER21 = 0xb15, /**< 0xb15 - mhpmcounter21 (r/w): Machine hardware performance monitor 21 counter low word */ + CSR_MHPMCOUNTER22 = 0xb16, /**< 0xb16 - mhpmcounter22 (r/w): Machine hardware performance monitor 22 counter low word */ + CSR_MHPMCOUNTER23 = 0xb17, /**< 0xb17 - mhpmcounter23 (r/w): Machine hardware performance monitor 23 counter low word */ + CSR_MHPMCOUNTER24 = 0xb18, /**< 0xb18 - mhpmcounter24 (r/w): Machine hardware performance monitor 24 counter low word */ + CSR_MHPMCOUNTER25 = 0xb19, /**< 0xb19 - mhpmcounter25 (r/w): Machine hardware performance monitor 25 counter low word */ + CSR_MHPMCOUNTER26 = 0xb1a, /**< 0xb1a - mhpmcounter26 (r/w): Machine hardware performance monitor 26 counter low word */ + CSR_MHPMCOUNTER27 = 0xb1b, /**< 0xb1b - mhpmcounter27 (r/w): Machine hardware performance monitor 27 counter low word */ + CSR_MHPMCOUNTER28 = 0xb1c, /**< 0xb1c - mhpmcounter28 (r/w): Machine hardware performance monitor 28 counter low word */ + CSR_MHPMCOUNTER29 = 0xb1d, /**< 0xb1d - mhpmcounter29 (r/w): Machine hardware performance monitor 29 counter low word */ + CSR_MHPMCOUNTER30 = 0xb1e, /**< 0xb1e - mhpmcounter30 (r/w): Machine hardware performance monitor 30 counter low word */ + CSR_MHPMCOUNTER31 = 0xb1f, /**< 0xb1f - mhpmcounter31 (r/w): Machine hardware performance monitor 31 counter low word */ + + CSR_MCYCLEH = 0xb80, /**< 0xb80 - mcycleh (r/w): Machine cycle counter high word */ + CSR_MINSTRETH = 0xb82, /**< 0xb82 - minstreth (r/w): Machine instructions-retired counter high word */ + + CSR_MHPMCOUNTER3H = 0xb83, /**< 0xb83 - mhpmcounter3h (r/w): Machine hardware performance monitor 3 counter high word */ + CSR_MHPMCOUNTER4H = 0xb84, /**< 0xb84 - mhpmcounter4h (r/w): Machine hardware performance monitor 4 counter high word */ + CSR_MHPMCOUNTER5H = 0xb85, /**< 0xb85 - mhpmcounter5h (r/w): Machine hardware performance monitor 5 counter high word */ + CSR_MHPMCOUNTER6H = 0xb86, /**< 0xb86 - mhpmcounter6h (r/w): Machine hardware performance monitor 6 counter high word */ + CSR_MHPMCOUNTER7H = 0xb87, /**< 0xb87 - mhpmcounter7h (r/w): Machine hardware performance monitor 7 counter high word */ + CSR_MHPMCOUNTER8H = 0xb88, /**< 0xb88 - mhpmcounter8h (r/w): Machine hardware performance monitor 8 counter high word */ + CSR_MHPMCOUNTER9H = 0xb89, /**< 0xb89 - mhpmcounter9h (r/w): Machine hardware performance monitor 9 counter high word */ + CSR_MHPMCOUNTER10H = 0xb8a, /**< 0xb8a - mhpmcounter10h (r/w): Machine hardware performance monitor 10 counter high word */ + CSR_MHPMCOUNTER11H = 0xb8b, /**< 0xb8b - mhpmcounter11h (r/w): Machine hardware performance monitor 11 counter high word */ + CSR_MHPMCOUNTER12H = 0xb8c, /**< 0xb8c - mhpmcounter12h (r/w): Machine hardware performance monitor 12 counter high word */ + CSR_MHPMCOUNTER13H = 0xb8d, /**< 0xb8d - mhpmcounter13h (r/w): Machine hardware performance monitor 13 counter high word */ + CSR_MHPMCOUNTER14H = 0xb8e, /**< 0xb8e - mhpmcounter14h (r/w): Machine hardware performance monitor 14 counter high word */ + CSR_MHPMCOUNTER15H = 0xb8f, /**< 0xb8f - mhpmcounter15h (r/w): Machine hardware performance monitor 15 counter high word */ + CSR_MHPMCOUNTER16H = 0xb90, /**< 0xb90 - mhpmcounter16h (r/w): Machine hardware performance monitor 16 counter high word */ + CSR_MHPMCOUNTER17H = 0xb91, /**< 0xb91 - mhpmcounter17h (r/w): Machine hardware performance monitor 17 counter high word */ + CSR_MHPMCOUNTER18H = 0xb92, /**< 0xb92 - mhpmcounter18h (r/w): Machine hardware performance monitor 18 counter high word */ + CSR_MHPMCOUNTER19H = 0xb93, /**< 0xb93 - mhpmcounter19h (r/w): Machine hardware performance monitor 19 counter high word */ + CSR_MHPMCOUNTER20H = 0xb94, /**< 0xb94 - mhpmcounter20h (r/w): Machine hardware performance monitor 20 counter high word */ + CSR_MHPMCOUNTER21H = 0xb95, /**< 0xb95 - mhpmcounter21h (r/w): Machine hardware performance monitor 21 counter high word */ + CSR_MHPMCOUNTER22H = 0xb96, /**< 0xb96 - mhpmcounter22h (r/w): Machine hardware performance monitor 22 counter high word */ + CSR_MHPMCOUNTER23H = 0xb97, /**< 0xb97 - mhpmcounter23h (r/w): Machine hardware performance monitor 23 counter high word */ + CSR_MHPMCOUNTER24H = 0xb98, /**< 0xb98 - mhpmcounter24h (r/w): Machine hardware performance monitor 24 counter high word */ + CSR_MHPMCOUNTER25H = 0xb99, /**< 0xb99 - mhpmcounter25h (r/w): Machine hardware performance monitor 25 counter high word */ + CSR_MHPMCOUNTER26H = 0xb9a, /**< 0xb9a - mhpmcounter26h (r/w): Machine hardware performance monitor 26 counter high word */ + CSR_MHPMCOUNTER27H = 0xb9b, /**< 0xb9b - mhpmcounter27h (r/w): Machine hardware performance monitor 27 counter high word */ + CSR_MHPMCOUNTER28H = 0xb9c, /**< 0xb9c - mhpmcounter28h (r/w): Machine hardware performance monitor 28 counter high word */ + CSR_MHPMCOUNTER29H = 0xb9d, /**< 0xb9d - mhpmcounter29h (r/w): Machine hardware performance monitor 29 counter high word */ + CSR_MHPMCOUNTER30H = 0xb9e, /**< 0xb9e - mhpmcounter30h (r/w): Machine hardware performance monitor 30 counter high word */ + CSR_MHPMCOUNTER31H = 0xb9f, /**< 0xb9f - mhpmcounter31h (r/w): Machine hardware performance monitor 31 counter high word */ + + CSR_CYCLE = 0xc00, /**< 0xc00 - cycle (r/-): Cycle counter low word (from MCYCLE) */ + CSR_TIME = 0xc01, /**< 0xc01 - time (r/-): Timer low word (from MTIME.TIME_LO) */ + CSR_INSTRET = 0xc02, /**< 0xc02 - instret (r/-): Instructions-retired counter low word (from MINSTRET) */ + + CSR_CYCLEH = 0xc80, /**< 0xc80 - cycleh (r/-): Cycle counter high word (from MCYCLEH) */ + CSR_TIMEH = 0xc81, /**< 0xc81 - timeh (r/-): Timer high word (from MTIME.TIME_HI) */ + CSR_INSTRETH = 0xc82, /**< 0xc82 - instreth (r/-): Instructions-retired counter high word (from MINSTRETH) */ + + CSR_MVENDORID = 0xf11, /**< 0xf11 - mvendorid (r/-): Vendor ID */ + CSR_MARCHID = 0xf12, /**< 0xf12 - marchid (r/-): Architecture ID */ + CSR_MIMPID = 0xf13, /**< 0xf13 - mimpid (r/-): Implementation ID/version */ + CSR_MHARTID = 0xf14, /**< 0xf14 - mhartid (r/-): Hardware thread ID (always 0) */ + CSR_MCONFIGPTR = 0xf15 /**< 0xf15 - mconfigptr (r/-): Machine configuration pointer register */ +}; + + +/**********************************************************************//** + * CPU mstatus CSR (r/w): Machine status (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MSTATUS_enum { + CSR_MSTATUS_MIE = 3, /**< CPU mstatus CSR (3): MIE - Machine interrupt enable bit (r/w) */ + CSR_MSTATUS_MPIE = 7, /**< CPU mstatus CSR (7): MPIE - Machine previous interrupt enable bit (r/w) */ + CSR_MSTATUS_MPP_L = 11, /**< CPU mstatus CSR (11): MPP_L - Machine previous privilege mode bit low (r/w) */ + CSR_MSTATUS_MPP_H = 12 /**< CPU mstatus CSR (12): MPP_H - Machine previous privilege mode bit high (r/w) */ +}; + + +/**********************************************************************//** + * CPU mcounteren CSR (r/w): Machine counter enable (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MCOUNTEREN_enum { + CSR_MCOUNTEREN_CY = 0, /**< CPU mcounteren CSR (0): CY - Allow access to cycle[h] CSRs from U-mode when set (r/w) */ + CSR_MCOUNTEREN_TM = 1, /**< CPU mcounteren CSR (1): TM - Allow access to time[h] CSRs from U-mode when set (r/w) */ + CSR_MCOUNTEREN_IR = 2 /**< CPU mcounteren CSR (2): IR - Allow access to instret[h] CSRs from U-mode when set (r/w) */ +}; + + +/**********************************************************************//** + * CPU mcountinhibit CSR (r/w): Machine counter-inhibit (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MCOUNTINHIBIT_enum { + CSR_MCOUNTINHIBIT_CY = 0, /**< CPU mcountinhibit CSR (0): CY - Enable auto-increment of [m]cycle[h] CSR when set (r/w) */ + CSR_MCOUNTINHIBIT_IR = 2, /**< CPU mcountinhibit CSR (2): IR - Enable auto-increment of [m]instret[h] CSR when set (r/w) */ + + CSR_MCOUNTINHIBIT_HPM3 = 3, /**< CPU mcountinhibit CSR (3): HPM3 - Enable auto-increment of hpmcnt3[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM4 = 4, /**< CPU mcountinhibit CSR (4): HPM4 - Enable auto-increment of hpmcnt4[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM5 = 5, /**< CPU mcountinhibit CSR (5): HPM5 - Enable auto-increment of hpmcnt5[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM6 = 6, /**< CPU mcountinhibit CSR (6): HPM6 - Enable auto-increment of hpmcnt6[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM7 = 7, /**< CPU mcountinhibit CSR (7): HPM7 - Enable auto-increment of hpmcnt7[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM8 = 8, /**< CPU mcountinhibit CSR (8): HPM8 - Enable auto-increment of hpmcnt8[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM9 = 9, /**< CPU mcountinhibit CSR (9): HPM9 - Enable auto-increment of hpmcnt9[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM10 = 10, /**< CPU mcountinhibit CSR (10): HPM10 - Enable auto-increment of hpmcnt10[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM11 = 11, /**< CPU mcountinhibit CSR (11): HPM11 - Enable auto-increment of hpmcnt11[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM12 = 12, /**< CPU mcountinhibit CSR (12): HPM12 - Enable auto-increment of hpmcnt12[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM13 = 13, /**< CPU mcountinhibit CSR (13): HPM13 - Enable auto-increment of hpmcnt13[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM14 = 14, /**< CPU mcountinhibit CSR (14): HPM14 - Enable auto-increment of hpmcnt14[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM15 = 15, /**< CPU mcountinhibit CSR (15): HPM15 - Enable auto-increment of hpmcnt15[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM16 = 16, /**< CPU mcountinhibit CSR (16): HPM16 - Enable auto-increment of hpmcnt16[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM17 = 17, /**< CPU mcountinhibit CSR (17): HPM17 - Enable auto-increment of hpmcnt17[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM18 = 18, /**< CPU mcountinhibit CSR (18): HPM18 - Enable auto-increment of hpmcnt18[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM19 = 19, /**< CPU mcountinhibit CSR (19): HPM19 - Enable auto-increment of hpmcnt19[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM20 = 20, /**< CPU mcountinhibit CSR (20): HPM20 - Enable auto-increment of hpmcnt20[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM21 = 21, /**< CPU mcountinhibit CSR (21): HPM21 - Enable auto-increment of hpmcnt21[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM22 = 22, /**< CPU mcountinhibit CSR (22): HPM22 - Enable auto-increment of hpmcnt22[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM23 = 23, /**< CPU mcountinhibit CSR (23): HPM23 - Enable auto-increment of hpmcnt23[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM24 = 24, /**< CPU mcountinhibit CSR (24): HPM24 - Enable auto-increment of hpmcnt24[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM25 = 25, /**< CPU mcountinhibit CSR (25): HPM25 - Enable auto-increment of hpmcnt25[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM26 = 26, /**< CPU mcountinhibit CSR (26): HPM26 - Enable auto-increment of hpmcnt26[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM27 = 27, /**< CPU mcountinhibit CSR (27): HPM27 - Enable auto-increment of hpmcnt27[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM28 = 28, /**< CPU mcountinhibit CSR (28): HPM28 - Enable auto-increment of hpmcnt28[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM29 = 29, /**< CPU mcountinhibit CSR (29): HPM29 - Enable auto-increment of hpmcnt29[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM30 = 30, /**< CPU mcountinhibit CSR (30): HPM30 - Enable auto-increment of hpmcnt30[h] when set (r/w) */ + CSR_MCOUNTINHIBIT_HPM31 = 31 /**< CPU mcountinhibit CSR (31): HPM31 - Enable auto-increment of hpmcnt31[h] when set (r/w) */ +}; + + +/**********************************************************************//** + * CPU mie CSR (r/w): Machine interrupt enable (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MIE_enum { + CSR_MIE_MSIE = 3, /**< CPU mie CSR (3): MSIE - Machine software interrupt enable (r/w) */ + CSR_MIE_MTIE = 7, /**< CPU mie CSR (7): MTIE - Machine timer interrupt enable bit (r/w) */ + CSR_MIE_MEIE = 11, /**< CPU mie CSR (11): MEIE - Machine external interrupt enable bit (r/w) */ + + CSR_MIE_FIRQ0E = 16, /**< CPU mie CSR (16): FIRQ0E - Fast interrupt channel 0 enable bit (r/w) */ + CSR_MIE_FIRQ1E = 17, /**< CPU mie CSR (17): FIRQ1E - Fast interrupt channel 1 enable bit (r/w) */ + CSR_MIE_FIRQ2E = 18, /**< CPU mie CSR (18): FIRQ2E - Fast interrupt channel 2 enable bit (r/w) */ + CSR_MIE_FIRQ3E = 19, /**< CPU mie CSR (19): FIRQ3E - Fast interrupt channel 3 enable bit (r/w) */ + CSR_MIE_FIRQ4E = 20, /**< CPU mie CSR (20): FIRQ4E - Fast interrupt channel 4 enable bit (r/w) */ + CSR_MIE_FIRQ5E = 21, /**< CPU mie CSR (21): FIRQ5E - Fast interrupt channel 5 enable bit (r/w) */ + CSR_MIE_FIRQ6E = 22, /**< CPU mie CSR (22): FIRQ6E - Fast interrupt channel 6 enable bit (r/w) */ + CSR_MIE_FIRQ7E = 23, /**< CPU mie CSR (23): FIRQ7E - Fast interrupt channel 7 enable bit (r/w) */ + CSR_MIE_FIRQ8E = 24, /**< CPU mie CSR (24): FIRQ8E - Fast interrupt channel 8 enable bit (r/w) */ + CSR_MIE_FIRQ9E = 25, /**< CPU mie CSR (25): FIRQ9E - Fast interrupt channel 9 enable bit (r/w) */ + CSR_MIE_FIRQ10E = 26, /**< CPU mie CSR (26): FIRQ10E - Fast interrupt channel 10 enable bit (r/w) */ + CSR_MIE_FIRQ11E = 27, /**< CPU mie CSR (27): FIRQ11E - Fast interrupt channel 11 enable bit (r/w) */ + CSR_MIE_FIRQ12E = 28, /**< CPU mie CSR (28): FIRQ12E - Fast interrupt channel 12 enable bit (r/w) */ + CSR_MIE_FIRQ13E = 29, /**< CPU mie CSR (29): FIRQ13E - Fast interrupt channel 13 enable bit (r/w) */ + CSR_MIE_FIRQ14E = 30, /**< CPU mie CSR (30): FIRQ14E - Fast interrupt channel 14 enable bit (r/w) */ + CSR_MIE_FIRQ15E = 31 /**< CPU mie CSR (31): FIRQ15E - Fast interrupt channel 15 enable bit (r/w) */ +}; + + +/**********************************************************************//** + * CPU mip CSR (r/-): Machine interrupt pending (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MIP_enum { + CSR_MIP_MSIP = 3, /**< CPU mip CSR (3): MSIP - Machine software interrupt pending (r/-) */ + CSR_MIP_MTIP = 7, /**< CPU mip CSR (7): MTIP - Machine timer interrupt pending (r/-) */ + CSR_MIP_MEIP = 11, /**< CPU mip CSR (11): MEIP - Machine external interrupt pending (r/-) */ + + CSR_MIP_FIRQ0P = 16, /**< CPU mip CSR (16): FIRQ0P - Fast interrupt channel 0 pending (r/-) */ + CSR_MIP_FIRQ1P = 17, /**< CPU mip CSR (17): FIRQ1P - Fast interrupt channel 1 pending (r/-) */ + CSR_MIP_FIRQ2P = 18, /**< CPU mip CSR (18): FIRQ2P - Fast interrupt channel 2 pending (r/-) */ + CSR_MIP_FIRQ3P = 19, /**< CPU mip CSR (19): FIRQ3P - Fast interrupt channel 3 pending (r/-) */ + CSR_MIP_FIRQ4P = 20, /**< CPU mip CSR (20): FIRQ4P - Fast interrupt channel 4 pending (r/-) */ + CSR_MIP_FIRQ5P = 21, /**< CPU mip CSR (21): FIRQ5P - Fast interrupt channel 5 pending (r/-) */ + CSR_MIP_FIRQ6P = 22, /**< CPU mip CSR (22): FIRQ6P - Fast interrupt channel 6 pending (r/-) */ + CSR_MIP_FIRQ7P = 23, /**< CPU mip CSR (23): FIRQ7P - Fast interrupt channel 7 pending (r/-) */ + CSR_MIP_FIRQ8P = 24, /**< CPU mip CSR (24): FIRQ8P - Fast interrupt channel 8 pending (r/-) */ + CSR_MIP_FIRQ9P = 25, /**< CPU mip CSR (25): FIRQ9P - Fast interrupt channel 9 pending (r/-) */ + CSR_MIP_FIRQ10P = 26, /**< CPU mip CSR (26): FIRQ10P - Fast interrupt channel 10 pending (r/-) */ + CSR_MIP_FIRQ11P = 27, /**< CPU mip CSR (27): FIRQ11P - Fast interrupt channel 11 pending (r/-) */ + CSR_MIP_FIRQ12P = 28, /**< CPU mip CSR (28): FIRQ12P - Fast interrupt channel 12 pending (r/-) */ + CSR_MIP_FIRQ13P = 29, /**< CPU mip CSR (29): FIRQ13P - Fast interrupt channel 13 pending (r/-) */ + CSR_MIP_FIRQ14P = 30, /**< CPU mip CSR (30): FIRQ14P - Fast interrupt channel 14 pending (r/-) */ + CSR_MIP_FIRQ15P = 31 /**< CPU mip CSR (31): FIRQ15P - Fast interrupt channel 15 pending (r/-) */ +}; + + +/**********************************************************************//** + * CPU misa CSR (r/-): Machine instruction set extensions (RISC-V spec.) + **************************************************************************/ +enum NEORV32_CSR_MISA_enum { + CSR_MISA_A = 0, /**< CPU misa CSR (0): A: Atomic instructions CPU extension available (r/-)*/ + CSR_MISA_B = 1, /**< CPU misa CSR (1): B: Bit manipulation CPU extension available (r/-)*/ + CSR_MISA_C = 2, /**< CPU misa CSR (2): C: Compressed instructions CPU extension available (r/-)*/ + CSR_MISA_D = 3, /**< CPU misa CSR (3): D: Double-precision floating-point extension available (r/-)*/ + CSR_MISA_E = 4, /**< CPU misa CSR (4): E: Embedded CPU extension available (r/-) */ + CSR_MISA_F = 5, /**< CPU misa CSR (5): F: Single-precision floating-point extension available (r/-)*/ + CSR_MISA_I = 8, /**< CPU misa CSR (8): I: Base integer ISA CPU extension available (r/-) */ + CSR_MISA_M = 12, /**< CPU misa CSR (12): M: Multiplier/divider CPU extension available (r/-)*/ + CSR_MISA_U = 20, /**< CPU misa CSR (20): U: User mode CPU extension available (r/-)*/ + CSR_MISA_X = 23, /**< CPU misa CSR (23): X: Non-standard CPU extension available (r/-) */ + CSR_MISA_MXL_LO = 30, /**< CPU misa CSR (30): MXL.lo: CPU data width (r/-) */ + CSR_MISA_MXL_HI = 31 /**< CPU misa CSR (31): MXL.Hi: CPU data width (r/-) */ +}; + + +/**********************************************************************//** + * CPU mhpmevent hardware performance monitor events + **************************************************************************/ +enum NEORV32_HPMCNT_EVENT_enum { + HPMCNT_EVENT_CY = 0, /**< CPU mhpmevent CSR (0): Active cycle */ + HPMCNT_EVENT_IR = 2, /**< CPU mhpmevent CSR (2): Retired instruction */ + + HPMCNT_EVENT_CIR = 3, /**< CPU mhpmevent CSR (3): Retired compressed instruction */ + HPMCNT_EVENT_WAIT_IF = 4, /**< CPU mhpmevent CSR (4): Instruction fetch memory wait cycle */ + HPMCNT_EVENT_WAIT_II = 5, /**< CPU mhpmevent CSR (5): Instruction issue wait cycle */ + HPMCNT_EVENT_WAIT_MC = 6, /**< CPU mhpmevent CSR (6): Multi-cycle ALU-operation wait cycle */ + HPMCNT_EVENT_LOAD = 7, /**< CPU mhpmevent CSR (7): Load operation */ + HPMCNT_EVENT_STORE = 8, /**< CPU mhpmevent CSR (8): Store operation */ + HPMCNT_EVENT_WAIT_LS = 9, /**< CPU mhpmevent CSR (9): Load/store memory wait cycle */ + + HPMCNT_EVENT_JUMP = 10, /**< CPU mhpmevent CSR (10): Unconditional jump */ + HPMCNT_EVENT_BRANCH = 11, /**< CPU mhpmevent CSR (11): Conditional branch (taken or not taken) */ + HPMCNT_EVENT_TBRANCH = 12, /**< CPU mhpmevent CSR (12): Conditional taken branch */ + + HPMCNT_EVENT_TRAP = 13, /**< CPU mhpmevent CSR (13): Entered trap */ + HPMCNT_EVENT_ILLEGAL = 14 /**< CPU mhpmevent CSR (14): Illegal instruction exception */ +}; + + +/**********************************************************************//** + * CPU pmpcfg PMP configuration attributed + **************************************************************************/ +enum NEORV32_PMPCFG_ATTRIBUTES_enum { + PMPCFG_R = 0, /**< CPU pmpcfg attribute (0): Read */ + PMPCFG_W = 1, /**< CPU pmpcfg attribute (1): Write */ + PMPCFG_X = 2, /**< CPU pmpcfg attribute (2): Execute */ + PMPCFG_A_LSB = 3, /**< CPU pmpcfg attribute (3): Mode LSB */ + PMPCFG_A_MSB = 4, /**< CPU pmpcfg attribute (4): Mode MSB */ + PMPCFG_L = 7 /**< CPU pmpcfg attribute (7): Locked */ +}; + +/**********************************************************************//** + * PMP modes + **************************************************************************/ +#define PMPCFG_MODE_NAPOT 3 + + +/**********************************************************************//** + * Trap codes from mcause CSR. + **************************************************************************/ +enum NEORV32_EXCEPTION_CODES_enum { + TRAP_CODE_I_MISALIGNED = 0x00000000, /**< 0.0: Instruction address misaligned */ + TRAP_CODE_I_ACCESS = 0x00000001, /**< 0.1: Instruction (bus) access fault */ + TRAP_CODE_I_ILLEGAL = 0x00000002, /**< 0.2: Illegal instruction */ + TRAP_CODE_BREAKPOINT = 0x00000003, /**< 0.3: Breakpoint (EBREAK instruction) */ + TRAP_CODE_L_MISALIGNED = 0x00000004, /**< 0.4: Load address misaligned */ + TRAP_CODE_L_ACCESS = 0x00000005, /**< 0.5: Load (bus) access fault */ + TRAP_CODE_S_MISALIGNED = 0x00000006, /**< 0.6: Store address misaligned */ + TRAP_CODE_S_ACCESS = 0x00000007, /**< 0.7: Store (bus) access fault */ + TRAP_CODE_UENV_CALL = 0x00000008, /**< 0.8: Environment call from user mode (ECALL instruction) */ + TRAP_CODE_MENV_CALL = 0x0000000b, /**< 0.11: Environment call from machine mode (ECALL instruction) */ + TRAP_CODE_MSI = 0x80000003, /**< 1.3: Machine software interrupt */ + TRAP_CODE_MTI = 0x80000007, /**< 1.7: Machine timer interrupt */ + TRAP_CODE_MEI = 0x8000000b, /**< 1.11: Machine external interrupt */ + TRAP_CODE_FIRQ_0 = 0x80000010, /**< 1.16: Fast interrupt channel 0 */ + TRAP_CODE_FIRQ_1 = 0x80000011, /**< 1.17: Fast interrupt channel 1 */ + TRAP_CODE_FIRQ_2 = 0x80000012, /**< 1.18: Fast interrupt channel 2 */ + TRAP_CODE_FIRQ_3 = 0x80000013, /**< 1.19: Fast interrupt channel 3 */ + TRAP_CODE_FIRQ_4 = 0x80000014, /**< 1.20: Fast interrupt channel 4 */ + TRAP_CODE_FIRQ_5 = 0x80000015, /**< 1.21: Fast interrupt channel 5 */ + TRAP_CODE_FIRQ_6 = 0x80000016, /**< 1.22: Fast interrupt channel 6 */ + TRAP_CODE_FIRQ_7 = 0x80000017, /**< 1.23: Fast interrupt channel 7 */ + TRAP_CODE_FIRQ_8 = 0x80000018, /**< 1.24: Fast interrupt channel 8 */ + TRAP_CODE_FIRQ_9 = 0x80000019, /**< 1.25: Fast interrupt channel 9 */ + TRAP_CODE_FIRQ_10 = 0x8000001a, /**< 1.26: Fast interrupt channel 10 */ + TRAP_CODE_FIRQ_11 = 0x8000001b, /**< 1.27: Fast interrupt channel 11 */ + TRAP_CODE_FIRQ_12 = 0x8000001c, /**< 1.28: Fast interrupt channel 12 */ + TRAP_CODE_FIRQ_13 = 0x8000001d, /**< 1.29: Fast interrupt channel 13 */ + TRAP_CODE_FIRQ_14 = 0x8000001e, /**< 1.30: Fast interrupt channel 14 */ + TRAP_CODE_FIRQ_15 = 0x8000001f /**< 1.31: Fast interrupt channel 15 */ +}; + + +/**********************************************************************//** + * Processor clock prescaler select + **************************************************************************/ +enum NEORV32_CLOCK_PRSC_enum { + CLK_PRSC_2 = 0, /**< CPU_CLK (from clk_i top signal) / 2 */ + CLK_PRSC_4 = 1, /**< CPU_CLK (from clk_i top signal) / 4 */ + CLK_PRSC_8 = 2, /**< CPU_CLK (from clk_i top signal) / 8 */ + CLK_PRSC_64 = 3, /**< CPU_CLK (from clk_i top signal) / 64 */ + CLK_PRSC_128 = 4, /**< CPU_CLK (from clk_i top signal) / 128 */ + CLK_PRSC_1024 = 5, /**< CPU_CLK (from clk_i top signal) / 1024 */ + CLK_PRSC_2048 = 6, /**< CPU_CLK (from clk_i top signal) / 2048 */ + CLK_PRSC_4096 = 7 /**< CPU_CLK (from clk_i top signal) / 4096 */ +}; + + +/**********************************************************************//** + * Official NEORV32 >RISC-V open-source architecture ID< + * https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md + **************************************************************************/ +#define NEORV32_ARCHID 19 + + +/**********************************************************************//** + * @defgroup FIRQ_ALIASES Fast Interrupt Requests (FIRQ) Aliases (MIE, MIP, MCAUSE, RTE-ID) + * @name Fast Interrupt Requests (FIRQ) Aliases (MIE, MIP, MCAUSE, RTE-ID) + **************************************************************************/ +/**@{*/ +/** @name Watchdog Timer (WDT) */ +/**@{*/ +#define WDT_FIRQ_ENABLE CSR_MIE_FIRQ0E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define WDT_FIRQ_PENDING CSR_MIP_FIRQ0P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define WDT_RTE_ID RTE_TRAP_FIRQ_0 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define WDT_TRAP_CODE TRAP_CODE_FIRQ_0 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Custom Functions Subsystem (CFS) */ +/**@{*/ +#define CFS_FIRQ_ENABLE CSR_MIE_FIRQ1E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define CFS_FIRQ_PENDING CSR_MIP_FIRQ1P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define CFS_RTE_ID RTE_TRAP_FIRQ_1 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define CFS_TRAP_CODE TRAP_CODE_FIRQ_1 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Primary Universal Asynchronous Receiver/Transmitter (UART0) */ +/**@{*/ +#define UART0_RX_FIRQ_ENABLE CSR_MIE_FIRQ2E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define UART0_RX_FIRQ_PENDING CSR_MIP_FIRQ2P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define UART0_RX_RTE_ID RTE_TRAP_FIRQ_2 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define UART0_RX_TRAP_CODE TRAP_CODE_FIRQ_2 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +#define UART0_TX_FIRQ_ENABLE CSR_MIE_FIRQ3E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define UART0_TX_FIRQ_PENDING CSR_MIP_FIRQ3P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define UART0_TX_RTE_ID RTE_TRAP_FIRQ_3 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define UART0_TX_TRAP_CODE TRAP_CODE_FIRQ_4 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Secondary Universal Asynchronous Receiver/Transmitter (UART1) */ +/**@{*/ +#define UART1_RX_FIRQ_ENABLE CSR_MIE_FIRQ4E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define UART1_RX_FIRQ_PENDING CSR_MIP_FIRQ4P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define UART1_RX_RTE_ID RTE_TRAP_FIRQ_4 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define UART1_RX_TRAP_CODE TRAP_CODE_FIRQ_4 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +#define UART1_TX_FIRQ_ENABLE CSR_MIE_FIRQ5E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define UART1_TX_FIRQ_PENDING CSR_MIP_FIRQ5P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define UART1_TX_RTE_ID RTE_TRAP_FIRQ_5 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define UART1_TX_TRAP_CODE TRAP_CODE_FIRQ_5 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Serial Peripheral Interface (SPI) */ +/**@{*/ +#define SPI_FIRQ_ENABLE CSR_MIE_FIRQ6E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define SPI_FIRQ_PENDING CSR_MIP_FIRQ6P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define SPI_RTE_ID RTE_TRAP_FIRQ_6 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define SPI_TRAP_CODE TRAP_CODE_FIRQ_6 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Two-Wire Interface (TWI) */ +/**@{*/ +#define TWI_FIRQ_ENABLE CSR_MIE_FIRQ7E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define TWI_FIRQ_PENDING CSR_MIP_FIRQ7P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define TWI_RTE_ID RTE_TRAP_FIRQ_7 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define TWI_TRAP_CODE TRAP_CODE_FIRQ_7 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name External Interrupt Controller (XIRQ) */ +/**@{*/ +#define XIRQ_FIRQ_ENABLE CSR_MIE_FIRQ8E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define XIRQ_FIRQ_PENDING CSR_MIP_FIRQ8P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define XIRQ_RTE_ID RTE_TRAP_FIRQ_8 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define XIRQ_TRAP_CODE TRAP_CODE_FIRQ_8 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Smart LED Controller (NEOLED) */ +/**@{*/ +#define NEOLED_FIRQ_ENABLE CSR_MIE_FIRQ9E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define NEOLED_FIRQ_PENDING CSR_MIP_FIRQ9P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define NEOLED_RTE_ID RTE_TRAP_FIRQ_9 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define NEOLED_TRAP_CODE TRAP_CODE_FIRQ_9 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name Stream Link Interface (SLINK) */ +/**@{*/ +#define SLINK_RX_FIRQ_ENABLE CSR_MIE_FIRQ10E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define SLINK_RX_FIRQ_PENDING CSR_MIP_FIRQ10P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define SLINK_RX_RTE_ID RTE_TRAP_FIRQ_10 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define SLINK_RX_TRAP_CODE TRAP_CODE_FIRQ_10 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +#define SLINK_TX_FIRQ_ENABLE CSR_MIE_FIRQ11E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define SLINK_TX_FIRQ_PENDING CSR_MIP_FIRQ11P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define SLINK_TX_RTE_ID RTE_TRAP_FIRQ_11 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define SLINK_TX_TRAP_CODE TRAP_CODE_FIRQ_11 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/** @name General Purpose Timer (GPTMR) */ +/**@{*/ +#define GPTMR_FIRQ_ENABLE CSR_MIE_FIRQ12E /**< MIE CSR bit (#NEORV32_CSR_MIE_enum) */ +#define GPTMR_FIRQ_PENDING CSR_MIP_FIRQ12P /**< MIP CSR bit (#NEORV32_CSR_MIP_enum) */ +#define GPTMR_RTE_ID RTE_TRAP_FIRQ_12 /**< RTE entry code (#NEORV32_RTE_TRAP_enum) */ +#define GPTMR_TRAP_CODE TRAP_CODE_FIRQ_12 /**< MCAUSE CSR trap code (#NEORV32_EXCEPTION_CODES_enum) */ +/**@}*/ +/**@}*/ + + +/**********************************************************************//** + * @name Address space sections + **************************************************************************/ +/**@{*/ +/** instruction memory base address (r/w/x) */ +// -> configured via ispace_base_c constant in neorv32_package.vhd and available to SW via SYSCONFIG entry +/** data memory base address (r/w/x) */ +// -> configured via dspace_base_c constant in neorv32_package.vhd and available to SW via SYSCONFIG entry +/** bootloader memory base address (r/-/x) */ +#define BOOTLOADER_BASE_ADDRESS (0xFFFF0000UL) +/** on-chip debugger complex base address (r/w/x) */ +#define OCD_BASE_ADDRESS (0XFFFFF800UL) +/** peripheral/IO devices memory base address (r/w/-) */ +#define IO_BASE_ADDRESS (0xFFFFFE00UL) +/**@}*/ + + +// ############################################################################################################################ +// On-Chip Debugger (should NOT be used by application software) +// ############################################################################################################################ +/**@{*/ +/** on-chip debugger - debug module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + const uint32_t CODE[32]; /**< offset 0: park loop code ROM (r/-) */ + const uint32_t PBUF[4]; /**< offset 128: program buffer (r/-) */ + const uint32_t reserved1[28]; /**< offset 144..252: reserved */ + uint32_t DATA; /**< offset 256: data exchange register (r/w) */ + const uint32_t reserved2[31]; /**< offset 260..380: reserved */ + uint32_t SREG; /**< offset 384: control and status register (r/w) (#NEORV32_OCD_DM_SREG_enum) */ + const uint32_t reserved3[31]; /**< offset 388..508: reserved */ +} neorv32_dm_t; + +/** on-chip debugger debug module hardware access (#neorv32_dm_t) */ +#define NEORV32_DM (*((volatile neorv32_dm_t*) (0XFFFFF800UL))) + +/** on-chip debugger debug module control and status register bits */ +enum NEORV32_OCD_DM_SREG_enum { + OCD_DM_SREG_HALT_ACK = 0, /**< OCD.DM control and status register(0) (-/w): CPU is halted in debug mode and waits in park loop */ + OCD_DM_SREG_RESUME_REQ = 1, /**< OCD.DM control and status register(1) (r/-): DM requests CPU to resume */ + OCD_DM_SREG_RESUME_ACK = 2, /**< OCD.DM control and status register(2) (-/w): CPU starts resuming */ + OCD_DM_SREG_EXECUTE_REQ = 3, /**< OCD.DM control and status register(3) (r/-): DM requests to execute program buffer */ + OCD_DM_SREG_EXECUTE_ACK = 4, /**< OCD.DM control and status register(4) (-/w): CPU starts to execute program buffer */ + OCD_DM_SREG_EXCEPTION_ACK = 5 /**< OCD.DM control and status register(5) (-/w): CPU has detected an exception */ +}; +/**@}*/ + + +// ############################################################################################################################ +// Peripheral/IO Devices - IO Address Space +// ############################################################################################################################ + + +/**********************************************************************//** + * @name Helper macros for easy memory-mapped register access (DEPRECATED!) + **************************************************************************/ +/**@{*/ +/** memory-mapped byte (8-bit) read/write register */ +#define IO_REG8 (volatile uint8_t*) +/** memory-mapped half-word (16-bit) read/write register */ +#define IO_REG16 (volatile uint16_t*) +/** memory-mapped word (32-bit) read/write register */ +#define IO_REG32 (volatile uint32_t*) +/** memory-mapped double-word (64-bit) read/write register */ +#define IO_REG64 (volatile uint64_t*) +/** memory-mapped byte (8-bit) read-only register */ +#define IO_ROM8 (const volatile uint8_t*) +/** memory-mapped half-word (16-bit) read-only register */ +#define IO_ROM16 (const volatile uint16_t*) +/** memory-mapped word (32-bit) read-only register */ +#define IO_ROM32 (const volatile uint32_t*) +/** memory-mapped double-word (64-bit) read-only register */ +#define IO_ROM64 (const volatile uint64_t*) +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Custom Functions Subsystem (CFS) + **************************************************************************/ +/**@{*/ +/** CFS module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t REG[32]; /**< offset 4*0..4*31: CFS register 0..31, user-defined */ +} neorv32_cfs_t; + +/** CFS module hardware access (#neorv32_cfs_t) */ +#define NEORV32_CFS (*((volatile neorv32_cfs_t*) (0xFFFFFE00UL))) +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Pulse Width Modulation Controller (PWM) + **************************************************************************/ +/**@{*/ +/** PWM module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_PWM_CTRL_enum) */ + uint32_t DUTY[15]; /**< offset 4..60: duty cycle register 0..14 */ +} neorv32_pwm_t; + +/** PWM module hardware access (#neorv32_pwm_t) */ +#define NEORV32_PWM (*((volatile neorv32_pwm_t*) (0xFFFFFE80UL))) + +/** PWM control register bits */ +enum NEORV32_PWM_CTRL_enum { + PWM_CTRL_EN = 0, /**< PWM control register(0) (r/w): PWM controller enable */ + PWM_CTRL_PRSC0 = 1, /**< PWM control register(1) (r/w): Clock prescaler select bit 0 */ + PWM_CTRL_PRSC1 = 2, /**< PWM control register(2) (r/w): Clock prescaler select bit 1 */ + PWM_CTRL_PRSC2 = 3 /**< PWM control register(3) (r/w): Clock prescaler select bit 2 */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Stream link interface (SLINK) + **************************************************************************/ +/**@{*/ +/** SLINK module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_SLINK_CTRL_enum) */ + const uint32_t reserved0; /**< offset 4: reserved */ + uint32_t IRQ; /**< offset 8: interrupt configuration register (#NEORV32_SLINK_IRQ_enum) */ + const uint32_t reserved1; /**< offset 12: reserved */ + const uint32_t STATUS; /**< offset 16: status register (#NEORV32_SLINK_STATUS_enum) */ + const uint32_t reserved2[3]; /**< offset 20..28: reserved */ + uint32_t DATA[8]; /**< offset 32..60: stream link data channel 0..7 */ +} neorv32_slink_t; + +/** SLINK module hardware access (#neorv32_slink_t) */ +#define NEORV32_SLINK (*((volatile neorv32_slink_t*) (0xFFFFFEC0UL))) + +/** SLINK control register bits */ +enum NEORV32_SLINK_CTRL_enum { + SLINK_CTRL_RX_NUM0 = 0, /**< SLINK control register(0) (r/-): number of implemented RX links bit 0 */ + SLINK_CTRL_RX_NUM1 = 1, /**< SLINK control register(1) (r/-): number of implemented RX links bit 1 */ + SLINK_CTRL_RX_NUM2 = 2, /**< SLINK control register(2) (r/-): number of implemented RX links bit 2 */ + SLINK_CTRL_RX_NUM3 = 3, /**< SLINK control register(3) (r/-): number of implemented RX links bit 3 */ + + SLINK_CTRL_TX_NUM0 = 4, /**< SLINK control register(4) (r/-): number of implemented TX links bit 0 */ + SLINK_CTRL_TX_NUM1 = 5, /**< SLINK control register(5) (r/-): number of implemented TX links bit 1 */ + SLINK_CTRL_TX_NUM2 = 6, /**< SLINK control register(6) (r/-): number of implemented TX links bit 2 */ + SLINK_CTRL_TX_NUM3 = 7, /**< SLINK control register(7) (r/-): number of implemented TX links bit 3 */ + + SLINK_CTRL_RX_FIFO_S0 = 8, /**< SLINK control register( 8) (r/-): log2(RX FIFO size) bit 0 */ + SLINK_CTRL_RX_FIFO_S1 = 9, /**< SLINK control register( 9) (r/-): log2(RX FIFO size) bit 1 */ + SLINK_CTRL_RX_FIFO_S2 = 10, /**< SLINK control register(10) (r/-): log2(RX FIFO size) bit 2 */ + SLINK_CTRL_RX_FIFO_S3 = 11, /**< SLINK control register(11) (r/-): log2(RX FIFO size) bit 3 */ + + SLINK_CTRL_TX_FIFO_S0 = 12, /**< SLINK control register(12) (r/-): log2(TX FIFO size) bit 0 */ + SLINK_CTRL_TX_FIFO_S1 = 13, /**< SLINK control register(13) (r/-): log2(TX FIFO size) bit 1 */ + SLINK_CTRL_TX_FIFO_S2 = 14, /**< SLINK control register(14) (r/-): log2(TX FIFO size) bit 2 */ + SLINK_CTRL_TX_FIFO_S3 = 15, /**< SLINK control register(15) (r/-): log2(TX FIFO size) bit 3 */ + + SLINK_CTRL_EN = 31, /**< SLINK control register(0) (r/w): SLINK controller enable */ +}; + +/** SLINK interrupt control register bits */ +enum NEORV32_SLINK_IRQ_enum { + SLINK_IRQ_RX_EN_LSB = 0, /**< SLINK IRQ configuration register( 0) (r/w): RX IRQ enable LSB (link 0) (#NEORV32_SLINK_IRQ_EN_enum) */ + SLINK_IRQ_RX_EN_MSB = 7, /**< SLINK IRQ configuration register( 7) (r/w): RX IRQ enable MSB (link 7) (#NEORV32_SLINK_IRQ_EN_enum) */ + SLINK_IRQ_RX_MODE_LSB = 8, /**< SLINK IRQ configuration register( 8) (r/w): RX IRQ mode LSB (link 0) (#NEORV32_SLINK_IRQ_RX_TYPE_enum) */ + SLINK_IRQ_RX_MODE_MSB = 15, /**< SLINK IRQ configuration register(15) (r/w): RX IRQ mode MSB (link 7) (#NEORV32_SLINK_IRQ_RX_TYPE_enum) */ + + SLINK_IRQ_TX_EN_LSB = 16, /**< SLINK IRQ configuration register(16) (r/w): TX IRQ enable LSB (link 0) (#NEORV32_SLINK_IRQ_EN_enum) */ + SLINK_IRQ_TX_EN_MSB = 23, /**< SLINK IRQ configuration register(23) (r/w): TX IRQ enable MSB (link 7) (#NEORV32_SLINK_IRQ_EN_enum) */ + SLINK_IRQ_TX_MODE_LSB = 24, /**< SLINK IRQ configuration register(24) (r/w): TX IRQ mode LSB (link 0) (#NEORV32_SLINK_IRQ_TX_TYPE_enum) */ + SLINK_IRQ_TX_MODE_MSB = 31 /**< SLINK IRQ configuration register(31) (r/w): TX IRQ mode MSB (link 7) (#NEORV32_SLINK_IRQ_TX_TYPE_enum) */ +}; + +/** SLINK interrupt configuration enable (per link) */ +enum NEORV32_SLINK_IRQ_EN_enum { + SLINK_IRQ_DISABLE = 0, /**< '1': IRQ disabled */ + SLINK_IRQ_ENABLE = 1 /**< '0': IRQ enabled */ +}; + +/** SLINK RX interrupt configuration type (per link) */ +enum NEORV32_SLINK_IRQ_RX_TYPE_enum { + SLINK_IRQ_RX_NOT_EMPTY = 0, /**< '1': RX FIFO is not empty */ + SLINK_IRQ_RX_FIFO_HALF = 1 /**< '0': RX FIFO fill-level rises above half-full */ +}; + +/** SLINK TX interrupt configuration type (per link) */ +enum NEORV32_SLINK_IRQ_TX_TYPE_enum { + SLINK_IRQ_TX_NOT_FULL = 0, /**< '1': TX FIFO is not FULL */ + SLINK_IRQ_TX_FIFO_HALF = 1 /**< '0': TX FIFO fill-level falls below half-full */ +}; + +/** SLINK status register bits */ +enum NEORV32_SLINK_STATUS_enum { + SLINK_STATUS_RX0_AVAIL = 0, /**< SLINK status register(0) (r/-): RX link 0 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX1_AVAIL = 1, /**< SLINK status register(1) (r/-): RX link 1 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX2_AVAIL = 2, /**< SLINK status register(2) (r/-): RX link 2 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX3_AVAIL = 3, /**< SLINK status register(3) (r/-): RX link 3 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX4_AVAIL = 4, /**< SLINK status register(4) (r/-): RX link 4 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX5_AVAIL = 5, /**< SLINK status register(5) (r/-): RX link 5 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX6_AVAIL = 6, /**< SLINK status register(6) (r/-): RX link 6 FIFO is NOT empty (data available) */ + SLINK_STATUS_RX7_AVAIL = 7, /**< SLINK status register(7) (r/-): RX link 7 FIFO is NOT empty (data available) */ + + SLINK_STATUS_TX0_FREE = 8, /**< SLINK status register(8) (r/-): TX link 0 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX1_FREE = 9, /**< SLINK status register(9) (r/-): TX link 1 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX2_FREE = 10, /**< SLINK status register(10) (r/-): TX link 2 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX3_FREE = 11, /**< SLINK status register(11) (r/-): TX link 3 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX4_FREE = 12, /**< SLINK status register(12) (r/-): TX link 4 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX5_FREE = 13, /**< SLINK status register(13) (r/-): TX link 5 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX6_FREE = 14, /**< SLINK status register(14) (r/-): TX link 6 FIFO is NOT full (ready to send) */ + SLINK_STATUS_TX7_FREE = 15, /**< SLINK status register(15) (r/-): TX link 7 FIFO is NOT full (ready to send) */ + + SLINK_STATUS_RX0_HALF = 16, /**< SLINK status register(16) (r/-): RX link 0 FIFO fill level is >= half-full */ + SLINK_STATUS_RX1_HALF = 17, /**< SLINK status register(17) (r/-): RX link 1 FIFO fill level is >= half-full */ + SLINK_STATUS_RX2_HALF = 18, /**< SLINK status register(18) (r/-): RX link 2 FIFO fill level is >= half-full */ + SLINK_STATUS_RX3_HALF = 19, /**< SLINK status register(19) (r/-): RX link 3 FIFO fill level is >= half-full */ + SLINK_STATUS_RX4_HALF = 20, /**< SLINK status register(20) (r/-): RX link 4 FIFO fill level is >= half-full */ + SLINK_STATUS_RX5_HALF = 21, /**< SLINK status register(21) (r/-): RX link 5 FIFO fill level is >= half-full */ + SLINK_STATUS_RX6_HALF = 22, /**< SLINK status register(22) (r/-): RX link 6 FIFO fill level is >= half-full */ + SLINK_STATUS_RX7_HALF = 23, /**< SLINK status register(23) (r/-): RX link 7 FIFO fill level is >= half-full */ + + SLINK_STATUS_TX0_HALF = 24, /**< SLINK status register(24) (r/-): TX link 0 FIFO fill level is > half-full */ + SLINK_STATUS_TX1_HALF = 25, /**< SLINK status register(25) (r/-): TX link 1 FIFO fill level is > half-full */ + SLINK_STATUS_TX2_HALF = 26, /**< SLINK status register(26) (r/-): TX link 2 FIFO fill level is > half-full */ + SLINK_STATUS_TX3_HALF = 27, /**< SLINK status register(27) (r/-): TX link 3 FIFO fill level is > half-full */ + SLINK_STATUS_TX4_HALF = 28, /**< SLINK status register(28) (r/-): TX link 4 FIFO fill level is > half-full */ + SLINK_STATUS_TX5_HALF = 29, /**< SLINK status register(29) (r/-): TX link 5 FIFO fill level is > half-full */ + SLINK_STATUS_TX6_HALF = 30, /**< SLINK status register(30) (r/-): TX link 6 FIFO fill level is > half-full */ + SLINK_STATUS_TX7_HALF = 31 /**< SLINK status register(31) (r/-): TX link 7 FIFO fill level is > half-full */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: General Purpose Timer (GPTMR) + **************************************************************************/ +/**@{*/ +/** GPTMR module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_GPTMR_CTRL_enum) */ + uint32_t THRES; /**< offset 4: threshold register */ + uint32_t COUNT; /**< offset 8: counter register */ + const uint32_t reserved; /**< offset 12: reserved */ +} neorv32_gptmr_t; + +/** GPTMR module hardware access (#neorv32_gptmr_t) */ +#define NEORV32_GPTMR (*((volatile neorv32_gptmr_t*) (0xFFFFFF60UL))) + +/** GPTMR control/data register bits */ +enum NEORV32_GPTMR_CTRL_enum { + GPTMR_CTRL_EN = 0, /**< GPTIMR control register(0) (r/w): Timer unit enable */ + GPTMR_CTRL_PRSC0 = 1, /**< GPTIMR control register(1) (r/w): Clock prescaler select bit 0 */ + GPTMR_CTRL_PRSC1 = 2, /**< GPTIMR control register(2) (r/w): Clock prescaler select bit 1 */ + GPTMR_CTRL_PRSC2 = 3, /**< GPTIMR control register(3) (r/w): Clock prescaler select bit 2 */ + GPTMR_CTRL_MODE = 4 /**< GPTIMR control register(4) (r/w): Timer mode: 0=single-shot mode, 1=continuous mode */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Bus Monitor (BUSKEEPER) + **************************************************************************/ +/**@{*/ +/** BUSKEEPER module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_BUSKEEPER_CTRL_enum) */ +} neorv32_buskeeper_t; + +/** BUSKEEPER module hardware access (#neorv32_buskeeper_t) */ +#define NEORV32_BUSKEEPER (*((volatile neorv32_buskeeper_t*) (0xFFFFFF7CUL))) + +/** BUSKEEPER control/data register bits */ +enum NEORV32_BUSKEEPER_CTRL_enum { + BUSKEEPER_ERR_TYPE = 0, /**< BUSKEEPER control register(0) (r/-): Bus error type: 0=device error, 1=access timeout */ + BUSKEEPER_ERR_FLAG = 31 /**< BUSKEEPER control register(31) (r/c): Sticky error flag, clears after read or write access */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: External Interrupt Controller (XIRQ) + **************************************************************************/ +/**@{*/ +/** XIRQ module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t IER; /**< offset 0: IRQ input enable register */ + uint32_t IPR; /**< offset 4: pending IRQ register /ack/clear */ + uint32_t SCR; /**< offset 8: interrupt source register */ + const uint32_t reserved; /**< offset 12: reserved */ +} neorv32_xirq_t; + +/** XIRQ module hardware access (#neorv32_xirq_t) */ +#define NEORV32_XIRQ (*((volatile neorv32_xirq_t*) (0xFFFFFF80UL))) +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Machine System Timer (MTIME) + **************************************************************************/ +/**@{*/ +/** MTIME module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t TIME_LO; /**< offset 0: time register low word */ + uint32_t TIME_HI; /**< offset 4: time register high word */ + uint32_t TIMECMP_LO; /**< offset 8: compare register low word */ + uint32_t TIMECMP_HI; /**< offset 12: compare register high word */ +} neorv32_mtime_t; + +/** MTIME module hardware access (#neorv32_mtime_t) */ +#define NEORV32_MTIME (*((volatile neorv32_mtime_t*) (0xFFFFFF90UL))) +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Primary/Secondary Universal Asynchronous Receiver and Transmitter (UART0 / UART1) + **************************************************************************/ +/**@{*/ +/** UART0 module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_UART_CTRL_enum) */ + uint32_t DATA; /**< offset 4: data register (#NEORV32_UART_DATA_enum) */ +} neorv32_uart0_t; + +/** UART0 module hardware access (#neorv32_uart0_t) */ +#define NEORV32_UART0 (*((volatile neorv32_uart0_t*) (0xFFFFFFA0UL))) + +/** UART1 module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_UART_CTRL_enum) */ + uint32_t DATA; /**< offset 4: data register (#NEORV32_UART_DATA_enum) */ +} neorv32_uart1_t; + +/** UART1 module hardware access (#neorv32_uart1_t) */ +#define NEORV32_UART1 (*((volatile neorv32_uart1_t*) (0xFFFFFFD0UL))) + +/** UART0/UART1 control register bits */ +enum NEORV32_UART_CTRL_enum { + UART_CTRL_BAUD00 = 0, /**< UART control register(0) (r/w): BAUD rate config value lsb (12-bit, bit 0) */ + UART_CTRL_BAUD01 = 1, /**< UART control register(1) (r/w): BAUD rate config value (12-bit, bit 1) */ + UART_CTRL_BAUD02 = 2, /**< UART control register(2) (r/w): BAUD rate config value (12-bit, bit 2) */ + UART_CTRL_BAUD03 = 3, /**< UART control register(3) (r/w): BAUD rate config value (12-bit, bit 3) */ + UART_CTRL_BAUD04 = 4, /**< UART control register(4) (r/w): BAUD rate config value (12-bit, bit 4) */ + UART_CTRL_BAUD05 = 5, /**< UART control register(5) (r/w): BAUD rate config value (12-bit, bit 4) */ + UART_CTRL_BAUD06 = 6, /**< UART control register(6) (r/w): BAUD rate config value (12-bit, bit 5) */ + UART_CTRL_BAUD07 = 7, /**< UART control register(7) (r/w): BAUD rate config value (12-bit, bit 6) */ + UART_CTRL_BAUD08 = 8, /**< UART control register(8) (r/w): BAUD rate config value (12-bit, bit 7) */ + UART_CTRL_BAUD09 = 9, /**< UART control register(9) (r/w): BAUD rate config value (12-bit, bit 8) */ + UART_CTRL_BAUD10 = 10, /**< UART control register(10) (r/w): BAUD rate config value (12-bit, bit 9) */ + UART_CTRL_BAUD11 = 11, /**< UART control register(11) (r/w): BAUD rate config value msb (12-bit, bit 0) */ + UART_CTRL_SIM_MODE = 12, /**< UART control register(12) (r/w): Simulation output override enable, for use in simulation only */ + UART_CTRL_RX_EMPTY = 13, /**< UART control register(13) (r/-): RX FIFO is empty */ + UART_CTRL_RX_HALF = 14, /**< UART control register(14) (r/-): RX FIFO is at least half-full */ + UART_CTRL_RX_FULL = 15, /**< UART control register(15) (r/-): RX FIFO is full */ + UART_CTRL_TX_EMPTY = 16, /**< UART control register(16) (r/-): TX FIFO is empty */ + UART_CTRL_TX_HALF = 17, /**< UART control register(17) (r/-): TX FIFO is at least half-full */ + UART_CTRL_TX_FULL = 18, /**< UART control register(18) (r/-): TX FIFO is full */ + + UART_CTRL_RTS_EN = 20, /**< UART control register(20) (r/w): Enable hardware flow control: Assert RTS output if UART.RX is ready to receive */ + UART_CTRL_CTS_EN = 21, /**< UART control register(21) (r/w): Enable hardware flow control: UART.TX starts sending only if CTS input is asserted */ + UART_CTRL_PMODE0 = 22, /**< UART control register(22) (r/w): Parity configuration (0=even; 1=odd) */ + UART_CTRL_PMODE1 = 23, /**< UART control register(23) (r/w): Parity bit enabled when set */ + UART_CTRL_PRSC0 = 24, /**< UART control register(24) (r/w): BAUD rate clock prescaler select bit 0 */ + UART_CTRL_PRSC1 = 25, /**< UART control register(25) (r/w): BAUD rate clock prescaler select bit 1 */ + UART_CTRL_PRSC2 = 26, /**< UART control register(26) (r/w): BAUD rate clock prescaler select bit 2 */ + UART_CTRL_CTS = 27, /**< UART control register(27) (r/-): current state of CTS input */ + UART_CTRL_EN = 28, /**< UART control register(28) (r/w): UART global enable */ + UART_CTRL_RX_IRQ = 29, /**< UART control register(29) (r/w): RX IRQ mode: 1=FIFO at least half-full; 0=FIFO not empty */ + UART_CTRL_TX_IRQ = 30, /**< UART control register(30) (r/w): TX IRQ mode: 1=FIFO less than half-full; 0=FIFO not full */ + UART_CTRL_TX_BUSY = 31 /**< UART control register(31) (r/-): Transmitter is busy when set */ +}; + +/** UART0/UART1 parity configuration */ +enum NEORV32_UART_PARITY_enum { + PARITY_NONE = 0b00, /**< 0b00: No parity bit at all */ + PARITY_EVEN = 0b10, /**< 0b10: Even parity */ + PARITY_ODD = 0b11 /**< 0b11: Odd parity */ +}; + +/** UART0/UART1 hardware flow control configuration */ +enum NEORV32_UART_FLOW_CONTROL_enum { + FLOW_CONTROL_NONE = 0b00, /**< 0b00: No hardware flow control */ + FLOW_CONTROL_RTS = 0b01, /**< 0b01: Assert RTS output if UART.RX is ready to receive */ + FLOW_CONTROL_CTS = 0b10, /**< 0b10: UART.TX starts sending only if CTS input is asserted */ + FLOW_CONTROL_RTSCTS = 0b11 /**< 0b11: Assert RTS output if UART.RX is ready to receive & UART.TX starts sending only if CTS input is asserted */ +}; + +/** UART0/UART1 receive/transmit data register bits */ +enum NEORV32_UART_DATA_enum { + UART_DATA_LSB = 0, /**< UART receive/transmit data register(0) (r/w): Receive/transmit data LSB (bit 0) */ + UART_DATA_MSB = 7, /**< UART receive/transmit data register(7) (r/w): Receive/transmit data MSB (bit 7) */ + + UART_DATA_PERR = 28, /**< UART receive/transmit data register(18) (r/-): RX parity error detected when set */ + UART_DATA_FERR = 29, /**< UART receive/transmit data register(29) (r/-): RX frame error (no valid stop bit) detected when set */ + UART_DATA_OVERR = 30, /**< UART receive/transmit data register(30) (r/-): RX data overrun when set */ + UART_DATA_AVAIL = 31 /**< UART receive/transmit data register(31) (r/-): RX data available when set */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Serial Peripheral Interface Controller (SPI) + **************************************************************************/ +/**@{*/ +/** SPI module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_SPI_CTRL_enum) */ + uint32_t DATA; /**< offset 4: data register */ +} neorv32_spi_t; + +/** SPI module hardware access (#neorv32_spi_t) */ +#define NEORV32_SPI (*((volatile neorv32_spi_t*) (0xFFFFFFA8UL))) + +/** SPI control register bits */ +enum NEORV32_SPI_CTRL_enum { + SPI_CTRL_CS0 = 0, /**< SPI control register(0) (r/w): Direct chip select line 0 (output is low when set) */ + SPI_CTRL_CS1 = 1, /**< SPI control register(1) (r/w): Direct chip select line 1 (output is low when set) */ + SPI_CTRL_CS2 = 2, /**< SPI control register(2) (r/w): Direct chip select line 2 (output is low when set) */ + SPI_CTRL_CS3 = 3, /**< SPI control register(3) (r/w): Direct chip select line 3 (output is low when set) */ + SPI_CTRL_CS4 = 4, /**< SPI control register(4) (r/w): Direct chip select line 4 (output is low when set) */ + SPI_CTRL_CS5 = 5, /**< SPI control register(5) (r/w): Direct chip select line 5 (output is low when set) */ + SPI_CTRL_CS6 = 6, /**< SPI control register(6) (r/w): Direct chip select line 6 (output is low when set) */ + SPI_CTRL_CS7 = 7, /**< SPI control register(7) (r/w): Direct chip select line 7 (output is low when set) */ + SPI_CTRL_EN = 8, /**< SPI control register(8) (r/w): SPI unit enable */ + SPI_CTRL_CPHA = 9, /**< SPI control register(9) (r/w): Clock phase */ + SPI_CTRL_PRSC0 = 10, /**< SPI control register(10) (r/w): Clock prescaler select bit 0 */ + SPI_CTRL_PRSC1 = 11, /**< SPI control register(11) (r/w): Clock prescaler select bit 1 */ + SPI_CTRL_PRSC2 = 12, /**< SPI control register(12) (r/w): Clock prescaler select bit 2 */ + SPI_CTRL_SIZE0 = 13, /**< SPI control register(13) (r/w): Transfer data size lsb (00: 8-bit, 01: 16-bit, 10: 24-bit, 11: 32-bit) */ + SPI_CTRL_SIZE1 = 14, /**< SPI control register(14) (r/w): Transfer data size msb (00: 8-bit, 01: 16-bit, 10: 24-bit, 11: 32-bit) */ + SPI_CTRL_CPOL = 15, /**< SPI control register(15) (r/w): Clock polarity */ + + SPI_CTRL_BUSY = 31 /**< SPI control register(31) (r/-): SPI busy flag */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Two-Wire Interface Controller (TWI) + **************************************************************************/ +/**@{*/ +/** TWI module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_TWI_CTRL_enum) */ + uint32_t DATA; /**< offset 4: data register (#NEORV32_TWI_DATA_enum) */ +} neorv32_twi_t; + +/** TWI module hardware access (#neorv32_twi_t) */ +#define NEORV32_TWI (*((volatile neorv32_twi_t*) (0xFFFFFFB0UL))) + +/** TWI control register bits */ +enum NEORV32_TWI_CTRL_enum { + TWI_CTRL_EN = 0, /**< TWI control register(0) (r/w): TWI enable */ + TWI_CTRL_START = 1, /**< TWI control register(1) (-/w): Generate START condition, auto-clears */ + TWI_CTRL_STOP = 2, /**< TWI control register(2) (-/w): Generate STOP condition, auto-clears */ + TWI_CTRL_PRSC0 = 3, /**< TWI control register(3) (r/w): Clock prescaler select bit 0 */ + TWI_CTRL_PRSC1 = 4, /**< TWI control register(4) (r/w): Clock prescaler select bit 1 */ + TWI_CTRL_PRSC2 = 5, /**< TWI control register(5) (r/w): Clock prescaler select bit 2 */ + TWI_CTRL_MACK = 6, /**< TWI control register(6) (r/w): Generate ACK by controller for each transmission */ + + TWI_CTRL_ACK = 30, /**< TWI control register(30) (r/-): ACK received when set */ + TWI_CTRL_BUSY = 31 /**< TWI control register(31) (r/-): Transfer in progress, busy flag */ +}; + +/** WTD receive/transmit data register bits */ +enum NEORV32_TWI_DATA_enum { + TWI_DATA_LSB = 0, /**< TWI data register(0) (r/w): Receive/transmit data (8-bit) LSB */ + TWI_DATA_MSB = 7 /**< TWI data register(7) (r/w): Receive/transmit data (8-bit) MSB */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: True Random Number Generator (TRNG) + **************************************************************************/ +/**@{*/ +/** TRNG module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_TRNG_CTRL_enum) */ +} neorv32_trng_t; + +/** TRNG module hardware access (#neorv32_trng_t) */ +#define NEORV32_TRNG (*((volatile neorv32_trng_t*) (0xFFFFFFB8UL))) + +/** TRNG control/data register bits */ +enum NEORV32_TRNG_CTRL_enum { + TRNG_CTRL_DATA_LSB = 0, /**< TRNG data/control register(0) (r/-): Random data byte LSB */ + TRNG_CTRL_DATA_MSB = 7, /**< TRNG data/control register(7) (r/-): Random data byte MSB */ + + TRNG_CTRL_EN = 30, /**< TRNG data/control register(30) (r/w): TRNG enable */ + TRNG_CTRL_VALID = 31 /**< TRNG data/control register(31) (r/-): Random data output valid */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Watchdog Timer (WDT) + **************************************************************************/ +/**@{*/ +/** WDT module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register (#NEORV32_WDT_CTRL_enum) */ +} neorv32_wdt_t; + +/** WDT module hardware access (#neorv32_wdt_t) */ +#define NEORV32_WDT (*((volatile neorv32_wdt_t*) (0xFFFFFFBCUL))) + +/** WTD control register bits */ +enum NEORV32_WDT_CTRL_enum { + WDT_CTRL_EN = 0, /**< WDT control register(0) (r/w): Watchdog enable */ + WDT_CTRL_CLK_SEL0 = 1, /**< WDT control register(1) (r/w): Clock prescaler select bit 0 */ + WDT_CTRL_CLK_SEL1 = 2, /**< WDT control register(2) (r/w): Clock prescaler select bit 1 */ + WDT_CTRL_CLK_SEL2 = 3, /**< WDT control register(3) (r/w): Clock prescaler select bit 2 */ + WDT_CTRL_MODE = 4, /**< WDT control register(4) (r/w): Watchdog mode: 0=timeout causes interrupt, 1=timeout causes processor reset */ + WDT_CTRL_RCAUSE = 5, /**< WDT control register(5) (r/-): Cause of last system reset: 0=external reset, 1=watchdog */ + WDT_CTRL_RESET = 6, /**< WDT control register(6) (-/w): Reset WDT counter when set, auto-clears */ + WDT_CTRL_FORCE = 7, /**< WDT control register(7) (-/w): Force WDT action, auto-clears */ + WDT_CTRL_LOCK = 8, /**< WDT control register(8) (r/w): Lock write access to control register, clears on reset (HW or WDT) only */ + WDT_CTRL_DBEN = 9, /**< WDT control register(9) (r/w): Allow WDT to continue operation even when in debug mode */ + WDT_CTRL_HALF = 10 /**< WDT control register(10) (r/-): Set if at least half of the max. timeout counter value has been reached */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: General Purpose Input/Output Port Unit (GPIO) + **************************************************************************/ +/**@{*/ +/** GPIO module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + const uint32_t INPUT_LO; /**< offset 0: parallel input port lower 32-bit */ + const uint32_t INPUT_HI; /**< offset 4: parallel input port upper 32-bit */ + uint32_t OUTPUT_LO; /**< offset 8: parallel output port lower 32-bit */ + uint32_t OUTPUT_HI; /**< offset 12: parallel output port upper 32-bit */ +} neorv32_gpio_t; + +/** GPIO module hardware access (#neorv32_gpio_t) */ +#define NEORV32_GPIO (*((volatile neorv32_gpio_t*) (0xFFFFFFC0UL))) +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: Smart LED Hardware Interface (NEOLED) + **************************************************************************/ +/**@{*/ +/** NEOLED module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + uint32_t CTRL; /**< offset 0: control register */ + uint32_t DATA; /**< offset 4: data register (#NEORV32_NEOLED_CTRL_enum) */ +} neorv32_neoled_t; + +/** NEOLED module hardware access (#neorv32_neoled_t) */ +#define NEORV32_NEOLED (*((volatile neorv32_neoled_t*) (0xFFFFFFD8UL))) + +/** NEOLED control register bits */ +enum NEORV32_NEOLED_CTRL_enum { + NEOLED_CTRL_EN = 0, /**< NEOLED control register(0) (r/w): NEOLED global enable */ + NEOLED_CTRL_MODE = 1, /**< NEOLED control register(1) (r/w): TX mode (0=24-bit, 1=32-bit) */ + NEOLED_CTRL_STROBE = 2, /**< NEOLED control register(2) (r/w): Strobe (0=send normal data, 1=send RESET command on data write) */ + NEOLED_CTRL_PRSC0 = 3, /**< NEOLED control register(3) (r/w): Clock prescaler select bit 0 (pulse-clock speed select) */ + NEOLED_CTRL_PRSC1 = 4, /**< NEOLED control register(4) (r/w): Clock prescaler select bit 1 (pulse-clock speed select) */ + NEOLED_CTRL_PRSC2 = 5, /**< NEOLED control register(5) (r/w): Clock prescaler select bit 2 (pulse-clock speed select) */ + // + NEOLED_CTRL_BUFS_0 = 6, /**< NEOLED control register(6) (r/-): log2(tx buffer size) bit 0 */ + NEOLED_CTRL_BUFS_1 = 7, /**< NEOLED control register(7) (r/-): log2(tx buffer size) bit 1 */ + NEOLED_CTRL_BUFS_2 = 8, /**< NEOLED control register(8) (r/-): log2(tx buffer size) bit 2 */ + NEOLED_CTRL_BUFS_3 = 9, /**< NEOLED control register(9) (r/-): log2(tx buffer size) bit 3 */ + // + NEOLED_CTRL_T_TOT_0 = 10, /**< NEOLED control register(10) (r/w): pulse-clock ticks per total period bit 0 */ + NEOLED_CTRL_T_TOT_1 = 11, /**< NEOLED control register(11) (r/w): pulse-clock ticks per total period bit 1 */ + NEOLED_CTRL_T_TOT_2 = 12, /**< NEOLED control register(12) (r/w): pulse-clock ticks per total period bit 2 */ + NEOLED_CTRL_T_TOT_3 = 13, /**< NEOLED control register(13) (r/w): pulse-clock ticks per total period bit 3 */ + NEOLED_CTRL_T_TOT_4 = 14, /**< NEOLED control register(14) (r/w): pulse-clock ticks per total period bit 4 */ + // + NEOLED_CTRL_T_ZERO_H_0 = 15, /**< NEOLED control register(15) (r/w): pulse-clock ticks per ZERO high-time bit 0 */ + NEOLED_CTRL_T_ZERO_H_1 = 16, /**< NEOLED control register(16) (r/w): pulse-clock ticks per ZERO high-time bit 1 */ + NEOLED_CTRL_T_ZERO_H_2 = 17, /**< NEOLED control register(17) (r/w): pulse-clock ticks per ZERO high-time bit 2 */ + NEOLED_CTRL_T_ZERO_H_3 = 18, /**< NEOLED control register(18) (r/w): pulse-clock ticks per ZERO high-time bit 3 */ + NEOLED_CTRL_T_ZERO_H_4 = 19, /**< NEOLED control register(19) (r/w): pulse-clock ticks per ZERO high-time bit 4 */ + // + NEOLED_CTRL_T_ONE_H_0 = 20, /**< NEOLED control register(20) (r/w): pulse-clock ticks per ONE high-time bit 0 */ + NEOLED_CTRL_T_ONE_H_1 = 21, /**< NEOLED control register(21) (r/w): pulse-clock ticks per ONE high-time bit 1 */ + NEOLED_CTRL_T_ONE_H_2 = 22, /**< NEOLED control register(22) (r/w): pulse-clock ticks per ONE high-time bit 2 */ + NEOLED_CTRL_T_ONE_H_3 = 23, /**< NEOLED control register(23) (r/w): pulse-clock ticks per ONE high-time bit 3 */ + NEOLED_CTRL_T_ONE_H_4 = 24, /**< NEOLED control register(24) (r/w): pulse-clock ticks per ONE high-time bit 4 */ + // + NEOLED_CTRL_IRQ_CONF = 27, /**< NEOLED control register(27) (r/w): TX FIFO interrupt: 0=IRQ if FIFO is less than half-full, 1=IRQ if FIFO is empty */ + NEOLED_CTRL_TX_EMPTY = 28, /**< NEOLED control register(28) (r/-): TX FIFO is empty */ + NEOLED_CTRL_TX_HALF = 29, /**< NEOLED control register(29) (r/-): TX FIFO is at least half-full */ + NEOLED_CTRL_TX_FULL = 30, /**< NEOLED control register(30) (r/-): TX FIFO is full */ + NEOLED_CTRL_TX_BUSY = 31 /**< NEOLED control register(31) (r/-): busy flag */ +}; +/**@}*/ + + +/**********************************************************************//** + * @name IO Device: System Configuration Information Memory (SYSINFO) + **************************************************************************/ +/**@{*/ +/** SYSINFO module prototype */ +typedef struct __attribute__((packed,aligned(4))) { + const uint32_t CLK; /**< offset 0: clock speed in Hz */ + const uint32_t CPU; /**< offset 4: CPU core features (#NEORV32_SYSINFO_CPU_enum) */ + const uint32_t SOC; /**< offset 8: SoC features (#NEORV32_SYSINFO_SOC_enum) */ + const uint32_t CACHE; /**< offset 12: cache configuration (#NEORV32_SYSINFO_CACHE_enum) */ + const uint32_t ISPACE_BASE; /**< offset 16: instruction memory address space base */ + const uint32_t DSPACE_BASE; /**< offset 20: data memory address space base */ + const uint32_t IMEM_SIZE; /**< offset 24: internal instruction memory (IMEM) size in bytes */ + const uint32_t DMEM_SIZE; /**< offset 28: internal data memory (DMEM) size in bytes */ +} neorv32_sysinfo_t; + +/** SYSINFO module hardware access (#neorv32_sysinfo_t) */ +#define NEORV32_SYSINFO (*((volatile neorv32_sysinfo_t*) (0xFFFFFFE0UL))) + +/** NEORV32_SYSINFO.CPU (r/-): Implemented CPU sub-extensions/features */ +enum NEORV32_SYSINFO_CPU_enum { + SYSINFO_CPU_ZICSR = 0, /**< SYSINFO_CPU (0): Zicsr extension (I sub-extension) available when set (r/-) */ + SYSINFO_CPU_ZIFENCEI = 1, /**< SYSINFO_CPU (1): Zifencei extension (I sub-extension) available when set (r/-) */ + SYSINFO_CPU_ZMMUL = 2, /**< SYSINFO_CPU (2): Zmmul extension (M sub-extension) available when set (r/-) */ + + SYSINFO_CPU_ZFINX = 5, /**< SYSINFO_CPU (5): Zfinx extension (F sub-/alternative-extension) available when set (r/-) */ + SYSINFO_CPU_ZXSCNT = 6, /**< SYSINFO_CPU (6): Custom extension - Small CPU counters: "cycle" & "instret" CSRs have less than 64-bit when set (r/-) */ + SYSINFO_CPU_ZICNTR = 7, /**< SYSINFO_CPU (7): Basic CPU counters available when set (r/-) */ + SYSINFO_CPU_PMP = 8, /**< SYSINFO_CPU (8): PMP (physical memory protection) extension available when set (r/-) */ + SYSINFO_CPU_ZIHPM = 9, /**< SYSINFO_CPU (9): HPM (hardware performance monitors) extension available when set (r/-) */ + SYSINFO_CPU_DEBUGMODE = 10, /**< SYSINFO_CPU (10): RISC-V CPU debug mode available when set (r/-) */ + + SYSINFO_CPU_FASTMUL = 30, /**< SYSINFO_CPU (30): fast multiplications (via FAST_MUL_EN generic) available when set (r/-) */ + SYSINFO_CPU_FASTSHIFT = 31 /**< SYSINFO_CPU (31): fast shifts (via FAST_SHIFT_EN generic) available when set (r/-) */ +}; + +/** NEORV32_SYSINFO.SOC (r/-): Implemented processor devices/features */ +enum NEORV32_SYSINFO_SOC_enum { + SYSINFO_SOC_BOOTLOADER = 0, /**< SYSINFO_FEATURES (0) (r/-): Bootloader implemented when 1 (via INT_BOOTLOADER_EN generic) */ + SYSINFO_SOC_MEM_EXT = 1, /**< SYSINFO_FEATURES (1) (r/-): External bus interface implemented when 1 (via MEM_EXT_EN generic) */ + SYSINFO_SOC_MEM_INT_IMEM = 2, /**< SYSINFO_FEATURES (2) (r/-): Processor-internal instruction memory implemented when 1 (via MEM_INT_IMEM_EN generic) */ + SYSINFO_SOC_MEM_INT_DMEM = 3, /**< SYSINFO_FEATURES (3) (r/-): Processor-internal data memory implemented when 1 (via MEM_INT_DMEM_EN generic) */ + SYSINFO_SOC_MEM_EXT_ENDIAN = 4, /**< SYSINFO_FEATURES (4) (r/-): External bus interface uses BIG-endian byte-order when 1 (via MEM_EXT_BIG_ENDIAN generic) */ + SYSINFO_SOC_ICACHE = 5, /**< SYSINFO_FEATURES (5) (r/-): Processor-internal instruction cache implemented when 1 (via ICACHE_EN generic) */ + + SYSINFO_SOC_IS_SIM = 13, /**< SYSINFO_FEATURES (13) (r/-): Set during simulation (not guaranteed) */ + SYSINFO_SOC_OCD = 14, /**< SYSINFO_FEATURES (14) (r/-): On-chip debugger implemented when 1 (via ON_CHIP_DEBUGGER_EN generic) */ + SYSINFO_SOC_HW_RESET = 15, /**< SYSINFO_FEATURES (15) (r/-): Dedicated hardware reset of core registers implemented when 1 (via package's dedicated_reset_c constant) */ + + SYSINFO_SOC_IO_GPIO = 16, /**< SYSINFO_FEATURES (16) (r/-): General purpose input/output port unit implemented when 1 (via IO_GPIO_EN generic) */ + SYSINFO_SOC_IO_MTIME = 17, /**< SYSINFO_FEATURES (17) (r/-): Machine system timer implemented when 1 (via IO_MTIME_EN generic) */ + SYSINFO_SOC_IO_UART0 = 18, /**< SYSINFO_FEATURES (18) (r/-): Primary universal asynchronous receiver/transmitter 0 implemented when 1 (via IO_UART0_EN generic) */ + SYSINFO_SOC_IO_SPI = 19, /**< SYSINFO_FEATURES (19) (r/-): Serial peripheral interface implemented when 1 (via IO_SPI_EN generic) */ + SYSINFO_SOC_IO_TWI = 20, /**< SYSINFO_FEATURES (20) (r/-): Two-wire interface implemented when 1 (via IO_TWI_EN generic) */ + SYSINFO_SOC_IO_PWM = 21, /**< SYSINFO_FEATURES (21) (r/-): Pulse-width modulation unit implemented when 1 (via IO_PWM_EN generic) */ + SYSINFO_SOC_IO_WDT = 22, /**< SYSINFO_FEATURES (22) (r/-): Watchdog timer implemented when 1 (via IO_WDT_EN generic) */ + SYSINFO_SOC_IO_CFS = 23, /**< SYSINFO_FEATURES (23) (r/-): Custom functions subsystem implemented when 1 (via IO_CFS_EN generic) */ + SYSINFO_SOC_IO_TRNG = 24, /**< SYSINFO_FEATURES (24) (r/-): True random number generator implemented when 1 (via IO_TRNG_EN generic) */ + SYSINFO_SOC_IO_SLINK = 25, /**< SYSINFO_FEATURES (25) (r/-): Stream link interface implemented when 1 (via SLINK_NUM_RX & SLINK_NUM_TX generics) */ + SYSINFO_SOC_IO_UART1 = 26, /**< SYSINFO_FEATURES (26) (r/-): Secondary universal asynchronous receiver/transmitter 1 implemented when 1 (via IO_UART1_EN generic) */ + SYSINFO_SOC_IO_NEOLED = 27, /**< SYSINFO_FEATURES (27) (r/-): NeoPixel-compatible smart LED interface implemented when 1 (via IO_NEOLED_EN generic) */ + SYSINFO_SOC_IO_XIRQ = 28, /**< SYSINFO_FEATURES (28) (r/-): External interrupt controller implemented when 1 (via XIRQ_NUM_IO generic) */ + SYSINFO_SOC_IO_GPTMR = 29 /**< SYSINFO_FEATURES (29) (r/-): General purpose timer implemented when 1 (via IO_GPTMR_EN generic) */ +}; + +/** NEORV32_SYSINFO.CACHE (r/-): Cache configuration */ + enum NEORV32_SYSINFO_CACHE_enum { + SYSINFO_CACHE_IC_BLOCK_SIZE_0 = 0, /**< SYSINFO_CACHE (0) (r/-): i-cache: log2(Block size in bytes), bit 0 (via ICACHE_BLOCK_SIZE generic) */ + SYSINFO_CACHE_IC_BLOCK_SIZE_1 = 1, /**< SYSINFO_CACHE (1) (r/-): i-cache: log2(Block size in bytes), bit 1 (via ICACHE_BLOCK_SIZE generic) */ + SYSINFO_CACHE_IC_BLOCK_SIZE_2 = 2, /**< SYSINFO_CACHE (2) (r/-): i-cache: log2(Block size in bytes), bit 2 (via ICACHE_BLOCK_SIZE generic) */ + SYSINFO_CACHE_IC_BLOCK_SIZE_3 = 3, /**< SYSINFO_CACHE (3) (r/-): i-cache: log2(Block size in bytes), bit 3 (via ICACHE_BLOCK_SIZE generic) */ + + SYSINFO_CACHE_IC_NUM_BLOCKS_0 = 4, /**< SYSINFO_CACHE (4) (r/-): i-cache: log2(Number of cache blocks/pages/lines), bit 0 (via ICACHE_NUM_BLOCKS generic) */ + SYSINFO_CACHE_IC_NUM_BLOCKS_1 = 5, /**< SYSINFO_CACHE (5) (r/-): i-cache: log2(Number of cache blocks/pages/lines), bit 1 (via ICACHE_NUM_BLOCKS generic) */ + SYSINFO_CACHE_IC_NUM_BLOCKS_2 = 6, /**< SYSINFO_CACHE (6) (r/-): i-cache: log2(Number of cache blocks/pages/lines), bit 2 (via ICACHE_NUM_BLOCKS generic) */ + SYSINFO_CACHE_IC_NUM_BLOCKS_3 = 7, /**< SYSINFO_CACHE (7) (r/-): i-cache: log2(Number of cache blocks/pages/lines), bit 3 (via ICACHE_NUM_BLOCKS generic) */ + + SYSINFO_CACHE_IC_ASSOCIATIVITY_0 = 8, /**< SYSINFO_CACHE (8) (r/-): i-cache: log2(associativity), bit 0 (via ICACHE_ASSOCIATIVITY generic) */ + SYSINFO_CACHE_IC_ASSOCIATIVITY_1 = 9, /**< SYSINFO_CACHE (9) (r/-): i-cache: log2(associativity), bit 1 (via ICACHE_ASSOCIATIVITY generic) */ + SYSINFO_CACHE_IC_ASSOCIATIVITY_2 = 10, /**< SYSINFO_CACHE (10) (r/-): i-cache: log2(associativity), bit 2 (via ICACHE_ASSOCIATIVITY generic) */ + SYSINFO_CACHE_IC_ASSOCIATIVITY_3 = 11, /**< SYSINFO_CACHE (11) (r/-): i-cache: log2(associativity), bit 3 (via ICACHE_ASSOCIATIVITY generic) */ + + SYSINFO_CACHE_IC_REPLACEMENT_0 = 12, /**< SYSINFO_CACHE (12) (r/-): i-cache: replacement policy (0001 = LRU if associativity > 0) bit 0 */ + SYSINFO_CACHE_IC_REPLACEMENT_1 = 13, /**< SYSINFO_CACHE (13) (r/-): i-cache: replacement policy (0001 = LRU if associativity > 0) bit 1 */ + SYSINFO_CACHE_IC_REPLACEMENT_2 = 14, /**< SYSINFO_CACHE (14) (r/-): i-cache: replacement policy (0001 = LRU if associativity > 0) bit 2 */ + SYSINFO_CACHE_IC_REPLACEMENT_3 = 15, /**< SYSINFO_CACHE (15) (r/-): i-cache: replacement policy (0001 = LRU if associativity > 0) bit 3 */ +}; +/**@}*/ + + +// ---------------------------------------------------------------------------- +// Include all IO driver headers +// ---------------------------------------------------------------------------- +// cpu core +#include "neorv32_cpu.h" + +// intrinsics +#include "neorv32_intrinsics.h" + +// neorv32 runtime environment +#include "neorv32_rte.h" + +// io/peripheral devices +#include "neorv32_cfs.h" +#include "neorv32_gpio.h" +#include "neorv32_gptmr.h" +#include "neorv32_mtime.h" +#include "neorv32_neoled.h" +#include "neorv32_pwm.h" +#include "neorv32_slink.h" +#include "neorv32_spi.h" +#include "neorv32_trng.h" +#include "neorv32_twi.h" +#include "neorv32_uart.h" +#include "neorv32_wdt.h" +#include "neorv32_xirq.h" + +#ifdef __cplusplus +} +#endif + +#endif // neorv32_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cfs.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cfs.h new file mode 100644 index 0000000..55fdc59 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cfs.h @@ -0,0 +1,53 @@ +// ################################################################################################# +// # << NEORV32: neorv32_cfs.h - Custom Functions Subsystem (CFS)) HW Driver (stub) >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_cfs.h + * @author Stephan Nolting + * @brief Custom Functions Subsystem (CFS)) HW driver header file. + * + * @warning There are no "real" CFS driver functions available here, because these functions are defined by the actual hardware. + * @warning Hence, the CFS designer has to provide the actual driver functions. + * + * @note These functions should only be used if the CFS was synthesized (IO_CFS_EN = true). + **************************************************************************/ + +#ifndef neorv32_cfs_h +#define neorv32_cfs_h + +// prototypes +int neorv32_cfs_available(void); + +#endif // neorv32_cfs_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cpu.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cpu.h new file mode 100644 index 0000000..10fc180 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_cpu.h @@ -0,0 +1,306 @@ +// ################################################################################################# +// # << NEORV32: neorv32_cpu.h - CPU Core Functions HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_cpu.h + * @author Stephan Nolting + * @brief CPU Core Functions HW driver header file. + **************************************************************************/ + +#ifndef neorv32_cpu_h +#define neorv32_cpu_h + +// prototypes +int neorv32_cpu_irq_enable(uint8_t irq_sel); +int neorv32_cpu_irq_disable(uint8_t irq_sel); +uint64_t neorv32_cpu_get_cycle(void); +void neorv32_cpu_set_mcycle(uint64_t value); +uint64_t neorv32_cpu_get_instret(void); +void neorv32_cpu_set_minstret(uint64_t value); +uint64_t neorv32_cpu_get_systime(void); +void neorv32_cpu_delay_ms(uint32_t time_ms); +void __attribute__((naked)) neorv32_cpu_goto_user_mode(void); +uint32_t neorv32_cpu_pmp_get_num_regions(void); +uint32_t neorv32_cpu_pmp_get_granularity(void); +int neorv32_cpu_pmp_configure_region(uint32_t index, uint32_t base, uint32_t size, uint8_t config); +uint32_t neorv32_cpu_hpm_get_counters(void); +uint32_t neorv32_cpu_hpm_get_size(void); + + +/**********************************************************************//** + * Prototype for "after-main handler". This function is called if main() returns. + * + * @param[in] return_code Return value of main() function. + * @return Return value is irrelevant (there is no one left to check for it...). + **************************************************************************/ +extern int __neorv32_crt0_after_main(int32_t return_code) __attribute__ ((weak)); + + +/**********************************************************************//** + * Store unsigned word to address space if atomic access reservation is still valid. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @param[in] wdata Data word (32-bit) to store. + * @return Operation status (32-bit, zero if success). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) neorv32_cpu_store_conditional(uint32_t addr, uint32_t wdata) { + +#if defined __riscv_atomic || defined __riscv_a + register uint32_t reg_addr = addr; + register uint32_t reg_data = wdata; + register uint32_t reg_status; + + asm volatile ("sc.w %[status], %[da], (%[ad])" : [status] "=r" (reg_status) : [da] "r" (reg_data), [ad] "r" (reg_addr)); + + return reg_status; +#else + return 1; // always failing +#endif +} + + +/**********************************************************************//** + * Conditional store unsigned word to address space. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @param[in] wdata Data word (32-bit) to store. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_store_unsigned_word(uint32_t addr, uint32_t wdata) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data = wdata; + + asm volatile ("sw %[da], 0(%[ad])" : : [da] "r" (reg_data), [ad] "r" (reg_addr)); +} + + +/**********************************************************************//** + * Store unsigned half-word to address space. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @param[in] wdata Data half-word (16-bit) to store. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_store_unsigned_half(uint32_t addr, uint16_t wdata) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data = (uint32_t)wdata; + + asm volatile ("sh %[da], 0(%[ad])" : : [da] "r" (reg_data), [ad] "r" (reg_addr)); +} + + +/**********************************************************************//** + * Store unsigned byte to address space. + * + * @param[in] addr Address (32-bit). + * @param[in] wdata Data byte (8-bit) to store. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_store_unsigned_byte(uint32_t addr, uint8_t wdata) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data = (uint32_t)wdata; + + asm volatile ("sb %[da], 0(%[ad])" : : [da] "r" (reg_data), [ad] "r" (reg_addr)); +} + + +/**********************************************************************//** + * Load unsigned word from address space and make reservation for atomic access. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @return Read data word (32-bit). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) neorv32_cpu_load_reservate_word(uint32_t addr) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data; + +#if defined __riscv_atomic || defined __riscv_a + asm volatile ("lr.w %[da], 0(%[ad])" : [da] "=r" (reg_data) : [ad] "r" (reg_addr)); +#else + asm volatile ("lw %[da], 0(%[ad])" : [da] "=r" (reg_data) : [ad] "r" (reg_addr)); +#endif + + return (uint32_t)reg_data; +} + + + +/**********************************************************************//** + * Load unsigned word from address space. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @return Read data word (32-bit). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) neorv32_cpu_load_unsigned_word(uint32_t addr) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data; + + asm volatile ("lw %[da], 0(%[ad])" : [da] "=r" (reg_data) : [ad] "r" (reg_addr)); + + return (uint32_t)reg_data; +} + + +/**********************************************************************//** + * Load unsigned half-word from address space. + * + * @note An unaligned access address will raise an alignment exception. + * + * @param[in] addr Address (32-bit). + * @return Read data half-word (16-bit). + **************************************************************************/ +inline uint16_t __attribute__ ((always_inline)) neorv32_cpu_load_unsigned_half(uint32_t addr) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data; + + asm volatile ("lhu %[da], 0(%[ad])" : [da] "=r" (reg_data) : [ad] "r" (reg_addr)); + + return (uint16_t)reg_data; +} + + +/**********************************************************************//** + * Load unsigned byte from address space. + * + * @param[in] addr Address (32-bit). + * @return Read data byte (8-bit). + **************************************************************************/ +inline uint8_t __attribute__ ((always_inline)) neorv32_cpu_load_unsigned_byte(uint32_t addr) { + + register uint32_t reg_addr = addr; + register uint32_t reg_data; + + asm volatile ("lbu %[da], 0(%[ad])" : [da] "=r" (reg_data) : [ad] "r" (reg_addr)); + + return (uint8_t)reg_data; +} + + +/**********************************************************************//** + * Read data from CPU configuration and status register (CSR). + * + * @param[in] csr_id ID of CSR to read. See #NEORV32_CSR_enum. + * @return Read data (uint32_t). + **************************************************************************/ +inline uint32_t __attribute__ ((always_inline)) neorv32_cpu_csr_read(const int csr_id) { + + register uint32_t csr_data; + + asm volatile ("csrr %[result], %[input_i]" : [result] "=r" (csr_data) : [input_i] "i" (csr_id)); + + return csr_data; +} + + +/**********************************************************************//** + * Write data to CPU configuration and status register (CSR). + * + * @param[in] csr_id ID of CSR to write. See #NEORV32_CSR_enum. + * @param[in] data Data to write (uint32_t). + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_csr_write(const int csr_id, uint32_t data) { + + register uint32_t csr_data = data; + + asm volatile ("csrw %[input_i], %[input_j]" : : [input_i] "i" (csr_id), [input_j] "r" (csr_data)); +} + + +/**********************************************************************//** + * Put CPU into "sleep" mode. + * + * @note This function executes the WFI instruction. + * The WFI (wait for interrupt) instruction will make the CPU stall until + * an interrupt request is detected. Interrupts have to be globally enabled + * and at least one external source must be enabled (like the MTI machine + * timer interrupt) to allow the CPU to wake up again. If 'Zicsr' CPU extension is disabled, + * this will permanently stall the CPU. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_sleep(void) { + + asm volatile ("wfi"); +} + + +/**********************************************************************//** + * Enable global CPU interrupts (via MIE flag in mstatus CSR). + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_eint(void) { + + asm volatile ("csrrsi zero, mstatus, %0" : : "i" (1 << CSR_MSTATUS_MIE)); +} + + +/**********************************************************************//** + * Disable global CPU interrupts (via MIE flag in mstatus CSR). + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_dint(void) { + + asm volatile ("csrrci zero, mstatus, %0" : : "i" (1 << CSR_MSTATUS_MIE)); +} + + +/**********************************************************************//** + * Trigger breakpoint exception (via EBREAK instruction). + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_breakpoint(void) { + + asm volatile ("ebreak"); +} + + +/**********************************************************************//** + * Trigger "environment call" exception (via ECALL instruction). + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_cpu_env_call(void) { + + asm volatile ("ecall"); +} + + +#endif // neorv32_cpu_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gpio.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gpio.h new file mode 100644 index 0000000..a4eabf6 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gpio.h @@ -0,0 +1,57 @@ +// ################################################################################################# +// # << NEORV32: neorv32_gpio.h - General Purpose Input/Output Port HW Driver (Header) >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_gpio.h + * @author Stephan Nolting + * @brief General purpose input/output port unit (GPIO) HW driver header file. + * + * @note These functions should only be used if the GPIO unit was synthesized (IO_GPIO_EN = true). + **************************************************************************/ + +#ifndef neorv32_gpio_h +#define neorv32_gpio_h + +// prototypes +int neorv32_gpio_available(void); +void neorv32_gpio_pin_set(int pin); +void neorv32_gpio_pin_clr(int pin); +void neorv32_gpio_pin_toggle(int pin); +uint32_t neorv32_gpio_pin_get(int pin); + +void neorv32_gpio_port_set(uint64_t d); +uint64_t neorv32_gpio_port_get(void); + +#endif // neorv32_gpio_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gptmr.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gptmr.h new file mode 100644 index 0000000..4700c4f --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_gptmr.h @@ -0,0 +1,54 @@ +// ################################################################################################# +// # << NEORV32: neorv32_gptmr.h - General Purpose Timer (GPTMR) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_gptmr.h + * @author Stephan Nolting + * @brief General purpose timer (GPTMR) HW driver header file. + * + * @note These functions should only be used if the GPTMR unit was synthesized (IO_GPTMR_EN = true). + **************************************************************************/ + +#ifndef neorv32_gptmr_h +#define neorv32_gptmr_h + +// prototypes +int neorv32_gptmr_available(void); +void neorv32_gptmr_setup(uint8_t prsc, uint8_t mode, uint32_t threshold); +void neorv32_gptmr_disable(void); +void neorv32_gptmr_enable(void); +void neorv32_gptmr_restart(void); + +#endif // neorv32_gptmr_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_intrinsics.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_intrinsics.h new file mode 100644 index 0000000..b27e803 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_intrinsics.h @@ -0,0 +1,166 @@ +// ################################################################################################# +// # << NEORV32: neorv32_intrinsics.h - Helper functions/macros for (custom) "intrinsics" >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_intrinsics.h + * @author Stephan Nolting + * @brief Helper functions and macros for custom "intrinsics" / instructions. + **************************************************************************/ + +#ifndef neorv32_intrinsics_h +#define neorv32_intrinsics_h + +/**********************************************************************//** + * @name Custom instructions / intrinsics helper macros + **************************************************************************/ +/**@{*/ + +//** Selection helper macro */ +#define STR1(x) #x +//** Selection helper macro 2 */ +#define STR(x) STR1(x) + +//** Register address converter */ +#define GET_REG_ADDR(x) REG_ADDR_##x + +#define REG_ADDR_x0 0 /**< register 0 */ +#define REG_ADDR_x1 1 /**< register 1 */ +#define REG_ADDR_x2 2 /**< register 2 */ +#define REG_ADDR_x3 3 /**< register 3 */ +#define REG_ADDR_x4 4 /**< register 4 */ +#define REG_ADDR_x5 5 /**< register 5 */ +#define REG_ADDR_x6 6 /**< register 6 */ +#define REG_ADDR_x7 7 /**< register 7 */ +#define REG_ADDR_x8 8 /**< register 8 */ +#define REG_ADDR_x9 9 /**< register 9 */ +#define REG_ADDR_x10 10 /**< register 10 */ +#define REG_ADDR_x11 11 /**< register 11 */ +#define REG_ADDR_x12 12 /**< register 12 */ +#define REG_ADDR_x13 13 /**< register 13 */ +#define REG_ADDR_x14 14 /**< register 14 */ +#define REG_ADDR_x15 15 /**< register 15 */ +#define REG_ADDR_x16 16 /**< register 16 */ +#define REG_ADDR_x17 17 /**< register 17 */ +#define REG_ADDR_x18 18 /**< register 18 */ +#define REG_ADDR_x19 19 /**< register 19 */ +#define REG_ADDR_x20 20 /**< register 20 */ +#define REG_ADDR_x21 21 /**< register 21 */ +#define REG_ADDR_x22 22 /**< register 22 */ +#define REG_ADDR_x23 23 /**< register 23 */ +#define REG_ADDR_x24 24 /**< register 24 */ +#define REG_ADDR_x25 25 /**< register 25 */ +#define REG_ADDR_x26 26 /**< register 26 */ +#define REG_ADDR_x27 27 /**< register 27 */ +#define REG_ADDR_x28 28 /**< register 28 */ +#define REG_ADDR_x29 29 /**< register 29 */ +#define REG_ADDR_x30 30 /**< register 30 */ +#define REG_ADDR_x31 31 /**< register 31 */ +#define REG_ADDR_zero 0 /**< register 0 - according to calling convention */ +#define REG_ADDR_ra 1 /**< register 1 - according to calling convention */ +#define REG_ADDR_sp 2 /**< register 2 - according to calling convention */ +#define REG_ADDR_gp 3 /**< register 3 - according to calling convention */ +#define REG_ADDR_tp 4 /**< register 4 - according to calling convention */ +#define REG_ADDR_t0 5 /**< register 5 - according to calling convention */ +#define REG_ADDR_t1 6 /**< register 6 - according to calling convention */ +#define REG_ADDR_t2 7 /**< register 7 - according to calling convention */ +#define REG_ADDR_s0 8 /**< register 8 - according to calling convention */ +#define REG_ADDR_s1 9 /**< register 9 - according to calling convention */ +#define REG_ADDR_a0 10 /**< register 10 - according to calling convention */ +#define REG_ADDR_a1 11 /**< register 11 - according to calling convention */ +#define REG_ADDR_a2 12 /**< register 12 - according to calling convention */ +#define REG_ADDR_a3 13 /**< register 13 - according to calling convention */ +#define REG_ADDR_a4 14 /**< register 14 - according to calling convention */ +#define REG_ADDR_a5 15 /**< register 15 - according to calling convention */ +#define REG_ADDR_a6 16 /**< register 16 - according to calling convention */ +#define REG_ADDR_a7 17 /**< register 17 - according to calling convention */ +#define REG_ADDR_s2 18 /**< register 18 - according to calling convention */ +#define REG_ADDR_s3 19 /**< register 19 - according to calling convention */ +#define REG_ADDR_s4 20 /**< register 20 - according to calling convention */ +#define REG_ADDR_s5 21 /**< register 21 - according to calling convention */ +#define REG_ADDR_s6 22 /**< register 22 - according to calling convention */ +#define REG_ADDR_s7 23 /**< register 23 - according to calling convention */ +#define REG_ADDR_s8 24 /**< register 24 - according to calling convention */ +#define REG_ADDR_s9 25 /**< register 25 - according to calling convention */ +#define REG_ADDR_s10 26 /**< register 26 - according to calling convention */ +#define REG_ADDR_s11 27 /**< register 27 - according to calling convention */ +#define REG_ADDR_t3 28 /**< register 28 - according to calling convention */ +#define REG_ADDR_t4 29 /**< register 29 - according to calling convention */ +#define REG_ADDR_t5 30 /**< register 30 - according to calling convention */ +#define REG_ADDR_t6 31 /**< register 31 - according to calling convention */ + +//** Construct instruction word (32-bit) for R2-type instruction */ +#define CMD_WORD_R2_TYPE(funct7, rs2, rs1, funct3, rd, opcode) \ + ( (opcode & 0x7f) << 0 ) + \ + ( (rd & 0x1f) << 7 ) + \ + ( (funct3 & 0x1f) << 12 ) + \ + ( (rs1 & 0x1f) << 15 ) + \ + ( (rs2 & 0x1f) << 20 ) + \ + ( (funct7 & 0x7f) << 25 ) + +//** Construct instruction word (32-bit) for R3-type instruction */ +#define CMD_WORD_R3_TYPE(rs3, rs2, rs1, funct3, rd, opcode) \ + ( (opcode & 0x7f) << 0 ) + \ + ( (rd & 0x1f) << 7 ) + \ + ( (funct3 & 0x1f) << 12 ) + \ + ( (rs1 & 0x1f) << 15 ) + \ + ( (rs2 & 0x1f) << 20 ) + \ + ( (rs3 & 0x1f) << 27 ) + +//** Construct instruction word (32-bit) for I-type instruction */ +#define CMD_WORD_I_TYPE(imm12, rs1_f5, funct3, rd, opcode) \ + ( (opcode & 0x7f) << 0 ) + \ + ( (rd & 0x1f) << 7 ) + \ + ( (funct3 & 0x1f) << 12 ) + \ + ( (rs1_f5 & 0x1f) << 15 ) + \ + ( (imm12 & 0xfff) << 20 ) + +//** Construct custom R3-type instruction (4 registers, funct3, opcode) */ +#define CUSTOM_INSTR_R3_TYPE(rs3, rs2, rs1, funct3, rd, opcode) \ + asm volatile (".word " STR(CMD_WORD_R3_TYPE(GET_REG_ADDR(rs3), GET_REG_ADDR(rs2), GET_REG_ADDR(rs1), funct3, GET_REG_ADDR(rd), opcode))"\n"); + +//** Construct custom R2-type instruction (3 registers, funct3, funct7, opcode) */ +#define CUSTOM_INSTR_R2_TYPE(funct7, rs2, rs1, funct3, rd, opcode) \ + asm volatile (".word " STR(CMD_WORD_R2_TYPE(funct7, GET_REG_ADDR(rs2), GET_REG_ADDR(rs1), funct3, GET_REG_ADDR(rd), opcode))"\n"); + +//** Construct custom R1-type instruction (2 registers, funct3, funct7, funct5, opcode) */ +#define CUSTOM_INSTR_R1_TYPE(funct7, funct5, rs1, funct3, rd, opcode) \ + asm volatile (".word " STR(CMD_WORD_R2_TYPE(funct7, funct5, GET_REG_ADDR(rs1), funct3, GET_REG_ADDR(rd), opcode))"\n"); + +//** Construct custom I-type instruction (2 registers, funct3, imm12, opcode) */ +#define CUSTOM_INSTR_I_TYPE(imm12, rs1, funct3, rd, opcode) \ + asm volatile (".word " STR(CMD_WORD_I_TYPE(imm12, GET_REG_ADDR(rs1), funct3, GET_REG_ADDR(rd), opcode))"\n"); +/**@}*/ + +#endif // neorv32_intrinsics_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_mtime.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_mtime.h new file mode 100644 index 0000000..e9e2df9 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_mtime.h @@ -0,0 +1,54 @@ +// ################################################################################################# +// # << NEORV32: neorv32_mtime.h - Machine System Timer (MTIME) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_mtime.h + * @author Stephan Nolting + * @brief Machine System Timer (MTIME) HW driver header file. + * + * @note These functions should only be used if the MTIME unit was synthesized (IO_MTIME_EN = true). + **************************************************************************/ + +#ifndef neorv32_mtime_h +#define neorv32_mtime_h + +// prototypes +int neorv32_mtime_available(void); +void neorv32_mtime_set_time(uint64_t time); +uint64_t neorv32_mtime_get_time(void); +void neorv32_mtime_set_timecmp(uint64_t timecmp); +uint64_t neorv32_mtime_get_timecmp(void); + +#endif // neorv32_mtime_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_neoled.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_neoled.h new file mode 100644 index 0000000..f0d7ff7 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_neoled.h @@ -0,0 +1,72 @@ +// ################################################################################################# +// # << NEORV32: neorv32_neoled.h - Smart LED Interface (NEOLED) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_neoled.h + * @author Stephan Nolting + * @brief Smart LED Interface (NEOLED) HW driver header file. + * + * @note These functions should only be used if the NEOLED unit was synthesized (IO_NEOLED_EN = true). + **************************************************************************/ + +#ifndef neorv32_neoled_h +#define neorv32_neoled_h + +// prototypes +int neorv32_neoled_available(void); +void neorv32_neoled_setup(uint32_t prsc, uint32_t t_total, uint32_t t_high_zero, uint32_t t_high_one); +void neorv32_neoled_setup_ws2812(void); +void neorv32_neoled_set_mode(uint32_t mode); +void neorv32_neoled_strobe_blocking(void); +void neorv32_neoled_strobe_nonblocking(void); +void neorv32_neoled_enable(void); +void neorv32_neoled_disable(void); +void neorv32_neoled_write_blocking(uint32_t data); +uint32_t neorv32_neoled_get_buffer_size(void); + + +/**********************************************************************//** + * Send single RGB(W) data word to NEOLED module (non-blocking). + * + * @warning This function uses NO busy/flag checks at all! + * + * @param[in] data LSB-aligned 24-bit RGB or 32-bit RGBW data + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_neoled_write_nonblocking(uint32_t data) { + + NEORV32_NEOLED.DATA = data; // send new LED data +} + +#endif // neorv32_neoled_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_pwm.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_pwm.h new file mode 100644 index 0000000..2ca2d19 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_pwm.h @@ -0,0 +1,56 @@ +// ################################################################################################# +// # << NEORV32: neorv32_pwm.h - Pulse Width Modulation Controller (PWM) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_pwm.h + * @author Stephan Nolting + * @brief Pulse-Width Modulation Controller (PWM) HW driver header file. + * + * @note These functions should only be used if the PWM unit was synthesized (IO_PWM_EN = true). + **************************************************************************/ + +#ifndef neorv32_pwm_h +#define neorv32_pwm_h + +// prototypes +int neorv32_pwm_available(void); +void neorv32_pwm_setup(uint8_t prsc); +void neorv32_pwm_disable(void); +void neorv32_pwm_enable(void); +int neorv32_pmw_get_num_channels(void); +void neorv32_pwm_set(uint8_t channel, uint8_t duty); +uint8_t neorv32_pwm_get(uint8_t channel); + +#endif // neorv32_pwm_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_rte.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_rte.h new file mode 100644 index 0000000..30e292c --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_rte.h @@ -0,0 +1,101 @@ +// ################################################################################################# +// # << NEORV32: neorv32_rte.h - NEORV32 Runtime Environment >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_rte.h + * @author Stephan Nolting + * @brief NEORV32 Runtime Environment. + **************************************************************************/ + +#ifndef neorv32_rte_h +#define neorv32_rte_h + +/**********************************************************************//** + * NEORV32 runtime environment trap IDs. + **************************************************************************/ +enum NEORV32_RTE_TRAP_enum { + RTE_TRAP_I_MISALIGNED = 0, /**< Instruction address misaligned */ + RTE_TRAP_I_ACCESS = 1, /**< Instruction (bus) access fault */ + RTE_TRAP_I_ILLEGAL = 2, /**< Illegal instruction */ + RTE_TRAP_BREAKPOINT = 3, /**< Breakpoint (EBREAK instruction) */ + RTE_TRAP_L_MISALIGNED = 4, /**< Load address misaligned */ + RTE_TRAP_L_ACCESS = 5, /**< Load (bus) access fault */ + RTE_TRAP_S_MISALIGNED = 6, /**< Store address misaligned */ + RTE_TRAP_S_ACCESS = 7, /**< Store (bus) access fault */ + RTE_TRAP_UENV_CALL = 8, /**< Environment call from user mode (ECALL instruction) */ + RTE_TRAP_MENV_CALL = 9, /**< Environment call from machine mode (ECALL instruction) */ + RTE_TRAP_MSI = 10, /**< Machine software interrupt */ + RTE_TRAP_MTI = 11, /**< Machine timer interrupt */ + RTE_TRAP_MEI = 12, /**< Machine external interrupt */ + RTE_TRAP_FIRQ_0 = 13, /**< Fast interrupt channel 0 */ + RTE_TRAP_FIRQ_1 = 14, /**< Fast interrupt channel 1 */ + RTE_TRAP_FIRQ_2 = 15, /**< Fast interrupt channel 2 */ + RTE_TRAP_FIRQ_3 = 16, /**< Fast interrupt channel 3 */ + RTE_TRAP_FIRQ_4 = 17, /**< Fast interrupt channel 4 */ + RTE_TRAP_FIRQ_5 = 18, /**< Fast interrupt channel 5 */ + RTE_TRAP_FIRQ_6 = 19, /**< Fast interrupt channel 6 */ + RTE_TRAP_FIRQ_7 = 20, /**< Fast interrupt channel 7 */ + RTE_TRAP_FIRQ_8 = 21, /**< Fast interrupt channel 8 */ + RTE_TRAP_FIRQ_9 = 22, /**< Fast interrupt channel 9 */ + RTE_TRAP_FIRQ_10 = 23, /**< Fast interrupt channel 10 */ + RTE_TRAP_FIRQ_11 = 24, /**< Fast interrupt channel 11 */ + RTE_TRAP_FIRQ_12 = 25, /**< Fast interrupt channel 12 */ + RTE_TRAP_FIRQ_13 = 26, /**< Fast interrupt channel 13 */ + RTE_TRAP_FIRQ_14 = 27, /**< Fast interrupt channel 14 */ + RTE_TRAP_FIRQ_15 = 28 /**< Fast interrupt channel 15 */ +}; + + +/**********************************************************************//** + * NEORV32 runtime environment: Number of available traps. + **************************************************************************/ +#define NEORV32_RTE_NUM_TRAPS 29 + + +// prototypes +void neorv32_rte_setup(void); +int neorv32_rte_exception_install(uint8_t id, void (*handler)(void)); +int neorv32_rte_exception_uninstall(uint8_t id); + +void neorv32_rte_print_hw_config(void); +void neorv32_rte_print_hw_version(void); +void neorv32_rte_print_credits(void); +void neorv32_rte_print_logo(void); +void neorv32_rte_print_license(void); + +uint32_t neorv32_rte_get_compiler_isa(void); +int neorv32_rte_check_isa(int silent); + +#endif // neorv32_rte_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_slink.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_slink.h new file mode 100644 index 0000000..11803e4 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_slink.h @@ -0,0 +1,269 @@ +// ################################################################################################# +// # << NEORV32: neorv32_slink.h - Stream Link Interface HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_slink.h + * @author Stephan Nolting + * @brief Stream Link Interface HW driver header file. + **************************************************************************/ + +#ifndef neorv32_slink_h +#define neorv32_slink_h + +// prototypes +int neorv32_slink_available(void); +void neorv32_slink_enable(void); +void neorv32_slink_disable(void); +void neorv32_slink_rx_irq_config(int link_id, int irq_en, int irq_type); +void neorv32_slink_tx_irq_config(int link_id, int irq_en, int irq_type); +int neorv32_slink_get_rx_num(void); +int neorv32_slink_get_tx_num(void); +int neorv32_slink_get_rx_depth(void); +int neorv32_slink_get_tx_depth(void); +int neorv32_slink_check_rx_half_full(int link_id); +int neorv32_slink_check_tx_half_full(int link_id); +// non-blocking transmit +int neorv32_slink_tx0_nonblocking(uint32_t tx_data); +int neorv32_slink_tx1_nonblocking(uint32_t tx_data); +int neorv32_slink_tx2_nonblocking(uint32_t tx_data); +int neorv32_slink_tx3_nonblocking(uint32_t tx_data); +int neorv32_slink_tx4_nonblocking(uint32_t tx_data); +int neorv32_slink_tx5_nonblocking(uint32_t tx_data); +int neorv32_slink_tx6_nonblocking(uint32_t tx_data); +int neorv32_slink_tx7_nonblocking(uint32_t tx_data); +// non-blocking receive +int neorv32_slink_rx0_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx1_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx2_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx3_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx4_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx5_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx6_nonblocking(uint32_t *rx_data); +int neorv32_slink_rx7_nonblocking(uint32_t *rx_data); + + +/**********************************************************************//** + * Write data to TX stream link 0 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx0_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[0] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 1 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx1_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[1] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 2 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx2_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[2] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 3 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx3_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[3] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 4 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx4_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[4] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 5 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx5_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[5] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 6 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx6_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[6] = tx_data; +} + + +/**********************************************************************//** + * Write data to TX stream link 7 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in] tx_data Data to send to link. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_tx7_blocking(uint32_t tx_data) { + NEORV32_SLINK.DATA[7] = tx_data; +} + + +/**********************************************************************//** + * Read data from RX stream link 0 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx0_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[0]; +} + + +/**********************************************************************//** + * Read data from RX stream link 1 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx1_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[1]; +} + + +/**********************************************************************//** + * Read data from RX stream link 2 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx2_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[2]; +} + + +/**********************************************************************//** + * Read data from RX stream link 3 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx3_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[3]; +} + + +/**********************************************************************//** + * Read data from RX stream link 4 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx4_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[4]; +} + + +/**********************************************************************//** + * Read data from RX stream link 5 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx5_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[5]; +} + + +/**********************************************************************//** + * Read data from RX stream link 6 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx6_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[6]; +} + + +/**********************************************************************//** + * Read data from RX stream link 7 (blocking!) + * + * @warning This function will raise an exception when the bus access times out! + * + * @param[in,out] rx_data Pointer to return read data. + **************************************************************************/ +inline void __attribute__ ((always_inline)) neorv32_slink_rx7_blocking(uint32_t *rx_data) { + *rx_data = NEORV32_SLINK.DATA[7]; +} + + +#endif // neorv32_slink_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_spi.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_spi.h new file mode 100644 index 0000000..20d313b --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_spi.h @@ -0,0 +1,59 @@ +// ################################################################################################# +// # << NEORV32: neorv32_spi.h - Serial Peripheral Interface Controller (SPI) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_spi.h + * @author Stephan Nolting + * @brief Serial peripheral interface controller (SPI) HW driver header file. + * + * @note These functions should only be used if the SPI unit was synthesized (IO_SPI_EN = true). + **************************************************************************/ + +#ifndef neorv32_spi_h +#define neorv32_spi_h + +// prototypes +int neorv32_spi_available(void); +void neorv32_spi_setup(uint8_t prsc, uint8_t clk_phase, uint8_t clk_polarity, uint8_t data_size); +void neorv32_spi_disable(void); +void neorv32_spi_enable(void); +void neorv32_spi_cs_en(uint8_t cs); +void neorv32_spi_cs_dis(uint8_t cs); +uint32_t neorv32_spi_trans(uint32_t tx_data); +void neorv32_spi_put_nonblocking(uint32_t tx_data); +uint32_t neorv32_spi_get_nonblocking(void); +int neorv32_spi_busy(void); + +#endif // neorv32_spi_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_trng.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_trng.h new file mode 100644 index 0000000..0e59297 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_trng.h @@ -0,0 +1,53 @@ +// ################################################################################################# +// # << NEORV32: neorv32_trng.h - True Random Number Generator (TRNG) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_trng.h + * @author Stephan Nolting + * @brief True Random Number Generator (TRNG) HW driver header file. + * + * @note These functions should only be used if the TRNG unit was synthesized (IO_TRNG_EN = true). + **************************************************************************/ + +#ifndef neorv32_trng_h +#define neorv32_trng_h + +// prototypes +int neorv32_trng_available(void); +void neorv32_trng_enable(void); +void neorv32_trng_disable(void); +int neorv32_trng_get(uint8_t *data); + +#endif // neorv32_trng_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_twi.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_twi.h new file mode 100644 index 0000000..7ed224a --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_twi.h @@ -0,0 +1,61 @@ +// ################################################################################################# +// # << NEORV32: neorv32_twi.h - Two-Wire Interface Controller (TWI) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_twi.h + * @author Stephan Nolting + * @brief Two-Wire Interface Controller (TWI) HW driver header file. + * + * @note These functions should only be used if the TWI unit was synthesized (IO_TWI_EN = true). + **************************************************************************/ + +#ifndef neorv32_twi_h +#define neorv32_twi_h + +// prototypes +int neorv32_twi_available(void); +void neorv32_twi_setup(uint8_t prsc); +void neorv32_twi_disable(void); +void neorv32_twi_enable(void); +void neorv32_twi_mack_enable(void); +void neorv32_twi_mack_disable(void); +int neorv32_twi_busy(void); +int neorv32_twi_start_trans(uint8_t a); +int neorv32_twi_trans(uint8_t d); +uint8_t neorv32_twi_get_data(void); +void neorv32_twi_generate_stop(void); +void neorv32_twi_generate_start(void); + +#endif // neorv32_twi_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_uart.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_uart.h new file mode 100644 index 0000000..65d3812 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_uart.h @@ -0,0 +1,82 @@ +// ################################################################################################# +// # << NEORV32: neorv32_uart.h - Universal Asynchronous Receiver/Transmitter (UART) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_uart.h + * @author Stephan Nolting + * @brief Universal asynchronous receiver/transmitter (UART0/UART1) HW driver header file + * + * @warning UART0 (primary UART) is used as default user console interface for all NEORV32 software framework/library functions. + * + * @note These functions should only be used if the UART0/UART1 unit was synthesized (IO_UART0_EN = true / IO_UART1_EN = true). + **************************************************************************/ + +#ifndef neorv32_uart_h +#define neorv32_uart_h + +// Libs required by functions +#include + +// prototypes for UART0 (primary UART) +int neorv32_uart0_available(void); +void neorv32_uart0_setup(uint32_t baudrate, uint8_t parity, uint8_t flow_con); +void neorv32_uart0_disable(void); +void neorv32_uart0_enable(void); +void neorv32_uart0_putc(char c); +int neorv32_uart0_tx_busy(void); +char neorv32_uart0_getc(void); +int neorv32_uart0_char_received(void); +int neorv32_uart0_getc_safe(char *data); +char neorv32_uart0_char_received_get(void); +void neorv32_uart0_print(const char *s); +void neorv32_uart0_printf(const char *format, ...); +int neorv32_uart0_scan(char *buffer, int max_size, int echo); + +// prototypes for UART1 (secondary UART) +int neorv32_uart1_available(void); +void neorv32_uart1_setup(uint32_t baudrate, uint8_t parity, uint8_t flow_con); +void neorv32_uart1_disable(void); +void neorv32_uart1_enable(void); +void neorv32_uart1_putc(char c); +int neorv32_uart1_tx_busy(void); +char neorv32_uart1_getc(void); +int neorv32_uart1_char_received(void); +int neorv32_uart1_getc_safe(char *data); +char neorv32_uart1_char_received_get(void); +void neorv32_uart1_print(const char *s); +void neorv32_uart1_printf(const char *format, ...); +int neorv32_uart1_scan(char *buffer, int max_size, int echo); + +#endif // neorv32_uart_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_wdt.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_wdt.h new file mode 100644 index 0000000..6ed0580 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_wdt.h @@ -0,0 +1,55 @@ +// ################################################################################################# +// # << NEORV32: neorv32_wdt.h - Watchdog Timer (WDT) HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_wdt.h + * @author Stephan Nolting + * @brief Watchdog Timer (WDT) HW driver header file. + * + * @note These functions should only be used if the WDT unit was synthesized (IO_WDT_EN = true). + **************************************************************************/ + +#ifndef neorv32_wdt_h +#define neorv32_wdt_h + +// prototypes +int neorv32_wdt_available(void); +void neorv32_wdt_setup(uint8_t prsc, uint8_t mode, uint8_t lock); +int neorv32_wdt_disable(void); +void neorv32_wdt_reset(void); +int neorv32_wdt_get_cause(void); +void neorv32_wdt_force(void); + +#endif // neorv32_wdt_h diff --git a/Libs/RiscV/NEORV32/sw/lib/include/neorv32_xirq.h b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_xirq.h new file mode 100644 index 0000000..08f9b1f --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/lib/include/neorv32_xirq.h @@ -0,0 +1,59 @@ +// ################################################################################################# +// # << NEORV32: neorv32_xirq.h - External Interrupt controller HW Driver >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file neorv32_xirq.h + * @author Stephan Nolting + * @brief External Interrupt controller HW driver header file. + **************************************************************************/ + +#ifndef neorv32_xirq_h +#define neorv32_xirq_h + +// prototypes +int neorv32_xirq_available(void); +int neorv32_xirq_setup(void); +void neorv32_xirq_global_enable(void); +void neorv32_xirq_global_disable(void); +int neorv32_xirq_get_num(void); +void neorv32_xirq_clear_pending(uint8_t ch); +void neorv32_xirq_channel_enable(uint8_t ch); +void neorv32_xirq_channel_disable(uint8_t ch); + +int neorv32_xirq_install(uint8_t ch, void (*handler)(void)); +int neorv32_xirq_uninstall(uint8_t ch); + + +#endif // neorv32_xirq_h diff --git a/Libs/RiscV/NEORV32/sw/ocd-firmware/.gitignore b/Libs/RiscV/NEORV32/sw/ocd-firmware/.gitignore new file mode 100644 index 0000000..ed70f60 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/ocd-firmware/.gitignore @@ -0,0 +1 @@ +*.vhd diff --git a/Libs/RiscV/NEORV32/sw/ocd-firmware/README.md b/Libs/RiscV/NEORV32/sw/ocd-firmware/README.md new file mode 100644 index 0000000..9821d35 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/ocd-firmware/README.md @@ -0,0 +1,18 @@ +# NEORV32 On-Chip Debugger (OCD) - "Park Loop" Code + +This folder contains the ASM sources for the *execution-based* debugger code ROM. +`park_loop.S` contains the "park loop" that is executed when the CPU is in debug mode. This code is used to communicate +with the *debug module (DM)* and is responsible for: + +* acknowledging halt requests +* processing and acknowledging resume requests +* processing and acknowledging "execute program buffer" requests +* executing the program buffer (provided by the DM) +* catching exceptions while in debug mode + +The park loop code is implemented as endless loop that polls the status register of the *debug memory (DBMEM)* module +to check for requests from the DM and sets according flags in the status register to acknowledge these requests. + +:warning: Executing `make clean_all all` will **NOT** update the actual debugger code ROM that will be synthesized. +The interface with the DM will break if there are any bugs in this code. However, if you wish to update the code ROM, +copy the array content from `neorv32_debug_mem.code.vhd` to the `code_rom_file` constant in `rtl/core/neorv32_debug_dbmem.vhd`. diff --git a/Libs/RiscV/NEORV32/sw/ocd-firmware/debug_rom.ld b/Libs/RiscV/NEORV32/sw/ocd-firmware/debug_rom.ld new file mode 100644 index 0000000..1c9dcd8 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/ocd-firmware/debug_rom.ld @@ -0,0 +1,67 @@ +/* ################################################################################################# */ +/* # << NEORV32 - RISC-V GCC Linker Script >> # */ +/* # ********************************************************************************************* # */ +/* # For the execution based on-chip debugger code memory (/ROM() - "park loop" code # */ +/* # ********************************************************************************************* # */ +/* # BSD 3-Clause License # */ +/* # # */ +/* # Copyright (c) 2021, Stephan Nolting. All rights reserved. # */ +/* # # */ +/* # Redistribution and use in source and binary forms, with or without modification, are # */ +/* # permitted provided that the following conditions are met: # */ +/* # # */ +/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ +/* # conditions and the following disclaimer. # */ +/* # # */ +/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ +/* # conditions and the following disclaimer in the documentation and/or other materials # */ +/* # provided with the distribution. # */ +/* # # */ +/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ +/* # endorse or promote products derived from this software without specific prior written # */ +/* # permission. # */ +/* # # */ +/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ +/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ +/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ +/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ +/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ +/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ +/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ +/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ +/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ +/* # ********************************************************************************************* # */ +/* # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # */ +/* ################################################################################################# */ + +/* Default linker script, for normal executables */ +/* Copyright (C) 2014-2020 Free Software Foundation, Inc. + Copying and distribution of this script, with or without modification, + are permitted in any medium without royalty provided the copyright + notice and this notice are preserved. */ + +/* modified for the NEORV32 processor by Stephan Nolting */ + + +OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") +OUTPUT_ARCH(riscv) +ENTRY(_start) +SEARCH_DIR("/opt/riscv/riscv32-unknown-elf/lib"); SEARCH_DIR("=/opt/riscv/riscv64-unknown-linux-gnu/lib"); SEARCH_DIR("=/usr/local/lib"); SEARCH_DIR("=/lib"); SEARCH_DIR("=/usr/lib"); + +MEMORY +{ + debug_mem (rx) : ORIGIN = 0xFFFFF800, LENGTH = 128 +} +/* ************************************************************************* */ + +SECTIONS +{ + + /* Actual instructions */ + .text : + { + KEEP(*(.text)); + + } > debug_mem + +} diff --git a/Libs/RiscV/NEORV32/sw/ocd-firmware/makefile b/Libs/RiscV/NEORV32/sw/ocd-firmware/makefile new file mode 100644 index 0000000..ea759b2 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/ocd-firmware/makefile @@ -0,0 +1,294 @@ +################################################################################################# +# << NEORV32 - Application Makefile >> # +# ********************************************************************************************* # +# Make sure to add the RISC-V GCC compiler's bin folder to your PATH environment variable. # +# ********************************************************************************************* # +# FOR DEBUGGER "PARK LOOP" CODE ONLY! # +# ********************************************************************************************* # +# BSD 3-Clause License # +# # +# Copyright (c) 2021, Stephan Nolting. All rights reserved. # +# # +# Redistribution and use in source and binary forms, with or without modification, are # +# permitted provided that the following conditions are met: # +# # +# 1. Redistributions of source code must retain the above copyright notice, this list of # +# conditions and the following disclaimer. # +# # +# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +# conditions and the following disclaimer in the documentation and/or other materials # +# provided with the distribution. # +# # +# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +# endorse or promote products derived from this software without specific prior written # +# permission. # +# # +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +# OF THE POSSIBILITY OF SUCH DAMAGE. # +# ********************************************************************************************* # +# The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +################################################################################################# + + +# ***************************************************************************** +# USER CONFIGURATION +# ***************************************************************************** +# User's application sources (*.c, *.cpp, *.s, *.S); add additional files here +APP_SRC ?= $(wildcard ./*.S) + +# User's application include folders (don't forget the '-I' before each entry) +APP_INC ?= -I . +# User's application include folders - for assembly files only (don't forget the '-I' before each entry) +ASM_INC ?= -I . + +# Optimization +EFFORT ?= -Os + +# Compiler toolchain +RISCV_PREFIX ?= riscv32-unknown-elf- + +# CPU architecture and ABI +MARCH = rv32i +MABI = ilp32 + +# User flags for additional configuration (will be added to compiler flags) +USER_FLAGS ?= + +# Relative or absolute path to the NEORV32 home folder +NEORV32_HOME ?= ../.. +# ***************************************************************************** + + + +# ----------------------------------------------------------------------------- +# NEORV32 framework +# ----------------------------------------------------------------------------- +# Path to NEORV32 linker script and startup file +NEORV32_COM_PATH = $(NEORV32_HOME)/sw/common +# Path to main NEORV32 library include files +NEORV32_INC_PATH = $(NEORV32_HOME)/sw/lib/include +# Path to main NEORV32 library source files +NEORV32_SRC_PATH = $(NEORV32_HOME)/sw/lib/source +# Path to NEORV32 executable generator +NEORV32_EXG_PATH = $(NEORV32_HOME)/sw/image_gen +# Path to NEORV32 core rtl folder +NEORV32_RTL_PATH = $(NEORV32_HOME)/rtl/core +# Marker file to check for NEORV32 home folder +NEORV32_HOME_MARKER = $(NEORV32_INC_PATH)/neorv32.h + +# Linker script +LD_SCRIPT = ./debug_rom.ld + +# Main output files +APP_ASM = main.asm +APP_IMG = neorv32_debug_mem.code.vhd + + +# ----------------------------------------------------------------------------- +# Sources and objects +# ----------------------------------------------------------------------------- +# Define all sources +SRC = $(APP_SRC) + +# Define all object files +OBJ = $(SRC:%=%.o) + + +# ----------------------------------------------------------------------------- +# Tools and flags +# ----------------------------------------------------------------------------- +# Compiler tools +CC = $(RISCV_PREFIX)gcc +OBJDUMP = $(RISCV_PREFIX)objdump +OBJCOPY = $(RISCV_PREFIX)objcopy +SIZE = $(RISCV_PREFIX)size + +# Host native compiler +CC_X86 = g++ -Wall -O -g + +# NEORV32 executable image generator +IMAGE_GEN = $(NEORV32_EXG_PATH)/image_gen + +# Compiler & linker flags +CC_OPTS = -march=$(MARCH) -mabi=$(MABI) $(EFFORT) -Wall -ffunction-sections -fdata-sections -nostartfiles -mno-fdiv +CC_OPTS += -Wl,--gc-sections -lm -lc -lgcc -lc +# This accelerates instruction fetch after branches when C extension is enabled (irrelevant when C extension is disabled) +CC_OPTS += -falign-functions=4 -falign-labels=4 -falign-loops=4 -falign-jumps=4 +CC_OPTS += $(USER_FLAGS) + + +# ----------------------------------------------------------------------------- +# Application output definitions +# ----------------------------------------------------------------------------- +.PHONY: check info help elf_info clean clean_all bootloader +.DEFAULT_GOAL := help + +# 'compile' is still here for compatibility +compile: $(APP_ASM) +install: $(APP_ASM) $(APP_IMG) +all: $(APP_ASM) $(APP_IMG) + +# Check if making bootloader +# Use different base address and legth for instruction memory/"rom" (BOOTMEM instead of IMEM) +# Also define "make_bootloader" for crt0.S +target bootloader: CC_OPTS += -Wl,--defsym=make_bootloader=1 -Dmake_bootloader + + +# ----------------------------------------------------------------------------- +# Image generator targets +# ----------------------------------------------------------------------------- +# install/compile tools +$(IMAGE_GEN): $(NEORV32_EXG_PATH)/image_gen.c + @echo Compiling $(IMAGE_GEN) + @$(CC_X86) $< -o $(IMAGE_GEN) + + +# ----------------------------------------------------------------------------- +# General targets: Assemble, compile, link, dump +# ----------------------------------------------------------------------------- +# Compile app *.s sources (assembly) +%.s.o: %.s + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(ASM_INC) $< -o $@ + +# Compile app *.S sources (assembly + C pre-processor) +%.S.o: %.S + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(ASM_INC) $< -o $@ + +# Compile app *.c sources +%.c.o: %.c + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(APP_INC) $< -o $@ + +# Compile app *.cpp sources +%.cpp.o: %.cpp + @$(CC) -c $(CC_OPTS) -I $(NEORV32_INC_PATH) $(APP_INC) $< -o $@ + +# Link object files and show memory utilization +main.elf: $(OBJ) + @$(CC) $(CC_OPTS) -T $(LD_SCRIPT) $(OBJ) -o $@ -lm + @echo "Memory utilization:" + @$(SIZE) main.elf + +# Assembly listing file (for debugging) +$(APP_ASM): main.elf + @$(OBJDUMP) -d -S -z $< > $@ + +# Generate final executable from .text only +main.bin: main.elf $(APP_ASM) + @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.bin + @cat text.bin > $@ + @rm -f text.bin + + +# ----------------------------------------------------------------------------- +# Application targets: install (as VHDL file) +# ----------------------------------------------------------------------------- + +# Generate NEORV32 executable VHDL boot image +$(APP_IMG): main.bin $(IMAGE_GEN) + @set -e + @$(IMAGE_GEN) -app_img $< $@ $(shell basename $(CURDIR)) + + +# ----------------------------------------------------------------------------- +# Check toolchain +# ----------------------------------------------------------------------------- +check: $(IMAGE_GEN) + @echo "---------------- Check: NEORV32_HOME folder ----------------" +ifneq ($(shell [ -e $(NEORV32_HOME_MARKER) ] && echo 1 || echo 0 ), 1) +$(error NEORV32_HOME folder not found!) +endif + @echo "NEORV32_HOME: $(NEORV32_HOME)" + @echo "---------------- Check: $(CC) ----------------" + @$(CC) -v + @echo "---------------- Check: $(OBJDUMP) ----------------" + @$(OBJDUMP) -V + @echo "---------------- Check: $(OBJCOPY) ----------------" + @$(OBJCOPY) -V + @echo "---------------- Check: $(SIZE) ----------------" + @$(SIZE) -V + @echo "---------------- Check: NEORV32 image_gen ----------------" + @$(IMAGE_GEN) -help + @echo "---------------- Check: Native GCC ----------------" + @$(CC_X86) -v + @echo + @echo "Toolchain check OK" + + +# ----------------------------------------------------------------------------- +# Show configuration +# ----------------------------------------------------------------------------- +info: + @echo "---------------- Info: Project ----------------" + @echo "Project folder: $(shell basename $(CURDIR))" + @echo "Source files: $(APP_SRC)" + @echo "Include folder(s): $(APP_INC)" + @echo "ASM include folder(s): $(ASM_INC)" + @echo "---------------- Info: NEORV32 ----------------" + @echo "NEORV32 home folder (NEORV32_HOME): $(NEORV32_HOME)" + @echo "IMAGE_GEN: $(IMAGE_GEN)" + @echo "Core source files:" + @echo "$(CORE_SRC)" + @echo "Core include folder:" + @echo "$(NEORV32_INC_PATH)" + @echo "---------------- Info: Objects ----------------" + @echo "Project object files:" + @echo "$(OBJ)" + @echo "---------------- Info: RISC-V CPU ----------------" + @echo "MARCH: $(MARCH)" + @echo "MABI: $(MABI)" + @echo "---------------- Info: Toolchain ----------------" + @echo "Toolchain: $(RISCV_TOLLCHAIN)" + @echo "CC: $(CC)" + @echo "OBJDUMP: $(OBJDUMP)" + @echo "OBJCOPY: $(OBJCOPY)" + @echo "SIZE: $(SIZE)" + @echo "---------------- Info: Compiler Libraries ----------------" + @echo "LIBGCC:" + @$(CC) -print-libgcc-file-name + @echo "SEARCH-DIRS:" + @$(CC) -print-search-dirs + @echo "---------------- Info: Flags ----------------" + @echo "USER_FLAGS: $(USER_FLAGS)" + @echo "CC_OPTS: $(CC_OPTS)" + @echo "---------------- Info: Host Native GCC Flags ----------------" + @echo "CC_X86: $(CC_X86)" + + +# ----------------------------------------------------------------------------- +# Show final ELF details (just for debugging) +# ----------------------------------------------------------------------------- +elf_info: main.elf + @$(OBJDUMP) -x main.elf + + +# ----------------------------------------------------------------------------- +# Help +# ----------------------------------------------------------------------------- +help: + @echo "<<< NEORV32 Application Makefile >>>" + @echo "Make sure to add the bin folder of RISC-V GCC to your PATH variable." + @echo "Targets:" + @echo " help - show this text" + @echo " check - check toolchain" + @echo " info - show makefile/toolchain configuration" + @echo " exe - compile and generate executable for upload via bootloader" + @echo " all - compile and generate executable for upload via bootloader and generate and install VHDL IMEM boot image (for application)" + @echo " clean - clean up project" + @echo " clean_all - clean up project, core libraries and image generator" + + +# ----------------------------------------------------------------------------- +# Clean up +# ----------------------------------------------------------------------------- +clean: + @rm -f *.elf *.o *.bin *.out *.asm *.vhd + +clean_all: clean + @rm -f $(OBJ) $(IMAGE_GEN) diff --git a/Libs/RiscV/NEORV32/sw/ocd-firmware/park_loop.S b/Libs/RiscV/NEORV32/sw/ocd-firmware/park_loop.S new file mode 100644 index 0000000..5c02185 --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/ocd-firmware/park_loop.S @@ -0,0 +1,103 @@ +/* ################################################################################################# */ +/* # << NEORV32 - park_loop.S - Execution-Based On-Chip Debugger - Park Loop Code >> # */ +/* # ********************************************************************************************* # */ +/* # BSD 3-Clause License # */ +/* # # */ +/* # Copyright (c) 2021, Stephan Nolting. All rights reserved. # */ +/* # # */ +/* # Redistribution and use in source and binary forms, with or without modification, are # */ +/* # permitted provided that the following conditions are met: # */ +/* # # */ +/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ +/* # conditions and the following disclaimer. # */ +/* # # */ +/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ +/* # conditions and the following disclaimer in the documentation and/or other materials # */ +/* # provided with the distribution. # */ +/* # # */ +/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ +/* # endorse or promote products derived from this software without specific prior written # */ +/* # permission. # */ +/* # # */ +/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ +/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ +/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ +/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ +/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ +/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ +/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ +/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ +/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ +/* # ********************************************************************************************* # */ +/* # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # */ +/* ################################################################################################# */ + +// debug memory address map +.equ DBMEM_CODE_BASE, 0xfffff800 // base address of dbmem.code_memory +.equ DBMEM_PBUF_BASE, 0xfffff880 // base address of dbmem.program_buffer +.equ DBMEM_DBUF_BASE, 0xfffff900 // base address of dbmem.data_buffer +.equ DBMEM_SREG_BASE, 0xfffff980 // base address of dbmem.status_register + +// status register (SREG) bits +.equ SREG_HALTED_ACK, (1<<0) // -/w: CPU is halted in debug mode and waits in park loop +.equ SREG_RESUME_REQ, (1<<1) // r/-: DM requests CPU to resume +.equ SREG_RESUME_ACK, (1<<2) // -/w: CPU starts resuming +.equ SREG_EXECUTE_REQ, (1<<3) // r/-: DM requests to execute program buffer +.equ SREG_EXECUTE_ACK, (1<<4) // -/w: CPU starts to execute program buffer +.equ SREG_EXCEPTION_ACK, (1<<5) // -/w: CPU has detected an exception + +.file "park_loop.S" +.section .text +.balign 4 +.option norvc +.global _start +.global entry_normal +.global entry_exception + + +_start: + +// BASE + 0: entry for ebreak in debug-mode, halt request or return from single-stepped instruction +entry_normal: + jal zero, parking_loop_start + +// BASE + 4: entry for exceptions - signal EXCEPTION to DM and restart parking loop +entry_exception: + csrw dscratch0, s0 // save s0 to dscratch0 so we have a general purpose register available + addi s0, zero, SREG_EXCEPTION_ACK // mask exception acknowledge flag + sw s0, DBMEM_SREG_BASE(zero) // trigger exception acknowledge to inform DM + csrr s0, dscratch0 // restore s0 from dscratch0 + ebreak // restart parking loop + +// "parking loop": endless loop that polls the status register to check if the DM +// wants to execute code from the program buffer or to resume normal CPU/application operation +parking_loop_start: + csrw dscratch0, s0 // save s0 to dscratch0 so we have a general purpose register available + addi s0, zero, SREG_HALTED_ACK + sw s0, DBMEM_SREG_BASE(zero) // ACK that CPU has halted + +parking_loop: + lw s0, DBMEM_SREG_BASE(zero) // get status register + andi s0, s0, SREG_EXECUTE_REQ // request to execute program buffer? + bnez s0, execute_progbuf // execute program buffer + + lw s0, DBMEM_SREG_BASE(zero) // get status register + andi s0, s0, SREG_RESUME_REQ // request to resume? + bnez s0, resume // resume normal operation + + jal zero, parking_loop // restart parking loop polling + +// resume normal operation +resume: + addi s0, zero, SREG_RESUME_ACK + sw s0, DBMEM_SREG_BASE(zero) // ACK that CPU is about to resume + csrr s0, dscratch0 // restore s0 from dscratch0 + dret // end debug mode + +// execute program buffer +execute_progbuf: + addi s0, zero, SREG_EXECUTE_ACK + sw s0, DBMEM_SREG_BASE(zero) // ACK that execution is about to start + csrr s0, dscratch0 // restore s0 from dscratch0 + fence.i // synchronize i-cache & prefetch with memory (program buffer) + jalr zero, zero, %lo(DBMEM_PBUF_BASE) // jump to beginning of program buffer diff --git a/Libs/RiscV/NEORV32/sw/openocd/openocd_neorv32.cfg b/Libs/RiscV/NEORV32/sw/openocd/openocd_neorv32.cfg new file mode 100644 index 0000000..cbe1e3e --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/openocd/openocd_neorv32.cfg @@ -0,0 +1,41 @@ +# openOCD configuration file for generic FT2232H-based USB-jtag interface +# references: https://mcuoneclipse.com/2019/10/20/jtag-debugging-the-esp32-with-ft2232-and-openocd/ + +# ---------------------------------------------- +# Interface configuration +# ---------------------------------------------- + +# FT2232H pinout: +# TCK: D0 +# TDI: D1 +# TDO: D2 +# TMS: D3 +# TRST: D4 (low-active, optional - pull input pin high if not used) + +adapter driver ftdi +ftdi_vid_pid 0x0403 0x6010 +ftdi_channel 0 +ftdi_layout_init 0x0038 0x003b + +adapter speed 1000 +ftdi_layout_signal nTRST -ndata 0x0010 -noe 0x0040 +transport select jtag + +# ---------------------------------------------- +# Target configuration +# ---------------------------------------------- + +set _CHIPNAME neorv32 +set _JTAGID 0x0cafe001 +jtag newtap $_CHIPNAME cpu -irlen 5 -expected-id $_JTAGID + +set _TARGETNAME $_CHIPNAME.cpu + +target create $_TARGETNAME.0 riscv -chain-position $_TARGETNAME + +# ---------------------------------------------- +# Scratch pad RAM +# ---------------------------------------------- + +# work area ("scratch pad RAM"): beginning of (internal) DMEM, 256 bytes, requires(!) backup +$_TARGETNAME.0 configure -work-area-phys 0x80000000 -work-area-size 256 -work-area-backup 1 diff --git a/Libs/RiscV/NEORV32/sw/svd/README.md b/Libs/RiscV/NEORV32/sw/svd/README.md new file mode 100644 index 0000000..10b7c2e --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/svd/README.md @@ -0,0 +1,10 @@ +# NEORV32 System View Description (SVD) File + +Manually created from `sw/lib/include/neorv32.h`. + +* Format: CMSIS-SVD +* Copyright by ARM Ltd, Apache-2.0 License +* Documentation: + * https://www.keil.com/pack/doc/CMSIS/SVD/html/index.html + * https://github.com/ARM-software/CMSIS + * https://github.com/ARM-software/CMSIS_5 diff --git a/Libs/RiscV/NEORV32/sw/svd/neorv32.svd b/Libs/RiscV/NEORV32/sw/svd/neorv32.svd new file mode 100644 index 0000000..4e9371c --- /dev/null +++ b/Libs/RiscV/NEORV32/sw/svd/neorv32.svd @@ -0,0 +1,1189 @@ + + + + stnolting + neorv32 + RISC-V + 1.6.4 + The NEORV32 RISC-V Processor + + + + NEORV32 + r2p0 + little + true + true + false + false + true + true + 0 + false + + + + 8 + 32 + 32 + read-write + 0x00000000 + 0x00000000 + + + + + + + CFS + Custom functions subsystem + CFS + 0xFFFFFE00 + + CFS_FIRQ1 + + + 0 + 0x80 + registers + + + + REG0Application-defined0x00 + REG1Application-defined0x04 + REG2Application-defined0x08 + REG3Application-defined0x0C + REG4Application-defined0x10 + REG5Application-defined0x14 + REG6Application-defined0x18 + REG7Application-defined0x1C + REG8Application-defined0x20 + REG9Application-defined0x24 + REG10Application-defined0x28 + REG11Application-defined0x2C + REG12Application-defined0x30 + REG13Application-defined0x34 + REG14Application-defined0x38 + REG15Application-defined0x3C + REG16Application-defined0x40 + REG17Application-defined0x44 + REG18Application-defined0x48 + REG19Application-defined0x4C + REG20Application-defined0x50 + REG21Application-defined0x54 + REG22Application-defined0x58 + REG23Application-defined0x5C + REG24Application-defined0x60 + REG25Application-defined0x64 + REG26Application-defined0x68 + REG27Application-defined0x6C + REG28Application-defined0x70 + REG29Application-defined0x74 + REG30Application-defined0x78 + REG31Application-defined0x7C + + + + + + PWM + Pulse-width modulation controller + PWM + 0xFFFFFE80 + + + 0 + 0x40 + registers + + + + + CTRL + Control register + 0x00 + + + PWM_CTRL_EN + [0:0] + PWM controller enable flag + + + PWM_CTRL_PRSCx + [3:1] + Clock prescaler select + + + + + DUTY0 + Duty cycle register 0 + 0x04 + + + DUTY1 + Duty cycle register 1 + 0x08 + + + DUTY2 + Duty cycle register 2 + 0x0C + + + DUTY3 + Duty cycle register 3 + 0x10 + + + DUTY4 + Duty cycle register 4 + 0x14 + + + DUTY5 + Duty cycle register 5 + 0x18 + + + DUTY6 + Duty cycle register 6 + 0x1C + + + DUTY7 + Duty cycle register 7 + 0x20 + + + DUTY8 + Duty cycle register 8 + 0x24 + + + DUTY9 + Duty cycle register 9 + 0x28 + + + DUTY10 + Duty cycle register 10 + 0x2C + + + DUTY11 + Duty cycle register 11 + 0x30 + + + DUTY12 + Duty cycle register 12 + 0x34 + + + DUTY13 + Duty cycle register 13 + 0x38 + + + DUTY14 + Duty cycle register 14 + 0x3C + + + + + + + SLINK + Stream link interface + SLINK + 0xFFFFFEC0 + + SLINK_RX_FIRQ10 + SLINK_TX_FIRQ11 + + + 0 + 0x40 + registers + + + + + CTRL + Control register + 0x00 + + + SLINK_CTRL_RX_NUMx + read-only + [3:0] + Number of implemented RX links + + + SLINK_CTRL_TX_NUMx + read-only + [7:4] + Number of implemented TX links + + + SLINK_CTRL_RX_FIFO_Sx + read-only + [11:8] + log2(RX FIFO size) + + + SLINK_CTRL_TX_FIFO_Sx + read-only + [15:12] + log2(TX FIFO size) + + + SLINK_CTRL_EN + read-write + [31:31] + SLINK enable flag + + + + + IRQ + Link interrupt configuration register + 0x08 + + + SLINK_IRQ_RX_EN + [7:0] + RX link interrupt enable + + + SLINK_IRQ_RX_MODE + [15:8] + RX link interrupt mode + + + SLINK_IRQ_TX_EN + [23:16] + TX link interrupt enable + + + SLINK_IRQ_TX_MODE + [31:24] + TX link interrupt mode + + + + + STATUS + Link status register + 0x10 + + + SLINK_STATUS_RX_AVAIL + [7:0] + RX link n FIFO is NOT empty (data available) + + + SLINK_STATUS_TX_FREE + [15:8] + TX link n FIFO is NOT full (ready to send) + + + SLINK_STATUS_RX_HALF + [23:16] + RX link n FIFO fill level is >= half-full + + + SLINK_STATUS_TX_HALF + [31:24] + TX link 0 FIFO fill level is > half-full + + + + + DATA0 + Link 0 RTX data register + 0x20 + + + DATA1 + Link 1 RTX data register + 0x24 + + + DATA2 + Link 2 RTX data register + 0x28 + + + DATA3 + Link 3 RTX data register + 0x2C + + + DATA4 + Link 4 RTX data register + 0x30 + + + DATA5 + Link 5 RTX data register + 0x34 + + + DATA6 + Link 6 RTX data register + 0x38 + + + DATA7 + Link 7 RTX data register + 0x3C + + + + + + + GPTMR + General purpose timer + GPTMR + 0xFFFFFF60 + + GPTMR_FIRQ12 + + + 0 + 0x10 + registers + + + + + CTRL + Control register + 0x00 + + + GPTMR_CTRL_EN + [0:0] + Timer enable flag + + + GPTMR_CTRL_PRSC + [3:1] + Clock prescaler select + + + GPTMR_CTRL_MODE + [4:4] + Timer mode: 0=single-shot mode, 1=continuous mode + + + + + THRES + Threshold register + 0x04 + + + COUNT + Counter register + 0x08 + + + + + + + BUSKEEPER + Bus keeper + BUSKEEPER + 0xFFFFFF7C + + + 0 + 0x04 + registers + + + + + CTRL + Control register + 0x00 + + + BUSKEEPER_ERR_TYPE + [0:0] + read-only + Bus error type: 0=device error, 1=access timeout + + + BUSKEEPER_ERR_FLAG + [31:31] + Sticky error flag, clears after read or write access + + + + + + + + + XIRQ + External interrupts controller + XIRQ + 0xFFFFFF80 + + XIRQ_FIRQ8 + + + 0 + 0x10 + registers + + + + + IER + IRQ input enable register + 0x00 + + + IPR + IRQ pending/ack/clear register + 0x04 + + + SCR + IRQ source register + 0x08 + + + + + + + MTIME + Machine timer + MTIME + 0xFFFFFF90 + + + 0 + 0x10 + registers + + + + + TIME_LO + System time register - low + 0x00 + + + TIME_HI + System time register - high + 0x04 + + + TIMECMP_LO + Time compare register - low + 0x08 + + + TIMECMP_HI + Time compare register - high + 0x0C + + + + + + + UART0 + Primary universal asynchronous receiver and transmitter + UART0 + 0xFFFFFFA0 + + UART0_RX_FIRQ2 + UART0_TX_FIRQ3 + + + 0 + 0x08 + registers + + + + + CTRL + Control register + 0x00 + + + UART_CTRL_BAUD + [11:0] + Baud rate divisor + + + UART_CTRL_SIM_MODE + [12:12] + Simulation output override enable, for use in simulation only + + + UART_CTRL_RX_EMPTY + [13:13] + read-only + RX FIFO is empty + + + UART_CTRL_RX_HALF + [14:14] + read-only + RX FIFO is at least half-full + + + UART_CTRL_RX_FULL + [15:15] + read-only + RX FIFO is full + + + UART_CTRL_TX_EMPTY + [16:16] + read-only + TX FIFO is empty + + + UART_CTRL_TX_HALF + [17:17] + read-only + TX FIFO is at least half-full + + + UART_CTRL_TX_FULL + [18:18] + read-only + TX FIFO is full + + + UART_CTRL_RTS_EN + [20:20] + Enable hardware flow control: Assert RTS output if UART.RX is ready to receive + + + UART_CTRL_CTS_EN + [21:21] + Enable hardware flow control: UART.TX starts sending only if CTS input is asserted + + + UART_CTRL_PMODE0 + [22:22] + Parity configuration (0=even; 1=odd) + + + UART_CTRL_PMODE1 + [23:23] + Parity bit enabled when set + + + UART_CTRL_PRSC + [26:24] + Clock prescaler select + + + UART_CTRL_CTS + [27:27] + read-only + current state of CTS input + + + UART_CTRL_EN + [28:28] + UART enable flag + + + UART_CTRL_RX_IRQ + [29:29] + RX IRQ mode: 1=FIFO at least half-full; 0=FIFO not empty + + + UART_CTRL_TX_IRQ + [30:30] + TX IRQ mode: 1=FIFO less than half-full; 0=FIFO not full + + + UART_CTRL_TX_BUSY + [31:31] + read-only + Transmitter is busy when set + + + + + DATA + RX/TX data register + 0x04 + + + UART_DATA + [7:0] + Receive/transmit data + + + UART_DATA_PERR + [28:28] + read-only + RX parity error detected when set + + + UART_DATA_FERR + [29:29] + read-only + RX frame error (no valid stop bit) detected when set + + + UART_DATA_OVERR + [30:30] + read-only + RX parity error detected when set + + + UART_DATA_AVAIL + [31:31] + read-only + RX data available when set + + + + + + + + + UART1 + Secondary universal asynchronous receiver and transmitter + UART1 + 0xFFFFFFD0 + + UART1_RX_FIRQ4 + UART1_TX_FIRQ5 + + + 0 + 0x08 + registers + + + + + + + SPI + Serial peripheral interface controller + SPI + 0xFFFFFFA8 + + SPI_FIRQ6 + + + 0 + 0x08 + registers + + + + + CTRL + Control register + 0x00 + + + SPI_CTRL_CS + [7:0] + Direct chip select line + + + SPI_CTRL_EN + [8:8] + SPI enable flag + + + SPI_CTRL_CPHA + [9:9] + Clock phase + + + SPI_CTRL_PRSC + [12:10] + Clock prescaler select + + + SPI_CTRL_SIZE + [14:13] + Data transfer size + + + SPI_CTRL_CPOL + [15:15] + Clock polarity + + + SPI_CTRL_BUSY + [31:31] + read-only + SPI busy flag + + + + + DATA + RX/TX data register + 0x04 + + + + + + + TWI + Two-wire interface controller + SPI + 0xFFFFFFB0 + + TWI_FIRQ7 + + + 0 + 0x08 + registers + + + + + CTRL + Control register + 0x00 + + + TWI_CTRL_EN + [0:0] + TWI enable flag + + + TWI_CTRL_START + [1:1] + Generate START condition, auto-clears + + + TWI_CTRL_STOP + [2:2] + Generate STOP condition, auto-clears + + + TWI_CTRL_PRSC + [5:3] + Clock prescaler select + + + TWI_CTRL_MACK + [6:6] + Generate ACK by controller for each transmission + + + TWI_CTRL_ACK + [30:30] + read-only + ACK received when set + + + TWI_CTRL_BUSY + [31:31] + read-only + Transfer in progress, busy flag + + + + + DATA + RX/TX data register + 0x04 + + + TWI_DATA + [7:0] + RX/TX data + + + + + + + + + TRNG + True random number generator + TRNG + 0xFFFFFFB8 + + + 0 + 0x04 + registers + + + + + CTRL + Control and data register + 0x00 + + + TRNG_CTRL_DATA + [7:0] + read-only + Random data + + + TRNG_CTRL_EN + [30:30] + TRNG enable flag + + + TRNG_CTRL_VALID + [31:31] + read-only + Random data output valid + + + + + + + + + WDT + Watchdog timer + WDT + 0xFFFFFFBC + + WDT_FIRQ0 + + + 0 + 0x04 + registers + + + + + CTRL + Control register + 0x00 + + + WDT_CTRL_EN + [0:0] + WDT enable flag + + + WDT_CTRL_CLK_SEL + [3:1] + Clock prescaler select + + + WDT_CTRL_MODE + [4:4] + Watchdog mode: 0=timeout causes interrupt, 1=timeout causes processor reset + + + WDT_CTRL_RCAUSE + [5:5] + read-only + Cause of last system reset: 0=external reset, 1=watchdog + + + WDT_CTRL_RESET + [6:6] + Reset WDT counter when set, auto-clears + + + WDT_CTRL_FORCE + [7:7] + Force WDT action, auto-clears + + + WDT_CTRL_LOCK + [8:8] + Lock write access to control register, clears on reset (HW or WDT) only + + + WDT_CTRL_DBEN + [9:9] + Allow WDT to continue operation even when in debug mode + + + WDT_CTRL_HALF + [10:10] + read-only + Set if at least half of the max. timeout counter value has been reached + + + + + + + + + GPIO + General purpose input/output port + GPIO + 0xFFFFFFc0 + + + 0 + 0x10 + registers + + + + + INPUT_LO + Parallel input register - low + 0x00 + read-only + + + INPUT_HI + Parallel input register - high + 0x04 + read-only + + + OUTPUT_LO + Parallel output register - low + 0x08 + + + OUTPUT_HI + Parallel output register - high + 0x0C + + + + + + + NEOLED + Smart LED hardware interface + NEOLED + 0xFFFFFFD8 + + NEOLED_FIRQ9 + + + 0 + 0x08 + registers + + + + + CTRL + Control register + 0x00 + + + NEOLED_CTRL_EN + [0:0] + NEOLED enable flag + + + NEOLED_CTRL_MODE + [1:1] + TX mode (0=24-bit, 1=32-bit) + + + NEOLED_CTRL_STROBE + [2:2] + Strobe (0=send normal data, 1=send RESET command on data write) + + + NEOLED_CTRL_PRSC + [5:3] + Clock prescaler select + + + NEOLED_CTRL_BUFS + [9:6] + read-only + log2(tx buffer size) + + + NEOLED_CTRL_T_TOT + [14:10] + pulse-clock ticks per total period bit + + + NEOLED_CTRL_T_ZERO_H + [19:15] + pulse-clock ticks per ZERO high-time + + + NEOLED_CTRL_T_ONE_H + [24:20] + pulse-clock ticks per ONE high-time + + + NEOLED_CTRL_IRQ_CONF + [27:27] + TX FIFO interrupt: 0=IRQ if FIFO is less than half-full, 1=IRQ if FIFO is empty + + + NEOLED_CTRL_TX_EMPTY + [28:28] + read-only + TX FIFO is empty + + + NEOLED_CTRL_TX_HALF + [29:29] + read-only + TX FIFO is at least half-full + + + NEOLED_CTRL_TX_FULL + [30:30] + read-only + TX FIFO is full + + + NEOLED_CTRL_TX_BUSY + [31:31] + read-only + busy flag + + + + + DATA + Data register + 0x04 + + + + + + + SYSINFO + System configuration information memory + SYSINFO + 0xFFFFFFE0 + + + 0 + 0x20 + registers + + + + + CLK + Clock speed in Hz + 0x00 + read-only + + + CPU + CPU core features + 0x04 + read-only + + SYSINFO_CPU_ZICSR[0:0]Zicsr extension (I sub-extension) available when set + SYSINFO_CPU_ZIFENCEI[1:1]Zifencei extension (I sub-extension) available when set + SYSINFO_CPU_ZMMUL[2:2]Zmmul extension (M sub-extension) available when set + SYSINFO_CPU_ZFINX[5:5]Zfinx extension (F sub-/alternative-extension) available when set + SYSINFO_CPU_ZXSCNT[6:6]Custom extension - Small CPU counters + SYSINFO_CPU_ZICNTR[7:7]Basic CPU counters available when set + SYSINFO_CPU_PMP[8:8]PMP (physical memory protection) extension available when set + SYSINFO_CPU_ZIHPM[9:9]HPM (hardware performance monitors) extension available when set + SYSINFO_CPU_DEBUGMODE[10:10]RISC-V CPU debug mode available when set + SYSINFO_CPU_FASTMUL[30:30]fast multiplications (via FAST_MUL_EN generic) available when set + SYSINFO_CPU_FASTSHIFT[31:31]fast shifts (via FAST_SHIFT_EN generic) available when set + + + + SOC + SoC features + 0x08 + read-only + + SYSINFO_SOC_BOOTLOADER[0:0]Bootloader implemented + SYSINFO_SOC_MEM_EXT[1:1]External bus interface implemented + SYSINFO_SOC_MEM_INT_IMEM[2:2]Processor-internal instruction memory implemented + SYSINFO_SOC_MEM_INT_DMEM[3:3]Processor-internal data memory implemented + SYSINFO_SOC_MEM_EXT_ENDIAN[4:4]External bus interface uses BIG-endian byte-order + SYSINFO_SOC_ICACHE[5:5]Processor-internal instruction cache implemented + SYSINFO_SOC_IS_SIM[13:13]Set if processor is being simulated + SYSINFO_SOC_OCD[14:14]On-chip debugger implemented + SYSINFO_SOC_HW_RESET[15:15]Dedicated hardware reset of core registers implemented + SYSINFO_SOC_IO_GPIO[16:16]General purpose input/output port unit implemented + SYSINFO_SOC_IO_MTIME[17:17]Machine system timer implemented + SYSINFO_SOC_IO_UART0[18:18]Primary universal asynchronous receiver/transmitter 0 implemented + SYSINFO_SOC_IO_SPI[19:19]Serial peripheral interface implemented + SYSINFO_SOC_IO_TWI[20:20]Two-wire interface implemented + SYSINFO_SOC_IO_PWM[21:21]Pulse-width modulation unit implemented + SYSINFO_SOC_IO_WDT[22:22]Watchdog timer implemented + SYSINFO_SOC_IO_CFS[23:23]Custom functions subsystem implemented + SYSINFO_SOC_IO_TRNG[24:24]True random number generator implemented + SYSINFO_SOC_IO_SLINK[25:25]Stream link interface implemented + SYSINFO_SOC_IO_UART1[26:26]Secondary universal asynchronous receiver/transmitter 1 implemented + SYSINFO_SOC_IO_NEOLED[27:27]NeoPixel-compatible smart LED interface implemented + SYSINFO_SOC_IO_XIRQ[28:28]External interrupt controller implemented + SYSINFO_SOC_IO_GPTMR[29:29]General purpose timer implemented + + + + CACHE + Cache configuration + 0x0C + read-only + + SYSINFO_CACHE_IC_BLOCK_SIZE[3:0]i-cache: log2(Block size in bytes) + SYSINFO_CACHE_IC_NUM_BLOCKS[7:4]i-cache: log2(Number of cache blocks/pages/lines) + SYSINFO_CACHE_IC_ASSOCIATIVITY[11:8]i-cache: log2(associativity) + SYSINFO_CACHE_IC_REPLACEMENT[15:12]i-cache: replacement policy (0001 = LRU if associativity > 0) + + + + ISPACE_BASE + Instruction memory address space base address + 0x10 + read-only + + + DSPACE_BASE + Data memory address space base address + 0x14 + read-only + + + IMEM_SIZE + Internal instruction memory (IMEM) size in bytes + 0x18 + read-only + + + DMEM_SIZE + Internal data memory (DMEM) size in bytes + 0x1C + read-only + + + + + + diff --git a/Libs/RiscV/NEORV32_test/hdl/.gitkeep b/Libs/RiscV/NEORV32_test/hdl/.gitkeep new file mode 100644 index 0000000..e69de29 diff --git a/Libs/RiscV/NEORV32_test/hds/.gitkeep b/Libs/RiscV/NEORV32_test/hds/.gitkeep new file mode 100644 index 0000000..e69de29 diff --git a/Libs/RiscV_test/NEORV32_test/README.md b/Libs/RiscV_test/NEORV32_test/README.md new file mode 100644 index 0000000..8dddbc3 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/README.md @@ -0,0 +1,58 @@ +# Simulation Source Folder + + +## [simple](simple) + +Simple testbench for the NEORV32 Processor and script for simulation using GHDL. + +- [`ghdl.setup.sh`](simple/ghdl.setup.sh) +- [`ghdl.run.sh`](simple/ghdl.run.sh) +- [`ghdl.sh`](simple/ghdl.sh) +- [`neorv32_tb.simple.vhd`](simple/neorv32_tb.simple.vhd) +- [`neorv32_imem.simple.vhd`](simple/neorv32_imem.simple.vhd): memory component optimized for simulation. +- [`neorv32_imem.iram.simple.vhd`](simple/neorv32_imem.iram.simple.vhd) +- [`uart_rx.simple.vhd`](simple/uart_rx.simple.vhd) + + +## VUnit testbench + +VUnit testbench for the NEORV32 Processor. + +- [`run.py`](run.py) +- [`neorv32_tb.vhd`](neorv32_tb.vhd) +- [`uart_rx_pkg.vhd`](uart_rx_pkg.vhd) +- [`uart_rx.vhd`](uart_rx.vhd) + + +## [`run_riscv_arch_test.sh`](run_riscv_arch_test.sh): NEORV32 RISC-V Architecture Test Framework + +This script tests the NEORV32 Processor for RISC-V compatibility using the +[official RISC-V architecture test suite v2+](https://github.com/riscv/riscv-arch-test). +The core's HDL sources are *simulated* using `GHDL` to provide a virtual execution platform for the test framework: + +* `rv32i_m/C` - compressed instructions +* `rv32i_m/I` - base ISA +* `rv32i_m/M` - hardware integer multiplication and division +* `rv32i_m/privilege` - privileged architecture +* `rv32i_m/Zifencei` - instruction stream synchronization (for example for self-modifying code) + +:warning: The RISC-V GCC toolchain (`riscv{32|64}-unknown-elf`) is required for program compilation, and the simulation + depends on `simple/ghdl_sim.sh`. + +To execute all the supported tests open a terminal and run [`./sim/run_riscv_arch_test.sh`](run_riscv_arch_test.sh), +which does the following: + +* Make a local copy of the NEORV32 `rtl` folder in `work/`, to keep the project's core files clean. +* Clone (as `git submodule`) the [riscv-arch-test repository](https://github.com/riscv/riscv-arch-test) into `sw/isa-test/riscv-arch-test`. +* Install (copy) the custom `neorv32` test target from `sw/isa-test/port-neorv32` to the +test suite's target folder `work/riscv-arch-test/riscv-target/neorv32`. +* Run the actual tests. + +:warning: Simulating all the test cases takes quite some time. Some tests use an optimised description of IMEM + (`neorv32_imem.simple.vhd`), but others require the original because they execute self-modifying code. + +:warning: If the simulation of a test does not generate any signature output at all or if the signature is truncated, +try increasing the simulation time by modiying the `SIM_TIME` variable when calling the test makefiles in `run_riscv_arch_test.sh`. + +More datails regarding the actual simulation process can be found in the +[target's `README`](../sw/riscv-arch-test/port-neorv32/framework_v2.0/riscv-target/neorv32/README.md). diff --git a/Libs/RiscV_test/NEORV32_test/neorv32_tb.vhd b/Libs/RiscV_test/NEORV32_test/neorv32_tb.vhd new file mode 100644 index 0000000..df9cba3 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/neorv32_tb.vhd @@ -0,0 +1,685 @@ +-- ################################################################################################# +-- # << NEORV32 - Default Processor Testbench >> # +-- # ********************************************************************************************* # +-- # The processor is configured to use a maximum of functional units (for testing purpose). # +-- # Use the "User Configuration" section to configure the testbench according to your needs. # +-- # See NEORV32 data sheet for more information. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library vunit_lib; +context vunit_lib.vunit_context; +context vunit_lib.com_context; +context vunit_lib.vc_context; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator +use std.textio.all; + +library osvvm; +use osvvm.RandomPkg.all; + +use work.uart_rx_pkg.all; + +entity neorv32_tb is + generic (runner_cfg : string := runner_cfg_default; + ci_mode : boolean := false); +end neorv32_tb; + +architecture neorv32_tb_rtl of neorv32_tb is + + -- User Configuration --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- general -- + constant ext_imem_c : boolean := false; -- false: use and boot from proc-internal IMEM, true: use and boot from external (initialized) simulated IMEM (ext. mem A) + constant ext_dmem_c : boolean := false; -- false: use proc-internal DMEM, true: use external simulated DMEM (ext. mem B) + constant imem_size_c : natural := 16*1024; -- size in bytes of processor-internal IMEM / external mem A + constant dmem_size_c : natural := 8*1024; -- size in bytes of processor-internal DMEM / external mem B + constant f_clock_c : natural := 100000000; -- main clock in Hz + constant baud0_rate_c : natural := 19200; -- simulation UART0 (primary UART) baud rate + constant baud1_rate_c : natural := 19200; -- simulation UART1 (secondary UART) baud rate + -- simulated external Wishbone memory A (can be used as external IMEM) -- + constant ext_mem_a_base_addr_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- wishbone memory base address (external IMEM base) + constant ext_mem_a_size_c : natural := imem_size_c; -- wishbone memory size in bytes + constant ext_mem_a_latency_c : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulated external Wishbone memory B (can be used as external DMEM) -- + constant ext_mem_b_base_addr_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- wishbone memory base address (external DMEM base) + constant ext_mem_b_size_c : natural := dmem_size_c; -- wishbone memory size in bytes + constant ext_mem_b_latency_c : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulated external Wishbone memory C (can be used to simulate external IO access) -- + constant ext_mem_c_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address (default begin of EXTERNAL IO area) + constant ext_mem_c_size_c : natural := 64; -- wishbone memory size in bytes + constant ext_mem_c_latency_c : natural := 3; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulation interrupt trigger -- + constant irq_trigger_base_addr_c : std_ulogic_vector(31 downto 0) := x"FF000000"; + -- ------------------------------------------------------------------------------------------- + + -- internals - hands off! -- + constant int_imem_c : boolean := not ext_imem_c; + constant int_dmem_c : boolean := not ext_dmem_c; + constant uart0_baud_val_c : real := real(f_clock_c) / real(baud0_rate_c); + constant uart1_baud_val_c : real := real(f_clock_c) / real(baud1_rate_c); + constant t_clock_c : time := (1 sec) / f_clock_c; + + -- generators -- + signal clk_gen, rst_gen : std_ulogic := '0'; + + -- uart -- + signal uart0_txd : std_ulogic; -- local loop-back + signal uart0_cts : std_ulogic; -- local loop-back + signal uart1_txd : std_ulogic; -- local loop-back + signal uart1_cts : std_ulogic; -- local loop-back + + -- gpio -- + signal gpio : std_ulogic_vector(63 downto 0); + + -- twi -- + signal twi_scl, twi_sda : std_logic; + + -- spi -- + signal spi_data : std_ulogic; + + -- irq -- + signal msi_ring, mei_ring : std_ulogic; + + -- Wishbone bus -- + type wishbone_t is record + addr : std_ulogic_vector(31 downto 0); -- address + wdata : std_ulogic_vector(31 downto 0); -- master write data + rdata : std_ulogic_vector(31 downto 0); -- master read data + we : std_ulogic; -- write enable + sel : std_ulogic_vector(03 downto 0); -- byte enable + stb : std_ulogic; -- strobe + cyc : std_ulogic; -- valid cycle + ack : std_ulogic; -- transfer acknowledge + err : std_ulogic; -- transfer error + tag : std_ulogic_vector(02 downto 0); -- request tag + lock : std_ulogic; -- exclusive access request + end record; + signal wb_cpu, wb_mem_a, wb_mem_b, wb_mem_c, wb_irq : wishbone_t; + + -- Wishbone access latency type -- + type ext_mem_read_latency_t is array (0 to 255) of std_ulogic_vector(31 downto 0); + + -- exclusive access / reservation -- + signal ext_mem_c_atomic_reservation : std_ulogic := '0'; + + -- simulated external memory c (IO) -- + signal ext_ram_c : mem32_t(0 to ext_mem_c_size_c/4-1); -- uninitialized, used to simulate external IO + + -- simulated external memory bus feedback type -- + type ext_mem_t is record + rdata : ext_mem_read_latency_t; + acc_en : std_ulogic; + ack : std_ulogic_vector(ext_mem_a_latency_c-1 downto 0); + end record; + signal ext_mem_a, ext_mem_b, ext_mem_c : ext_mem_t; + + -- stream link interface - local echo -- + signal slink_dat : sdata_8x32_t; + signal slink_val : std_ulogic_vector(7 downto 0); + signal slink_rdy : std_ulogic_vector(7 downto 0); + + signal slink_transmitter_dat, slink_receiver_dat : sdata_8x32_t; + signal slink_transmitter_val, slink_receiver_val : std_ulogic_vector(7 downto 0); + signal slink_transmitter_rdy, slink_receiver_rdy : std_ulogic_vector(7 downto 0); + + constant uart0_rx_logger : logger_t := get_logger("UART0.RX"); + constant uart1_rx_logger : logger_t := get_logger("UART1.RX"); + constant uart0_rx_handle : uart_rx_t := new_uart_rx(uart0_baud_val_c, uart0_rx_logger); + constant uart1_rx_handle : uart_rx_t := new_uart_rx(uart1_baud_val_c, uart1_rx_logger); + + type axi_stream_master_vec_t is array(integer range <>) of axi_stream_master_t; + type axi_stream_slave_vec_t is array(integer range <>) of axi_stream_slave_t; + + impure function init_slink_transmitters return axi_stream_master_vec_t is + variable result : axi_stream_master_vec_t(slink_transmitter_val'range); + begin + for idx in result'range loop + result(idx) := new_axi_stream_master( + data_length => slink_transmitter_dat(idx)'length, + stall_config => new_stall_config(0.05, 1, 10) + ); + end loop; + + return result; + end; + + impure function init_slink_receivers return axi_stream_slave_vec_t is + variable result : axi_stream_slave_vec_t(slink_receiver_val'range); + begin + for idx in result'range loop + result(idx) := new_axi_stream_slave( + data_length => slink_receiver_dat(idx)'length, + stall_config => new_stall_config(0.05, 1, 10) + ); + end loop; + + return result; + end; + + constant slink_transmitters : axi_stream_master_vec_t := init_slink_transmitters; + constant slink_receivers : axi_stream_slave_vec_t := init_slink_receivers; + +begin + test_runner : process + variable msg : msg_t; + variable rnd : RandomPType; + variable value : std_logic_vector(slink_transmitter_dat(0)'range); + begin + test_runner_setup(runner, runner_cfg); + + rnd.InitSeed(test_runner'path_name); + + -- Show passing checks for UART0 on the display (stdout) + show(uart0_rx_logger, display_handler, pass); + show(uart1_rx_logger, display_handler, pass); + + if ci_mode then + check_uart(net, uart0_rx_handle, nul & nul); + else + check_uart(net, uart0_rx_handle, "Blinking LED demo program" & cr & lf); + end if; + + if ci_mode then + -- No need to send the full expectation in one big chunk + check_uart(net, uart1_rx_handle, nul & nul); + check_uart(net, uart1_rx_handle, "0/46" & cr & lf); + end if; + + -- Apply some random data on each SLINK inputs and expect it to + -- be echoed by the CPU. No blocking. Let the SLINK transmitters + -- and receivers do this work in parallel. + for idx in slink_transmitters'range loop + for iter in 1 to 100 loop + value := rnd.RandSlv(value'length); + + -- SLINK is AXI Stream compatible so the SLINK transmitters and + -- and receivers are AXI Stream master and slave verification components (VCs). + -- The full-featured AXI Stream verification component interface (VCI) is used + -- but the AXI stream VCs also implements the basic stream VCI which also works + -- for simple transactions like these. To use that interface for pushing data + -- the AXI Steam VC must be "cast" to a basic stream VC using "as_stream" + -- + -- push_stream(net, as_stream(slink_transmitters(idx)), value); + + push_axi_stream(net, slink_transmitters(idx), value); + check_axi_stream(net, slink_receivers(idx), value, blocking => false); + end loop; + end loop; + + -- Wait until all expected data has been received + -- + -- wait_until_idle can take the VC actor as argument but + -- the more abstract view is that wait_until_idle is part + -- of the sync VCI and to use it a VC must be cast + -- to a sync VC + wait_until_idle(net, as_sync(uart0_rx_handle)); + wait_until_idle(net, as_sync(uart1_rx_handle)); + for idx in slink_receivers'range loop + wait_until_idle(net, as_sync(slink_receivers(idx))); + end loop; + + -- Wait a bit more if some extra unexpected data is produced. If so, + -- uart_rx will fail + wait for (20 * (1e9 / baud0_rate_c)) * ns; + + test_runner_cleanup(runner); + end process; + + -- In case we get stuck waiting there is a watchdog timeout to terminate and fail the + -- testbench + test_runner_watchdog(runner, 50 ms); + + -- Clock/Reset Generator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + clk_gen <= not clk_gen after (t_clock_c/2); + rst_gen <= '0', '1' after 60*(t_clock_c/2); + + + -- The Core of the Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + HW_THREAD_ID => 0, -- hardware thread id (hartid) (32-bit) + INT_BOOTLOADER_EN => false, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => true, -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => true, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => true, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => true, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => false, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => true, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => true, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => true, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => true, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => true, -- implement instruction stream sync.? + -- Extension Options -- + FAST_MUL_EN => false, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => false, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => 64, -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => 8, -- number of regions (0..64) + PMP_MIN_GRANULARITY => 64*1024, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => 12, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => int_imem_c , -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => imem_size_c, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => int_dmem_c, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => dmem_size_c, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => true, -- implement instruction cache + ICACHE_NUM_BLOCKS => 8, -- i-cache: number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE => 64, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => 2, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN => true, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 256, -- cycles after a pending bus access auto-terminates (0 = disabled) + -- Stream link interface -- + SLINK_NUM_TX => 8, -- number of TX links (0..8) + SLINK_NUM_RX => 8, -- number of TX links (0..8) + SLINK_TX_FIFO => 4, -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO => 1, -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => 32, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => (others => '1'), -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => (others => '1'), -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => true, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO => 32, -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO => 32, -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN => true, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO => 1, -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO => 1, -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN => true, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => true, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => 30, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => true, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- trng cannot be simulated + IO_CFS_EN => true, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => (others => '0'), -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => true, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO => 8, -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN => true -- implement general purpose timer (GPTMR)? + ) + port map ( + -- Global control -- + clk_i => clk_gen, -- global clock, rising edge + rstn_i => rst_gen, -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '1', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_cpu.tag, -- request tag + wb_adr_o => wb_cpu.addr, -- address + wb_dat_i => wb_cpu.rdata, -- read data + wb_dat_o => wb_cpu.wdata, -- write data + wb_we_o => wb_cpu.we, -- read/write + wb_sel_o => wb_cpu.sel, -- byte enable + wb_stb_o => wb_cpu.stb, -- strobe + wb_cyc_o => wb_cpu.cyc, -- valid cycle + wb_lock_o => wb_cpu.lock, -- exclusive access request + wb_ack_i => wb_cpu.ack, -- transfer acknowledge + wb_err_i => wb_cpu.err, -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o => slink_dat, -- output data + slink_tx_val_o => slink_val, -- valid output + slink_tx_rdy_i => slink_rdy, -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i => slink_dat, -- input data + slink_rx_val_i => slink_val, -- valid input + slink_rx_rdy_o => slink_rdy, -- ready to receive + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio, -- parallel output + gpio_i => gpio, -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd, -- UART0 send data + uart0_rxd_i => uart0_txd, -- UART0 receive data + uart0_rts_o => uart0_cts, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart0_cts, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd, -- UART1 send data + uart1_rxd_i => uart1_txd, -- UART1 receive data + uart1_rts_o => uart1_cts, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => uart1_cts, -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => open, -- SPI serial clock + spi_sdo_o => spi_data, -- controller data out, peripheral data in + spi_sdi_i => spi_data, -- controller data in, peripheral data out + spi_csn_o => open, -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda, -- twi serial data line + twi_scl_io => twi_scl, -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => open, -- pwm channels + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom CFS inputs + cfs_out_o => open, -- custom CFS outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => gpio(31 downto 0), -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i => '0', -- machine software interrupt, available if IO_MTIME_EN = false + msw_irq_i => msi_ring, -- machine software interrupt + mext_irq_i => mei_ring -- machine external interrupt + ); + + -- TWI termination (pull-ups) -- + twi_scl <= 'H'; + twi_sda <= 'H'; + + uart0_checker: entity work.uart_rx + generic map (uart0_rx_handle) + port map ( + clk => clk_gen, + uart_txd => uart0_txd); + + uart1_checker: entity work.uart_rx + generic map (uart1_rx_handle) + port map ( + clk => clk_gen, + uart_txd => uart1_txd); + + slink_transmitters_gen: for idx in slink_transmitters'range generate + slink_transmitter : entity vunit_lib.axi_stream_master + generic map( + master => slink_transmitters(idx) + ) + port map( + aclk => clk_gen, + tvalid => slink_transmitter_val(idx), + tready => slink_transmitter_rdy(idx), + std_ulogic_vector(tdata) => slink_transmitter_dat(idx) + ); + end generate; + + slink_receivers_gen: for idx in slink_receivers'range generate + begin + slink_receiver : entity vunit_lib.axi_stream_slave + generic map( + slave => slink_receivers(idx) + ) + port map( + aclk => clk_gen, + tvalid => slink_receiver_val(idx), + tready => slink_receiver_rdy(idx), + tdata => std_logic_vector(slink_receiver_dat(idx)) + ); + end generate; + + -- TODO: connect these to the CPU SLINK interface once the + -- loopback SW has been implemented + temporary_connection : for idx in slink_transmitters'range generate + slink_receiver_val(idx) <= slink_transmitter_val(idx); + slink_transmitter_rdy(idx) <= slink_receiver_rdy(idx); + slink_receiver_dat(idx) <= slink_transmitter_dat(idx); + end generate; + + + -- Wishbone Fabric ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- CPU broadcast signals -- + wb_mem_a.addr <= wb_cpu.addr; + wb_mem_a.wdata <= wb_cpu.wdata; + wb_mem_a.we <= wb_cpu.we; + wb_mem_a.sel <= wb_cpu.sel; + wb_mem_a.tag <= wb_cpu.tag; + wb_mem_a.cyc <= wb_cpu.cyc; + wb_mem_a.lock <= wb_cpu.lock; + + wb_mem_b.addr <= wb_cpu.addr; + wb_mem_b.wdata <= wb_cpu.wdata; + wb_mem_b.we <= wb_cpu.we; + wb_mem_b.sel <= wb_cpu.sel; + wb_mem_b.tag <= wb_cpu.tag; + wb_mem_b.cyc <= wb_cpu.cyc; + wb_mem_b.lock <= wb_cpu.lock; + + wb_mem_c.addr <= wb_cpu.addr; + wb_mem_c.wdata <= wb_cpu.wdata; + wb_mem_c.we <= wb_cpu.we; + wb_mem_c.sel <= wb_cpu.sel; + wb_mem_c.tag <= wb_cpu.tag; + wb_mem_c.cyc <= wb_cpu.cyc; + wb_mem_c.lock <= wb_cpu.lock; + + wb_irq.addr <= wb_cpu.addr; + wb_irq.wdata <= wb_cpu.wdata; + wb_irq.we <= wb_cpu.we; + wb_irq.sel <= wb_cpu.sel; + wb_irq.tag <= wb_cpu.tag; + wb_irq.cyc <= wb_cpu.cyc; + + -- CPU read-back signals (no mux here since peripherals have "output gates") -- + wb_cpu.rdata <= wb_mem_a.rdata or wb_mem_b.rdata or wb_mem_c.rdata or wb_irq.rdata; + wb_cpu.ack <= wb_mem_a.ack or wb_mem_b.ack or wb_mem_c.ack or wb_irq.ack; + wb_cpu.err <= wb_mem_a.err or wb_mem_b.err or wb_mem_c.err or wb_irq.err; + + -- peripheral select via STROBE signal -- + wb_mem_a.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_a_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_a_base_addr_c) + ext_mem_a_size_c)) else '0'; + wb_mem_b.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_b_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_b_base_addr_c) + ext_mem_b_size_c)) else '0'; + wb_mem_c.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_c_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_c_base_addr_c) + ext_mem_c_size_c)) else '0'; + wb_irq.stb <= wb_cpu.stb when (wb_cpu.addr = irq_trigger_base_addr_c) else '0'; + + + -- Wishbone Memory A (simulated external IMEM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + generate_ext_imem: + if ext_imem_c generate + ext_mem_a_access: process(clk_gen) + variable ext_ram_a : mem32_t(0 to ext_mem_a_size_c/4-1) := mem32_init_f(application_init_image, ext_mem_a_size_c/4); -- initialized, used to simulate external IMEM + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_a.ack(0) <= wb_mem_a.cyc and wb_mem_a.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_a.cyc and wb_mem_a.stb and wb_mem_a.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_a.sel(i) = '1') then + ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) := wb_mem_a.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_a.rdata(0) <= ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_a_latency_c > 1) then + for i in 1 to ext_mem_a_latency_c-1 loop + ext_mem_a.rdata(i) <= ext_mem_a.rdata(i-1); + ext_mem_a.ack(i) <= ext_mem_a.ack(i-1) and wb_mem_a.cyc; + end loop; + end if; + + -- bus output register -- + wb_mem_a.err <= '0'; + if (ext_mem_a.ack(ext_mem_a_latency_c-1) = '1') and (wb_mem_a.cyc = '1') and (wb_mem_a.ack = '0') then + wb_mem_a.rdata <= ext_mem_a.rdata(ext_mem_a_latency_c-1); + wb_mem_a.ack <= '1'; + else + wb_mem_a.rdata <= (others => '0'); + wb_mem_a.ack <= '0'; + end if; + end if; + end process ext_mem_a_access; + end generate; + + generate_ext_imem_false: + if (ext_imem_c = false) generate + wb_mem_a.rdata <= (others => '0'); + wb_mem_a.ack <= '0'; + wb_mem_a.err <= '0'; + end generate; + + + -- Wishbone Memory B (simulated external DMEM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ext_mem_b_access: process(clk_gen) + variable ext_ram_b : mem32_t(0 to ext_mem_b_size_c/4-1) := (others => (others => '0')); -- zero, used to simulate external DMEM + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_b.ack(0) <= wb_mem_b.cyc and wb_mem_b.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_b.cyc and wb_mem_b.stb and wb_mem_b.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_b.sel(i) = '1') then + ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) := wb_mem_b.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_b.rdata(0) <= ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_b_latency_c > 1) then + for i in 1 to ext_mem_b_latency_c-1 loop + ext_mem_b.rdata(i) <= ext_mem_b.rdata(i-1); + ext_mem_b.ack(i) <= ext_mem_b.ack(i-1) and wb_mem_b.cyc; + end loop; + end if; + + -- bus output register -- + wb_mem_b.err <= '0'; + if (ext_mem_b.ack(ext_mem_b_latency_c-1) = '1') and (wb_mem_b.cyc = '1') and (wb_mem_b.ack = '0') then + wb_mem_b.rdata <= ext_mem_b.rdata(ext_mem_b_latency_c-1); + wb_mem_b.ack <= '1'; + else + wb_mem_b.rdata <= (others => '0'); + wb_mem_b.ack <= '0'; + end if; + end if; + end process ext_mem_b_access; + + + -- Wishbone Memory C (simulated external IO) ---------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ext_mem_c_access: process(clk_gen) + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_c.ack(0) <= wb_mem_c.cyc and wb_mem_c.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_c.sel(i) = '1') then + ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_c.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_c.rdata(0) <= ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_c_latency_c > 1) then + for i in 1 to ext_mem_c_latency_c-1 loop + ext_mem_c.rdata(i) <= ext_mem_c.rdata(i-1); + ext_mem_c.ack(i) <= ext_mem_c.ack(i-1) and wb_mem_c.cyc; + end loop; + end if; + + -- EXCLUSIVE bus access ----------------------------------------------------- + -- ----------------------------------------------------------------------------- + -- Since there is only one CPU in this design, the exclusive access reservation in THIS memory CANNOT fail. + -- However, this memory module is used to simulated failing LR/SC accesses. + if ((wb_mem_c.cyc and wb_mem_c.stb) = '1') then -- valid access + ext_mem_c_atomic_reservation <= wb_mem_c.lock; -- make reservation + end if; + -- ----------------------------------------------------------------------------- + + -- bus output register -- + if (ext_mem_c.ack(ext_mem_c_latency_c-1) = '1') and (wb_mem_c.cyc = '1') and (wb_mem_c.ack = '0') then + wb_mem_c.rdata <= ext_mem_c.rdata(ext_mem_c_latency_c-1); + wb_mem_c.ack <= '1'; + wb_mem_c.err <= ext_mem_c_atomic_reservation; -- issue a bus error if there is an exclusive access request + else + wb_mem_c.rdata <= (others => '0'); + wb_mem_c.ack <= '0'; + wb_mem_c.err <= '0'; + end if; + end if; + end process ext_mem_c_access; + + + -- Wishbone IRQ Triggers ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + irq_trigger: process(rst_gen, clk_gen) + begin + if (rst_gen = '0') then + msi_ring <= '0'; + mei_ring <= '0'; + elsif rising_edge(clk_gen) then + -- bus interface -- + wb_irq.rdata <= (others => '0'); + wb_irq.ack <= wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel); + wb_irq.err <= '0'; + -- trigger RISC-V platform IRQs -- + if ((wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel)) = '1') then + msi_ring <= wb_irq.wdata(03); -- machine software interrupt + mei_ring <= wb_irq.wdata(11); -- machine software interrupt + end if; + end if; + end process irq_trigger; + + +end neorv32_tb_rtl; diff --git a/Libs/RiscV_test/NEORV32_test/run.py b/Libs/RiscV_test/NEORV32_test/run.py new file mode 100644 index 0000000..426b7d8 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/run.py @@ -0,0 +1,36 @@ +#!/usr/bin/env python3 + +from pathlib import Path +from vunit import VUnit, VUnitCLI + +cli = VUnitCLI() +cli.parser.add_argument( + "--ci-mode", + action="store_true", + default=False, + help="Enable special settings used by the CI", +) +args = cli.parse_args() + +PRJ = VUnit.from_args(args=args) +PRJ.add_com() +PRJ.add_verification_components() +PRJ.add_osvvm() + +ROOT = Path(__file__).parent + +NEORV32 = PRJ.add_library("neorv32") +NEORV32.add_source_files([ + ROOT / "*.vhd", + ROOT / ".." / "rtl" / "**" / "*.vhd", + # In VUnit <=v4.5.0, the glob search is not recursive, + # hence subdir 'mem' is not picked by the previous pattern + ROOT / ".." / "rtl" / "core" / "mem" / "*.vhd" +]) + +NEORV32.test_bench("neorv32_tb").set_generic("ci_mode", args.ci_mode) + +PRJ.set_sim_option("disable_ieee_warnings", True) +PRJ.set_sim_option("ghdl.sim_flags", ["--max-stack-alloc=256"]) + +PRJ.main() diff --git a/Libs/RiscV_test/NEORV32_test/run_riscv_arch_test.sh b/Libs/RiscV_test/NEORV32_test/run_riscv_arch_test.sh new file mode 100644 index 0000000..fe0c1c5 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/run_riscv_arch_test.sh @@ -0,0 +1,63 @@ +#!/usr/bin/env bash + +# Abort if any command returns != 0 +set -e + +cd $(dirname "$0") + +header() { + echo "--------------------------------------------------------------------------" + echo "> $@..." + echo "--------------------------------------------------------------------------" +} + +RISCV_PREFIX="${RISCV_PREFIX:-riscv32-unknown-elf-}" + +header "Checking RISC-V GCC toolchain" +"$RISCV_PREFIX"gcc -v + +header "Checking 'riscv-arch-test' GitHub repository (submodule)" +git submodule update --init + +header "Copying neorv32 test-target into riscv-arch-test framework" +( + cd ../sw/isa-test + target_device='riscv-arch-test/riscv-target/neorv32' + if [ -d "$target_device" ]; then rm -rf "$target_device"; fi + cp -vr port-neorv32 "$target_device" +) + +header "Making local copy of NEORV32 'rtl' and 'sim' folders" + +export NEORV32_LOCAL_RTL=${NEORV32_LOCAL_RTL:-$(pwd)/work} + +rm -rf "$NEORV32_LOCAL_RTL" +cp -r ../rtl "$NEORV32_LOCAL_RTL" + +header "Starting RISC-V architecture tests" + +./simple/ghdl.setup.sh + +# work in progress FIXME +printf "\n\e[1;33mWARNING! 'rv32e/*' tests are work in progress! \e[0m\n\n" + +makeArgs="-C $(pwd)/../sw/isa-test/riscv-arch-test NEORV32_ROOT=$(pwd)/.. XLEN=32 RISCV_TARGET=neorv32" +makeTargets='clean build run verify' + +[ -n "$1" ] && SUITES="$@" || SUITES='I C M privilege Zifencei' + +for suite in $SUITES; do + case "$suite" in + I) make --silent $makeArgs SIM_TIME=850us RISCV_DEVICE=I $makeTargets;; + C) make --silent $makeArgs SIM_TIME=400us RISCV_DEVICE=C $makeTargets;; + M) make --silent $makeArgs SIM_TIME=800us RISCV_DEVICE=M $makeTargets;; + privilege) make --silent $makeArgs SIM_TIME=200us RISCV_DEVICE=privilege $makeTargets;; + Zifencei) make --silent $makeArgs SIM_TIME=200us RISCV_DEVICE=Zifencei RISCV_TARGET_FLAGS=-DNEORV32_NO_DATA_INIT $makeTargets;; + + rv32e_C) make --silent $makeArgs SIM_TIME=200us RISCV_DEVICE=../rv32e_unratified/C $makeTargets;; + rv32e_E) make --silent $makeArgs SIM_TIME=200us RISCV_DEVICE=../rv32e_unratified/E $makeTargets;; + rv32e_M) make --silent $makeArgs SIM_TIME=200us RISCV_DEVICE=../rv32e_unratified/M $makeTargets;; + esac +done + +printf "\nRISC-V architecture tests completed successfully" diff --git a/Libs/RiscV_test/NEORV32_test/simple/ghdl.run.sh b/Libs/RiscV_test/NEORV32_test/simple/ghdl.run.sh new file mode 100644 index 0000000..72d6661 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/ghdl.run.sh @@ -0,0 +1,40 @@ +#!/usr/bin/env bash + +set -e + +cd $(dirname "$0") + +echo "Tip: Compile application with USER_FLAGS+=-DUART[0/1]_SIM_MODE to auto-enable UART[0/1]'s simulation mode (redirect UART output to simulator console)." + +# Prepare simulation output files for UART0 and UART 1 +# - Testbench receiver log file (neorv32.testbench_uart?.out) +# - Direct simulation output (neorv32.uart?.sim_mode.[text|data].out) +for uart in 0 1; do + for item in \ + testbench_uart"$uart" \ + uart"$uart".sim_mode.text \ + uart"$uart".sim_mode.data; do + touch neorv32."$item".out + chmod 777 neorv32."$item".out + done +done + +GHDL="${GHDL:-ghdl}" + +$GHDL -m --work=neorv32 --workdir=build neorv32_tb_simple + +GHDL_RUN_ARGS="${@:---stop-time=10ms}" +echo "Using simulation runtime args: $GHDL_RUN_ARGS"; + +runcmd="$GHDL -r --work=neorv32 --workdir=build neorv32_tb_simple \ + --max-stack-alloc=0 \ + --ieee-asserts=disable \ + --assert-level=error $GHDL_RUN_ARGS" + +if [ -n "$GHDL_DEVNULL" ]; then + $runcmd >> /dev/null +else + $runcmd +fi + +cat neorv32.uart0.sim_mode.text.out | grep "CPU TEST COMPLETED SUCCESSFULLY!" diff --git a/Libs/RiscV_test/NEORV32_test/simple/ghdl.setup.sh b/Libs/RiscV_test/NEORV32_test/simple/ghdl.setup.sh new file mode 100644 index 0000000..c0f040e --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/ghdl.setup.sh @@ -0,0 +1,18 @@ +#!/usr/bin/env bash + +set -e + +cd $(dirname "$0") + +NEORV32_LOCAL_RTL=${NEORV32_LOCAL_RTL:-../../rtl} + +mkdir -p build + +ghdl -i --work=neorv32 --workdir=build \ + "$NEORV32_LOCAL_RTL"/core/*.vhd \ + "$NEORV32_LOCAL_RTL"/core/mem/*.vhd \ + "$NEORV32_LOCAL_RTL"/processor_templates/*.vhd \ + "$NEORV32_LOCAL_RTL"/system_integration/*.vhd \ + "$NEORV32_LOCAL_RTL"/test_setups/*.vhd \ + neorv32_tb.simple.vhd \ + uart_rx.simple.vhd diff --git a/Libs/RiscV_test/NEORV32_test/simple/ghdl.sh b/Libs/RiscV_test/NEORV32_test/simple/ghdl.sh new file mode 100644 index 0000000..eae1928 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/ghdl.sh @@ -0,0 +1,14 @@ +#!/usr/bin/env bash + +# `GHDL` is used to check all VHDL files for syntax errors and to simulate the default testbench. The previously +# installed CPU test program is executed and the console output (UART0 primary UART) is dumped to a text file. After the +# simulation has finished, the text file is searched for a specific string. If the string is found, the CPU test was +# successful. + +# Abort if any command returns != 0 +set -e + +cd $(dirname "$0") + +./ghdl.setup.sh +./ghdl.run.sh diff --git a/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.iram.simple.vhd b/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.iram.simple.vhd new file mode 100644 index 0000000..cebfd90 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.iram.simple.vhd @@ -0,0 +1,136 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal instruction memory (IMEM) >> # +-- # ********************************************************************************************* # +-- # This version is intended for SIMULATION ONLY! # +-- # It implements the IMEM as pre-initialized RAM. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator + +architecture neorv32_imem_rtl of neorv32_imem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(IMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0); + + -- ---------------------------------------------------- -- + -- << SIMULATION ONLY!!! >> IMEM as pre-initialized RAM -- + -- ---------------------------------------------------- -- + + -- application (image) size in bytes -- + constant imem_app_size_c : natural := (application_init_image'length)*4; + + -- RAM - initialized with executable code -- + signal mem_ram : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_f(application_init_image, IMEM_SIZE/4); + + -- read data -- + signal mem_ram_rd : std_ulogic_vector(31 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal [SIM-only!] IMEM as RAM (" & natural'image(IMEM_SIZE) & + " bytes), pre-initialized with application (" & natural'image(imem_app_size_c) & " bytes)." severity note; + -- + assert not (imem_app_size_c > IMEM_SIZE) report "NEORV32 PROCESSOR CONFIG ERROR: Application (image = " & natural'image(imem_app_size_c) & + " bytes) does not fit into processor-internal IMEM (" & natural'image(IMEM_SIZE) & " bytes)!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(IMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Implement IMEM as pre-initialized RAM -------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + mem_access: process(clk_i) + begin + if rising_edge(clk_i) then + if (acc_en = '1') then + if (wren_i = '1') and (ben_i(0) = '1') then -- byte 0 + mem_ram(to_integer(unsigned(addr)))(07 downto 00) <= data_i(07 downto 00); + else + mem_ram_rd(07 downto 00) <= mem_ram(to_integer(unsigned(addr)))(07 downto 00); + end if; + if (wren_i = '1') and (ben_i(1) = '1') then -- byte 1 + mem_ram(to_integer(unsigned(addr)))(15 downto 08) <= data_i(15 downto 08); + else + mem_ram_rd(15 downto 08) <= mem_ram(to_integer(unsigned(addr)))(15 downto 08); + end if; + if (wren_i = '1') and (ben_i(2) = '1') then -- byte 2 + mem_ram(to_integer(unsigned(addr)))(23 downto 16) <= data_i(23 downto 16); + else + mem_ram_rd(23 downto 16) <= mem_ram(to_integer(unsigned(addr)))(23 downto 16); + end if; + if (wren_i = '1') and (ben_i(3) = '1') then -- byte 3 + mem_ram(to_integer(unsigned(addr)))(31 downto 24) <= data_i(31 downto 24); + else + mem_ram_rd(31 downto 24) <= mem_ram(to_integer(unsigned(addr)))(31 downto 24); + end if; + end if; + end if; + end process mem_access; + + -- read data -- + rdata <= mem_ram_rd; + + + -- Bus Feedback --------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + bus_feedback: process(clk_i) + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + ack_o <= acc_en and (rden_i or wren_i); + end if; + end process bus_feedback; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.simple.vhd b/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.simple.vhd new file mode 100644 index 0000000..cde2729 --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/neorv32_imem.simple.vhd @@ -0,0 +1,95 @@ +-- ################################################################################################# +-- # << NEORV32 - Processor-internal instruction memory (IMEM) >> # +-- # ********************************************************************************************* # +-- # This version is intended for SIMULATION ONLY! # +-- # It only allows an implementation as ROM and is initialized using "application_init_image". # +-- # Optimized for simulation to allow LARGE read-only IMEMs. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator + +architecture neorv32_imem_rtl of neorv32_imem is + + -- IO space: module base address -- + constant hi_abb_c : natural := 31; -- high address boundary bit + constant lo_abb_c : natural := index_size_f(IMEM_SIZE); -- low address boundary bit + + -- local signals -- + signal acc_en : std_ulogic; + signal rdata : std_ulogic_vector(31 downto 0); + signal rden : std_ulogic; + signal addr : std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0); + +begin + + -- Sanity Checks -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + assert not (IMEM_AS_IROM = false) report "NEORV32 PROCESSOR CONFIG ERROR! Simulation-optimized IMEM can only be used as pre-initialized ROM!" severity error; + + + -- Access Control ------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = IMEM_BASE(hi_abb_c downto lo_abb_c)) else '0'; + addr <= addr_i(index_size_f(IMEM_SIZE/4)+1 downto 2); -- word aligned + + + -- Memory Access -------------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + imem_file_access: process(clk_i) + variable addr_v : integer; + begin + if rising_edge(clk_i) then + rden <= acc_en and rden_i; + ack_o <= acc_en and (rden_i or wren_i); + if (acc_en = '1') then -- reduce switching activity when not accessed + addr_v := to_integer(unsigned(addr)); + if (addr_v > application_init_image'length) then + rdata <= (others => '0'); + else + rdata <= application_init_image(addr_v); + end if; + end if; + end if; + end process imem_file_access; + + -- output gate -- + data_o <= rdata when (rden = '1') else (others => '0'); + + +end neorv32_imem_rtl; diff --git a/Libs/RiscV_test/NEORV32_test/simple/neorv32_tb.simple.vhd b/Libs/RiscV_test/NEORV32_test/simple/neorv32_tb.simple.vhd new file mode 100644 index 0000000..380b8cb --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/neorv32_tb.simple.vhd @@ -0,0 +1,554 @@ +-- ################################################################################################# +-- # << NEORV32 - Default Processor Testbench >> # +-- # ********************************************************************************************* # +-- # The processor is configured to use a maximum of functional units (for testing purpose). # +-- # Use the "User Configuration" section to configure the testbench according to your needs. # +-- # See NEORV32 data sheet for more information. # +-- # ********************************************************************************************* # +-- # BSD 3-Clause License # +-- # # +-- # Copyright (c) 2021, Stephan Nolting. All rights reserved. # +-- # # +-- # Redistribution and use in source and binary forms, with or without modification, are # +-- # permitted provided that the following conditions are met: # +-- # # +-- # 1. Redistributions of source code must retain the above copyright notice, this list of # +-- # conditions and the following disclaimer. # +-- # # +-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +-- # conditions and the following disclaimer in the documentation and/or other materials # +-- # provided with the distribution. # +-- # # +-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +-- # endorse or promote products derived from this software without specific prior written # +-- # permission. # +-- # # +-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +-- # OF THE POSSIBILITY OF SUCH DAMAGE. # +-- # ********************************************************************************************* # +-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +-- ################################################################################################# + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +library neorv32; +use neorv32.neorv32_package.all; +use neorv32.neorv32_application_image.all; -- this file is generated by the image generator +use std.textio.all; + +entity neorv32_tb_simple is + generic ( + CPU_EXTENSION_RISCV_A : boolean := true; + CPU_EXTENSION_RISCV_B : boolean := true; + CPU_EXTENSION_RISCV_C : boolean := true; + CPU_EXTENSION_RISCV_E : boolean := false; + CPU_EXTENSION_RISCV_M : boolean := true; + CPU_EXTENSION_RISCV_U : boolean := true; + CPU_EXTENSION_RISCV_Zicsr : boolean := true; + CPU_EXTENSION_RISCV_Zifencei : boolean := true; + EXT_IMEM_C : boolean := false; -- false: use and boot from proc-internal IMEM, true: use and boot from external (initialized) simulated IMEM (ext. mem A) + MEM_INT_IMEM_SIZE : natural := 16*1024 -- size in bytes of processor-internal IMEM / external mem A + ); +end neorv32_tb_simple; + +architecture neorv32_tb_simple_rtl of neorv32_tb_simple is + + -- User Configuration --------------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + -- general -- + constant ext_dmem_c : boolean := false; -- false: use proc-internal DMEM, true: use external simulated DMEM (ext. mem B) + constant dmem_size_c : natural := 8*1024; -- size in bytes of processor-internal DMEM / external mem B + constant f_clock_c : natural := 100000000; -- main clock in Hz + constant baud0_rate_c : natural := 19200; -- simulation UART0 (primary UART) baud rate + constant baud1_rate_c : natural := 19200; -- simulation UART1 (secondary UART) baud rate + -- simulated external Wishbone memory A (can be used as external IMEM) -- + constant ext_mem_a_base_addr_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- wishbone memory base address (external IMEM base) + constant ext_mem_a_size_c : natural := MEM_INT_IMEM_SIZE; -- wishbone memory size in bytes + constant ext_mem_a_latency_c : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulated external Wishbone memory B (can be used as external DMEM) -- + constant ext_mem_b_base_addr_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- wishbone memory base address (external DMEM base) + constant ext_mem_b_size_c : natural := dmem_size_c; -- wishbone memory size in bytes + constant ext_mem_b_latency_c : natural := 8; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulated external Wishbone memory C (can be used to simulate external IO access) -- + constant ext_mem_c_base_addr_c : std_ulogic_vector(31 downto 0) := x"F0000000"; -- wishbone memory base address (default begin of EXTERNAL IO area) + constant ext_mem_c_size_c : natural := 64; -- wishbone memory size in bytes + constant ext_mem_c_latency_c : natural := 3; -- latency in clock cycles (min 1, max 255), plus 1 cycle initial delay + -- simulation interrupt trigger -- + constant irq_trigger_base_addr_c : std_ulogic_vector(31 downto 0) := x"FF000000"; + -- ------------------------------------------------------------------------------------------- + + -- internals - hands off! -- + constant int_imem_c : boolean := not EXT_IMEM_C; + constant int_dmem_c : boolean := not ext_dmem_c; + constant uart0_baud_val_c : real := real(f_clock_c) / real(baud0_rate_c); + constant uart1_baud_val_c : real := real(f_clock_c) / real(baud1_rate_c); + constant t_clock_c : time := (1 sec) / f_clock_c; + + -- generators -- + signal clk_gen, rst_gen : std_ulogic := '0'; + + -- text.io -- + file file_uart0_tx_out : text open write_mode is "neorv32.testbench_uart0.out"; + + -- uart -- + signal uart0_txd : std_ulogic; -- local loop-back + signal uart0_cts : std_ulogic; -- local loop-back + signal uart1_txd : std_ulogic; -- local loop-back + signal uart1_cts : std_ulogic; -- local loop-back + + -- gpio -- + signal gpio : std_ulogic_vector(63 downto 0); + + -- twi -- + signal twi_scl, twi_sda : std_logic; + + -- spi -- + signal spi_data : std_ulogic; + + -- irq -- + signal msi_ring, mei_ring : std_ulogic; + + -- Wishbone bus -- + type wishbone_t is record + addr : std_ulogic_vector(31 downto 0); -- address + wdata : std_ulogic_vector(31 downto 0); -- master write data + rdata : std_ulogic_vector(31 downto 0); -- master read data + we : std_ulogic; -- write enable + sel : std_ulogic_vector(03 downto 0); -- byte enable + stb : std_ulogic; -- strobe + cyc : std_ulogic; -- valid cycle + ack : std_ulogic; -- transfer acknowledge + err : std_ulogic; -- transfer error + tag : std_ulogic_vector(02 downto 0); -- request tag + lock : std_ulogic; -- exclusive access request + end record; + signal wb_cpu, wb_mem_a, wb_mem_b, wb_mem_c, wb_irq : wishbone_t; + + -- Wishbone access latency type -- + type ext_mem_read_latency_t is array (0 to 255) of std_ulogic_vector(31 downto 0); + + -- exclusive access / reservation -- + signal ext_mem_c_atomic_reservation : std_ulogic := '0'; + + -- simulated external memory c (IO) -- + signal ext_ram_c : mem32_t(0 to ext_mem_c_size_c/4-1); -- uninitialized, used to simulate external IO + + -- simulated external memory bus feedback type -- + type ext_mem_t is record + rdata : ext_mem_read_latency_t; + acc_en : std_ulogic; + ack : std_ulogic_vector(ext_mem_a_latency_c-1 downto 0); + end record; + signal ext_mem_a, ext_mem_b, ext_mem_c : ext_mem_t; + + -- stream link interface - local echo -- + signal slink_dat : sdata_8x32_t; + signal slink_val : std_ulogic_vector(7 downto 0); + signal slink_rdy : std_ulogic_vector(7 downto 0); + +begin + + -- Clock/Reset Generator ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + clk_gen <= not clk_gen after (t_clock_c/2); + rst_gen <= '0', '1' after 60*(t_clock_c/2); + + + -- The Core of the Problem ---------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_top_inst: neorv32_top + generic map ( + -- General -- + CLOCK_FREQUENCY => f_clock_c, -- clock frequency of clk_i in Hz + HW_THREAD_ID => 0, -- hardware thread id (hartid) (32-bit) + INT_BOOTLOADER_EN => false, -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM + -- On-Chip Debugger (OCD) -- + ON_CHIP_DEBUGGER_EN => true, -- implement on-chip debugger + -- RISC-V CPU Extensions -- + CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension? + CPU_EXTENSION_RISCV_B => CPU_EXTENSION_RISCV_B, -- implement bit-manipulation extension? + CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension? + CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E, -- implement embedded RF extension? + CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension? + CPU_EXTENSION_RISCV_U => CPU_EXTENSION_RISCV_U, -- implement user mode extension? + CPU_EXTENSION_RISCV_Zfinx => true, -- implement 32-bit floating-point extension (using INT reg!) + CPU_EXTENSION_RISCV_Zicsr => CPU_EXTENSION_RISCV_Zicsr, -- implement CSR system? + CPU_EXTENSION_RISCV_Zicntr => true, -- implement base counters? + CPU_EXTENSION_RISCV_Zihpm => true, -- implement hardware performance monitors? + CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.? + CPU_EXTENSION_RISCV_Zmmul => false, -- implement multiply-only M sub-extension? + -- Extension Options -- + FAST_MUL_EN => false, -- use DSPs for M extension's multiplier + FAST_SHIFT_EN => false, -- use barrel shifter for shift operations + CPU_CNT_WIDTH => 64, -- total width of CPU cycle and instret counters (0..64) + -- Physical Memory Protection (PMP) -- + PMP_NUM_REGIONS => 8, -- number of regions (0..64) + PMP_MIN_GRANULARITY => 64*1024, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes + -- Hardware Performance Monitors (HPM) -- + HPM_NUM_CNTS => 12, -- number of implemented HPM counters (0..29) + HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64) + -- Internal Instruction memory -- + MEM_INT_IMEM_EN => int_imem_c , -- implement processor-internal instruction memory + MEM_INT_IMEM_SIZE => MEM_INT_IMEM_SIZE, -- size of processor-internal instruction memory in bytes + -- Internal Data memory -- + MEM_INT_DMEM_EN => int_dmem_c, -- implement processor-internal data memory + MEM_INT_DMEM_SIZE => dmem_size_c, -- size of processor-internal data memory in bytes + -- Internal Cache memory -- + ICACHE_EN => true, -- implement instruction cache + ICACHE_NUM_BLOCKS => 8, -- i-cache: number of blocks (min 2), has to be a power of 2 + ICACHE_BLOCK_SIZE => 64, -- i-cache: block size in bytes (min 4), has to be a power of 2 + ICACHE_ASSOCIATIVITY => 2, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2 + -- External memory interface -- + MEM_EXT_EN => true, -- implement external memory bus interface? + MEM_EXT_TIMEOUT => 256, -- cycles after a pending bus access auto-terminates (0 = disabled) + -- Stream link interface -- + SLINK_NUM_TX => 8, -- number of TX links (0..8) + SLINK_NUM_RX => 8, -- number of TX links (0..8) + SLINK_TX_FIFO => 4, -- TX fifo depth, has to be a power of two + SLINK_RX_FIFO => 1, -- RX fifo depth, has to be a power of two + -- External Interrupts Controller (XIRQ) -- + XIRQ_NUM_CH => 32, -- number of external IRQ channels (0..32) + XIRQ_TRIGGER_TYPE => (others => '1'), -- trigger type: 0=level, 1=edge + XIRQ_TRIGGER_POLARITY => (others => '1'), -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge + -- Processor peripherals -- + IO_GPIO_EN => true, -- implement general purpose input/output port unit (GPIO)? + IO_MTIME_EN => true, -- implement machine system timer (MTIME)? + IO_UART0_EN => true, -- implement primary universal asynchronous receiver/transmitter (UART0)? + IO_UART0_RX_FIFO => 32, -- RX fifo depth, has to be a power of two, min 1 + IO_UART0_TX_FIFO => 32, -- TX fifo depth, has to be a power of two, min 1 + IO_UART1_EN => true, -- implement secondary universal asynchronous receiver/transmitter (UART1)? + IO_UART1_RX_FIFO => 1, -- RX fifo depth, has to be a power of two, min 1 + IO_UART1_TX_FIFO => 1, -- TX fifo depth, has to be a power of two, min 1 + IO_SPI_EN => true, -- implement serial peripheral interface (SPI)? + IO_TWI_EN => true, -- implement two-wire interface (TWI)? + IO_PWM_NUM_CH => 30, -- number of PWM channels to implement (0..60); 0 = disabled + IO_WDT_EN => true, -- implement watch dog timer (WDT)? + IO_TRNG_EN => false, -- trng cannot be simulated + IO_CFS_EN => true, -- implement custom functions subsystem (CFS)? + IO_CFS_CONFIG => (others => '0'), -- custom CFS configuration generic + IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits + IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits + IO_NEOLED_EN => true, -- implement NeoPixel-compatible smart LED interface (NEOLED)? + IO_NEOLED_TX_FIFO => 8, -- NEOLED TX FIFO depth, 1..32k, has to be a power of two + IO_GPTMR_EN => true -- implement general purpose timer (GPTMR)? + ) + port map ( + -- Global control -- + clk_i => clk_gen, -- global clock, rising edge + rstn_i => rst_gen, -- global reset, low-active, async + -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) -- + jtag_trst_i => '1', -- low-active TAP reset (optional) + jtag_tck_i => '0', -- serial clock + jtag_tdi_i => '0', -- serial data input + jtag_tdo_o => open, -- serial data output + jtag_tms_i => '0', -- mode select + -- Wishbone bus interface (available if MEM_EXT_EN = true) -- + wb_tag_o => wb_cpu.tag, -- request tag + wb_adr_o => wb_cpu.addr, -- address + wb_dat_i => wb_cpu.rdata, -- read data + wb_dat_o => wb_cpu.wdata, -- write data + wb_we_o => wb_cpu.we, -- read/write + wb_sel_o => wb_cpu.sel, -- byte enable + wb_stb_o => wb_cpu.stb, -- strobe + wb_cyc_o => wb_cpu.cyc, -- valid cycle + wb_lock_o => wb_cpu.lock, -- exclusive access request + wb_ack_i => wb_cpu.ack, -- transfer acknowledge + wb_err_i => wb_cpu.err, -- transfer error + -- Advanced memory control signals (available if MEM_EXT_EN = true) -- + fence_o => open, -- indicates an executed FENCE operation + fencei_o => open, -- indicates an executed FENCEI operation + -- TX stream interfaces (available if SLINK_NUM_TX > 0) -- + slink_tx_dat_o => slink_dat, -- output data + slink_tx_val_o => slink_val, -- valid output + slink_tx_rdy_i => slink_rdy, -- ready to send + -- RX stream interfaces (available if SLINK_NUM_RX > 0) -- + slink_rx_dat_i => slink_dat, -- input data + slink_rx_val_i => slink_val, -- valid input + slink_rx_rdy_o => slink_rdy, -- ready to receive + -- GPIO (available if IO_GPIO_EN = true) -- + gpio_o => gpio, -- parallel output + gpio_i => gpio, -- parallel input + -- primary UART0 (available if IO_UART0_EN = true) -- + uart0_txd_o => uart0_txd, -- UART0 send data + uart0_rxd_i => uart0_txd, -- UART0 receive data + uart0_rts_o => uart0_cts, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional + uart0_cts_i => uart0_cts, -- hw flow control: UART0.TX allowed to transmit, low-active, optional + -- secondary UART1 (available if IO_UART1_EN = true) -- + uart1_txd_o => uart1_txd, -- UART1 send data + uart1_rxd_i => uart1_txd, -- UART1 receive data + uart1_rts_o => uart1_cts, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional + uart1_cts_i => uart1_cts, -- hw flow control: UART1.TX allowed to transmit, low-active, optional + -- SPI (available if IO_SPI_EN = true) -- + spi_sck_o => open, -- SPI serial clock + spi_sdo_o => spi_data, -- controller data out, peripheral data in + spi_sdi_i => spi_data, -- controller data in, peripheral data out + spi_csn_o => open, -- SPI CS + -- TWI (available if IO_TWI_EN = true) -- + twi_sda_io => twi_sda, -- twi serial data line + twi_scl_io => twi_scl, -- twi serial clock line + -- PWM (available if IO_PWM_NUM_CH > 0) -- + pwm_o => open, -- pwm channels + -- Custom Functions Subsystem IO -- + cfs_in_i => (others => '0'), -- custom CFS inputs + cfs_out_o => open, -- custom CFS outputs + -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) -- + neoled_o => open, -- async serial data line + -- System time -- + mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false) + mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true) + -- External platform interrupts (available if XIRQ_NUM_CH > 0) -- + xirq_i => gpio(31 downto 0), -- IRQ channels + -- CPU Interrupts -- + mtime_irq_i => '0', -- machine software interrupt, available if IO_MTIME_EN = false + msw_irq_i => msi_ring, -- machine software interrupt + mext_irq_i => mei_ring -- machine external interrupt + ); + + -- TWI termination (pull-ups) -- + twi_scl <= 'H'; + twi_sda <= 'H'; + + + -- UART Simulation Receiver --------------------------------------------------------------- + -- ------------------------------------------------------------------------------------------- + uart0_checker: entity work.uart_rx_simple + generic map ( + name => "uart0", + uart_baud_val_c => uart0_baud_val_c + ) + port map ( + clk => clk_gen, + uart_txd => uart0_txd + ); + + uart1_checker: entity work.uart_rx_simple + generic map ( + name => "uart1", + uart_baud_val_c => uart1_baud_val_c + ) + port map ( + clk => clk_gen, + uart_txd => uart1_txd + ); + + + -- Wishbone Fabric ------------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + -- CPU broadcast signals -- + wb_mem_a.addr <= wb_cpu.addr; + wb_mem_a.wdata <= wb_cpu.wdata; + wb_mem_a.we <= wb_cpu.we; + wb_mem_a.sel <= wb_cpu.sel; + wb_mem_a.tag <= wb_cpu.tag; + wb_mem_a.cyc <= wb_cpu.cyc; + wb_mem_a.lock <= wb_cpu.lock; + + wb_mem_b.addr <= wb_cpu.addr; + wb_mem_b.wdata <= wb_cpu.wdata; + wb_mem_b.we <= wb_cpu.we; + wb_mem_b.sel <= wb_cpu.sel; + wb_mem_b.tag <= wb_cpu.tag; + wb_mem_b.cyc <= wb_cpu.cyc; + wb_mem_b.lock <= wb_cpu.lock; + + wb_mem_c.addr <= wb_cpu.addr; + wb_mem_c.wdata <= wb_cpu.wdata; + wb_mem_c.we <= wb_cpu.we; + wb_mem_c.sel <= wb_cpu.sel; + wb_mem_c.tag <= wb_cpu.tag; + wb_mem_c.cyc <= wb_cpu.cyc; + wb_mem_c.lock <= wb_cpu.lock; + + wb_irq.addr <= wb_cpu.addr; + wb_irq.wdata <= wb_cpu.wdata; + wb_irq.we <= wb_cpu.we; + wb_irq.sel <= wb_cpu.sel; + wb_irq.tag <= wb_cpu.tag; + wb_irq.cyc <= wb_cpu.cyc; + + -- CPU read-back signals (no mux here since peripherals have "output gates") -- + wb_cpu.rdata <= wb_mem_a.rdata or wb_mem_b.rdata or wb_mem_c.rdata or wb_irq.rdata; + wb_cpu.ack <= wb_mem_a.ack or wb_mem_b.ack or wb_mem_c.ack or wb_irq.ack; + wb_cpu.err <= wb_mem_a.err or wb_mem_b.err or wb_mem_c.err or wb_irq.err; + + -- peripheral select via STROBE signal -- + wb_mem_a.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_a_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_a_base_addr_c) + ext_mem_a_size_c)) else '0'; + wb_mem_b.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_b_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_b_base_addr_c) + ext_mem_b_size_c)) else '0'; + wb_mem_c.stb <= wb_cpu.stb when (wb_cpu.addr >= ext_mem_c_base_addr_c) and (wb_cpu.addr < std_ulogic_vector(unsigned(ext_mem_c_base_addr_c) + ext_mem_c_size_c)) else '0'; + wb_irq.stb <= wb_cpu.stb when (wb_cpu.addr = irq_trigger_base_addr_c) else '0'; + + + -- Wishbone Memory A (simulated external IMEM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + generate_ext_imem: + if (EXT_IMEM_C = true) generate + ext_mem_a_access: process(clk_gen) + variable ext_ram_a : mem32_t(0 to ext_mem_a_size_c/4-1) := mem32_init_f(application_init_image, ext_mem_a_size_c/4); -- initialized, used to simulate external IMEM + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_a.ack(0) <= wb_mem_a.cyc and wb_mem_a.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_a.cyc and wb_mem_a.stb and wb_mem_a.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_a.sel(i) = '1') then + ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) := wb_mem_a.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_a.rdata(0) <= ext_ram_a(to_integer(unsigned(wb_mem_a.addr(index_size_f(ext_mem_a_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_a_latency_c > 1) then + for i in 1 to ext_mem_a_latency_c-1 loop + ext_mem_a.rdata(i) <= ext_mem_a.rdata(i-1); + ext_mem_a.ack(i) <= ext_mem_a.ack(i-1) and wb_mem_a.cyc; + end loop; + end if; + + -- bus output register -- + wb_mem_a.err <= '0'; + if (ext_mem_a.ack(ext_mem_a_latency_c-1) = '1') and (wb_mem_a.cyc = '1') and (wb_mem_a.ack = '0') then + wb_mem_a.rdata <= ext_mem_a.rdata(ext_mem_a_latency_c-1); + wb_mem_a.ack <= '1'; + else + wb_mem_a.rdata <= (others => '0'); + wb_mem_a.ack <= '0'; + end if; + end if; + end process ext_mem_a_access; + end generate; + + generate_ext_imem_false: + if (EXT_IMEM_C = false) generate + wb_mem_a.rdata <= (others => '0'); + wb_mem_a.ack <= '0'; + wb_mem_a.err <= '0'; + end generate; + + + -- Wishbone Memory B (simulated external DMEM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ext_mem_b_access: process(clk_gen) + variable ext_ram_b : mem32_t(0 to ext_mem_b_size_c/4-1) := (others => (others => '0')); -- zero, used to simulate external DMEM + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_b.ack(0) <= wb_mem_b.cyc and wb_mem_b.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_b.cyc and wb_mem_b.stb and wb_mem_b.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_b.sel(i) = '1') then + ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) := wb_mem_b.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_b.rdata(0) <= ext_ram_b(to_integer(unsigned(wb_mem_b.addr(index_size_f(ext_mem_b_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_b_latency_c > 1) then + for i in 1 to ext_mem_b_latency_c-1 loop + ext_mem_b.rdata(i) <= ext_mem_b.rdata(i-1); + ext_mem_b.ack(i) <= ext_mem_b.ack(i-1) and wb_mem_b.cyc; + end loop; + end if; + + -- bus output register -- + wb_mem_b.err <= '0'; + if (ext_mem_b.ack(ext_mem_b_latency_c-1) = '1') and (wb_mem_b.cyc = '1') and (wb_mem_b.ack = '0') then + wb_mem_b.rdata <= ext_mem_b.rdata(ext_mem_b_latency_c-1); + wb_mem_b.ack <= '1'; + else + wb_mem_b.rdata <= (others => '0'); + wb_mem_b.ack <= '0'; + end if; + end if; + end process ext_mem_b_access; + + + -- Wishbone Memory C (simulated external IO) ---------------------------------------------- + -- ------------------------------------------------------------------------------------------- + ext_mem_c_access: process(clk_gen) + begin + if rising_edge(clk_gen) then + -- control -- + ext_mem_c.ack(0) <= wb_mem_c.cyc and wb_mem_c.stb; -- wishbone acknowledge + + -- write access -- + if ((wb_mem_c.cyc and wb_mem_c.stb and wb_mem_c.we) = '1') then -- valid write access + for i in 0 to 3 loop + if (wb_mem_c.sel(i) = '1') then + ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2))))(7+i*8 downto 0+i*8) <= wb_mem_c.wdata(7+i*8 downto 0+i*8); + end if; + end loop; -- i + end if; + + -- read access -- + ext_mem_c.rdata(0) <= ext_ram_c(to_integer(unsigned(wb_mem_c.addr(index_size_f(ext_mem_c_size_c/4)+1 downto 2)))); -- word aligned + -- virtual read and ack latency -- + if (ext_mem_c_latency_c > 1) then + for i in 1 to ext_mem_c_latency_c-1 loop + ext_mem_c.rdata(i) <= ext_mem_c.rdata(i-1); + ext_mem_c.ack(i) <= ext_mem_c.ack(i-1) and wb_mem_c.cyc; + end loop; + end if; + + -- EXCLUSIVE bus access ----------------------------------------------------- + -- ----------------------------------------------------------------------------- + -- Since there is only one CPU in this design, the exclusive access reservation in THIS memory CANNOT fail. + -- However, this memory module is used to simulated failing LR/SC accesses. + if ((wb_mem_c.cyc and wb_mem_c.stb) = '1') then -- valid access + ext_mem_c_atomic_reservation <= wb_mem_c.lock; -- make reservation + end if; + -- ----------------------------------------------------------------------------- + + -- bus output register -- + if (ext_mem_c.ack(ext_mem_c_latency_c-1) = '1') and (wb_mem_c.cyc = '1') and (wb_mem_c.ack = '0') then + wb_mem_c.rdata <= ext_mem_c.rdata(ext_mem_c_latency_c-1); + wb_mem_c.ack <= '1'; + wb_mem_c.err <= ext_mem_c_atomic_reservation; -- issue a bus error if there is an exclusive access request + else + wb_mem_c.rdata <= (others => '0'); + wb_mem_c.ack <= '0'; + wb_mem_c.err <= '0'; + end if; + end if; + end process ext_mem_c_access; + + + -- Wishbone IRQ Triggers ------------------------------------------------------------------ + -- ------------------------------------------------------------------------------------------- + irq_trigger: process(rst_gen, clk_gen) + begin + if (rst_gen = '0') then + msi_ring <= '0'; + mei_ring <= '0'; + elsif rising_edge(clk_gen) then + -- bus interface -- + wb_irq.rdata <= (others => '0'); + wb_irq.ack <= wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel); + wb_irq.err <= '0'; + -- trigger RISC-V platform IRQs -- + if ((wb_irq.cyc and wb_irq.stb and wb_irq.we and and_reduce_f(wb_irq.sel)) = '1') then + msi_ring <= wb_irq.wdata(03); -- machine software interrupt + mei_ring <= wb_irq.wdata(11); -- machine software interrupt + end if; + end if; + end process irq_trigger; + + +end neorv32_tb_simple_rtl; diff --git a/Libs/RiscV_test/NEORV32_test/simple/uart_rx.simple.vhd b/Libs/RiscV_test/NEORV32_test/simple/uart_rx.simple.vhd new file mode 100644 index 0000000..64d82ce --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/simple/uart_rx.simple.vhd @@ -0,0 +1,77 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +use std.textio.all; + +entity uart_rx_simple is + generic ( + name : string; + uart_baud_val_c : real); + + port ( + clk : in std_ulogic; + uart_txd : in std_ulogic + ); +end entity; + +architecture a of uart_rx_simple is + signal uart_rx_sync : std_ulogic_vector(04 downto 0) := (others => '1'); + signal uart_rx_busy : std_ulogic := '0'; + signal uart_rx_sreg : std_ulogic_vector(08 downto 0) := (others => '0'); + signal uart_rx_baud_cnt : real; + signal uart_rx_bitcnt : natural; + + file file_uart_tx_out : text open write_mode is "neorv32.testbench_" & name & ".out"; + +begin + uart_rx_console : process(clk) + variable i : integer; + variable l : line; + begin + -- "UART" -- + if rising_edge(clk) then + -- synchronizer -- + uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd; + -- arbiter -- + if (uart_rx_busy = '0') then -- idle + uart_rx_busy <= '0'; + uart_rx_baud_cnt <= round(0.5 * uart_baud_val_c); + uart_rx_bitcnt <= 9; + if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge) + uart_rx_busy <= '1'; + end if; + else + if (uart_rx_baud_cnt <= 0.0) then + if (uart_rx_bitcnt = 1) then + uart_rx_baud_cnt <= round(0.5 * uart_baud_val_c); + else + uart_rx_baud_cnt <= round(uart_baud_val_c); + end if; + if (uart_rx_bitcnt = 0) then + uart_rx_busy <= '0'; -- done + i := to_integer(unsigned(uart_rx_sreg(8 downto 1))); + + if (i < 32) or (i > 32+95) then -- printable char? + report name & ".tx: (" & integer'image(i) & ")"; -- print code + else + report name & ".tx: " & character'val(i); -- print ASCII + end if; + + if (i = 10) then -- Linux line break + writeline(file_uart_tx_out, l); + elsif (i /= 13) then -- Remove additional carriage return + write(l, character'val(i)); + end if; + else + uart_rx_sreg <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1); + uart_rx_bitcnt <= uart_rx_bitcnt - 1; + end if; + else + uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0; + end if; + end if; + end if; + end process uart_rx_console; +end architecture; diff --git a/Libs/RiscV_test/NEORV32_test/uart_rx.vhd b/Libs/RiscV_test/NEORV32_test/uart_rx.vhd new file mode 100644 index 0000000..4c9149c --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/uart_rx.vhd @@ -0,0 +1,119 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +use std.textio.all; + +library vunit_lib; +context vunit_lib.vunit_context; +context vunit_lib.com_context; +context vunit_lib.vc_context; + +use work.uart_rx_pkg.all; + +entity uart_rx is + generic (handle : uart_rx_t); + port ( + clk : in std_ulogic; + uart_txd : in std_ulogic + ); +end entity; + +architecture a of uart_rx is + signal uart_rx_sync : std_ulogic_vector(04 downto 0) := (others => '1'); + signal uart_rx_busy : std_ulogic := '0'; + signal uart_rx_sreg : std_ulogic_vector(08 downto 0) := (others => '0'); + signal uart_rx_baud_cnt : real; + signal uart_rx_bitcnt : natural; + + file file_uart_tx_out : text open write_mode is "neorv32.testbench_" & get_name(handle.p_logger) & ".out"; + constant checker : checker_t := new_checker(handle.p_logger); + constant character_queue : queue_t := new_queue; + +begin + control : process + variable request_msg, reply_msg : msg_t; + variable msg_type : msg_type_t; + + procedure put_characters_in_queue(s : string) is + begin + for idx in s'range loop + push(character_queue, s(idx)); + end loop; + end procedure put_characters_in_queue; + begin + receive(net, handle.p_actor, request_msg); + msg_type := message_type(request_msg); + + -- Standard handling of standard wait_for_time messages = wait for the given time + -- before proceeeding + handle_wait_for_time(net, msg_type, request_msg); + + if msg_type = check_uart_msg then + put_characters_in_queue(pop(request_msg)); + + -- Custom handling of standard wait_until_idle message + elsif msg_type = wait_until_idle_msg then + while not is_empty(character_queue) loop + wait until rising_edge(clk); + end loop; + reply_msg := new_msg(wait_until_idle_reply_msg); + reply(net, request_msg, reply_msg); + + else + unexpected_msg_type(msg_type); + end if; + end process; + + uart_rx_console : process(clk) + variable i : integer; + variable l : line; + variable expected_character : character; + begin + -- "UART" -- + if rising_edge(clk) then + -- synchronizer -- + uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd; + -- arbiter -- + if (uart_rx_busy = '0') then -- idle + uart_rx_busy <= '0'; + uart_rx_baud_cnt <= round(0.5 * handle.p_baud_val); + uart_rx_bitcnt <= 9; + if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge) + uart_rx_busy <= '1'; + end if; + else + if (uart_rx_baud_cnt <= 0.0) then + if (uart_rx_bitcnt = 1) then + uart_rx_baud_cnt <= round(0.5 * handle.p_baud_val); + else + uart_rx_baud_cnt <= round(handle.p_baud_val); + end if; + if (uart_rx_bitcnt = 0) then + uart_rx_busy <= '0'; -- done + i := to_integer(unsigned(uart_rx_sreg(8 downto 1))); + + if is_empty(character_queue) then + check_failed(checker, "Extra characters received"); + else + expected_character := pop(character_queue); + check_equal(checker, character'val(i), expected_character); + end if; + + if (i = 10) then -- Linux line break + writeline(file_uart_tx_out, l); + elsif (i /= 13) then -- Remove additional carriage return + write(l, character'val(i)); + end if; + else + uart_rx_sreg <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1); + uart_rx_bitcnt <= uart_rx_bitcnt - 1; + end if; + else + uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0; + end if; + end if; + end if; + end process uart_rx_console; +end architecture; diff --git a/Libs/RiscV_test/NEORV32_test/uart_rx_pkg.vhd b/Libs/RiscV_test/NEORV32_test/uart_rx_pkg.vhd new file mode 100644 index 0000000..97cf0ca --- /dev/null +++ b/Libs/RiscV_test/NEORV32_test/uart_rx_pkg.vhd @@ -0,0 +1,60 @@ +library vunit_lib; +context vunit_lib.vunit_context; +context vunit_lib.com_context; +use vunit_lib.sync_pkg.all; + +package uart_rx_pkg is + constant check_uart_msg : msg_type_t := new_msg_type("check_uart"); + + type uart_rx_t is record + p_baud_val : real; + p_logger : logger_t; + p_actor : actor_t; + end record; + + impure function new_uart_rx( + baud_val : real; + logger : logger_t := null_logger; + actor : actor_t := null_actor) return uart_rx_t; + + function as_sync(handle : uart_rx_t) return sync_handle_t; + + procedure check_uart( + signal net : inout network_t; + constant handle : in uart_rx_t; + constant data : in string); +end package uart_rx_pkg; + +package body uart_rx_pkg is + constant uart_rx_logger : logger_t := get_logger("neorv32_lib:uart_rx_pkg"); + + impure function new_uart_rx( + baud_val : real; + logger : logger_t := null_logger; + actor : actor_t := null_actor) return uart_rx_t is + variable result : uart_rx_t; + begin + result.p_baud_val := baud_val; + result.p_logger := logger when logger /= null_logger else uart_rx_logger; + result.p_actor := actor when actor /= null_actor else new_actor; + + return result; + end; + + function as_sync(handle : uart_rx_t) return sync_handle_t is + begin + return handle.p_actor; + end; + + procedure check_uart( + signal net : inout network_t; + constant handle : in uart_rx_t; + constant data : in string) is + variable msg : msg_t; + begin + msg := new_msg(check_uart_msg); + push(msg, data); + send(net, handle.p_actor, msg); + end; + +end package body uart_rx_pkg; diff --git a/README.md b/README.md new file mode 100644 index 0000000..20d0345 --- /dev/null +++ b/README.md @@ -0,0 +1,2 @@ +# sem-labs +HEVs laboratory files for course SEm